Discover millions of ebooks, audiobooks, and so much more with a free trial

Only $11.99/month after trial. Cancel anytime.

Handbook of Sputter Deposition Technology: Fundamentals and Applications for Functional Thin Films, Nano-Materials and MEMS
Handbook of Sputter Deposition Technology: Fundamentals and Applications for Functional Thin Films, Nano-Materials and MEMS
Handbook of Sputter Deposition Technology: Fundamentals and Applications for Functional Thin Films, Nano-Materials and MEMS
Ebook1,056 pages7 hours

Handbook of Sputter Deposition Technology: Fundamentals and Applications for Functional Thin Films, Nano-Materials and MEMS

Rating: 5 out of 5 stars

5/5

()

Read preview

About this ebook

This thoroughly updated new edition includes an entirely new team of contributing authors with backgrounds specializing in the various new applications of sputtering technology. It forms a bridge between fundamental theory and practical application, giving an insight into innovative new materials, devices and systems.

Organized into three parts for ease of use, this Handbook introduces the fundamentals of thin films and sputtering deposition, explores the theory and practices of this field, and also covers new technology such as nano-functional materials and MEMS.

Wide varieties of functional thin film materials and processing are described, and experimental data is provided with detailed examples and theoretical descriptions.

  • A strong applications focus, covering current and emerging technologies, including nano-materials and MEMS (microelectrolmechanical systems) for energy, environments, communications, and/or bio-medical field. New chapters on computer simulation of sputtering and MEMS completes the update and insures that the new edition includes the most current and forward-looking coverage available
  • All applications discussed are supported by theoretical discussions, offering readers both the "how" and the "why" of each technique
  • 40% revision: the new edition includes an entirely new team of contributing authors with backgrounds specializing in the various new applications that are covered in the book and providing the most up-to-date coverage available anywhere
LanguageEnglish
Release dateDec 31, 2012
ISBN9781437734843
Handbook of Sputter Deposition Technology: Fundamentals and Applications for Functional Thin Films, Nano-Materials and MEMS

Related to Handbook of Sputter Deposition Technology

Related ebooks

Technology & Engineering For You

View More

Related articles

Reviews for Handbook of Sputter Deposition Technology

Rating: 5 out of 5 stars
5/5

1 rating0 reviews

What did you think?

Tap to rate

Review must be at least 10 words

    Book preview

    Handbook of Sputter Deposition Technology - Kiyotaka Wasa

    Table of Contents

    Cover image

    Title page

    Copyright

    Preface

    Part I: Fundamentals of Sputtering

    1. Thin Films and Nanomaterials

    1.1 Thin Films and Nanomaterials

    1.2 Thin Film Devices and MEMS

    1.3 Thin Film Deposition

    1.4 Characterization of Thin Films

    1.5 Sputtering as a Nanomaterial Engineering

    References

    2. Sputtering Phenomena

    2.1 Sputter Yield

    2.2 Sputtered Atoms

    2.3 Mechanism of Sputtering

    References

    3. Sputtering Systems

    3.1 Gas Discharge

    3.2 Sputtering Systems

    3.3 Practical Aspects of Sputtering Systems

    References

    Part II: Theory and Practice: Sputtering Deposition of Functional Thin Films

    4. Computer Simulation

    4.1 Features of Sputter Deposition

    4.2 Roles of Sputtering Gas in Sputter Deposition

    4.3 Significance of Analysis of the Transport Process of Sputtered Particles Through Sputtering Gas

    4.4 Analysis of the Transport Process of Sputtered Particles by MC Method

    4.5 Applications

    4.6 Summary

    References

    5. Basic Process of Sputtering Deposition

    5.1 Control of Film Quality

    5.2 Reactive Sputtering–

    5.3 Typical Deposition Conditions of Functional Thin Films

    References

    6. Functional Thin Films

    6.1 ZnO Thin Films

    6.2 Compound Oxide Thin Films

    6.3 Nitrides, Carbides, Silicates, Selenides

    6.4 Amorphous Thin Films

    6.5 Miscellaneous

    References

    Part III: Sputtering Technology for Nanomaterials and Thin Film MEMS

    7. Ferroelectric Thin Films

    7.1 Ferroelectric Thin Film Materials

    7.2 Control of Thin Film Structure

    7.3 Nanometer Structure

    References

    8. Thin Film MEMS

    8.1 Thin Film Materials for MEMS

    8.2 PZT-Based Thin Film MEMS

    8.3 High-Performance PZT-Based Thin Films for MEMS

    References

    9. Micro-Fabrication by Sputtering

    9.1 Classification of Sputter Etching

    9.2 Ion Beam Sputter Etching

    9.3 Diode Sputter Etching

    9.4 Plasma Etching

    9.5 Deposition into Deep Trench Structure

    9.6 Compact Plasma System

    References

    Appendix 1: Fundamental Physical Constants

    Appendix 2: Table of Conversion Factors

    Appendix 3: Electric Units, Their Symbols and Conversion Factors

    Postscript

    Index

    Copyright

    William Andrew is an imprint of Elsevier

    225 Wyman Street, Waltham, 02451, USA

    The Boulevard, Langford Lane, Kidlington, Oxford OX5 1GB, UK

    First edition 1992

    Second edition 2012

    Copyright © 2012 Elsevier Inc. All rights reserved

    No part of this publication may be reproduced or transmitted in any form or by any means, electronic or mechanical, including photocopying, recording, or any information storage and retrieval system, without permission in writing from the publisher. Details on how to seek permission, further information about the Publisher’s permissions policies and arrangements with organizations such as the Copyright Clearance Center and the Copyright Licensing Agency, can be found at our website: www.elsevier.com/permissions.

    This book and the individual contributions contained in it are protected under copyright by the Publisher (other than as may be noted herein).

    Notices

    Knowledge and best practice in this field are constantly changing. As new research and experience broaden our understanding, changes in research methods, professional practices, or medical treatment may become necessary.

    Practitioners and researchers must always rely on their own experience and knowledge in evaluating and using any information, methods, compounds, or experiments described herein. In using such information or methods they should be mindful of their own safety and the safety of others, including parties for whom they have a professional responsibility.

    To the fullest extent of the law, neither the Publisher nor the authors, contributors, or editors, assume any liability for any injury and/or damage to persons or property as a matter of products liability, negligence or otherwise, or from any use or operation of any methods, products, instructions, or ideas contained in the material herein.

    Library of Congress Cataloging-in-Publication Data

    A catalog record for this book is available from the Library of Congress

    British Library Cataloguing-in-Publication Data

    A catalogue record for this book is available from the British Library

    ISBN: 978-1-4377-3483-6

    For information on all Elsevier publications visit our website at elsevierdirect.com

    Typeset by MPS Limited, Chennai, India www.adi-mps.com

    Printed and bound in United States of America

    11 12 11 10 9 8 7 6 5 4 3 2 1

    Preface

    The first edition of Handbook of Sputter Deposition Technology was published in 1992 (Noyes Publications, NJ) in a series Editions of Electronic Materials and Process Technology, Materials Science and Process Technology Series edited by Professor R.F. Bunshah (University of California, LA). The first edition described sputtering material engineering based on the author’s research at Panasonic, Japan.

    More than 15 years have passed since the publication of the first edition. In the last 15 years, sputter deposition technology has been extended to a much wider variety of community not only for the electronics industry but also for the medical and/or biotechnology. At present sputtering is a key technology for present and future material processing including nanofunctional materials and micro/nanoelectromechanical system (MEMS/NEMS).

    The second edition enriches the scientific and technological descriptions of the first edition with new chapter related to Computer simulation of sputtering deposition, nanomaterials, and MEMS so as to be useful for next 10 years.

    The thin film materials described in this book are based on the author’s professional works on sputtering deposition for over 40 years in Panasonic, Research Institute of Innovative Technology for the Earth (Japanese government institute for global environment issues, RITE), Yokohama City University, and Kyoto University. Wide varieties of functional thin film materials and processing are described in a concrete form. An expert on the computer simulation on the sputtering has contributed to the second edition. The professional experimental data with variety of examples and the theoretical descriptions will be useful for graduate students and researchers/engineers in university and industry.

    Part I (Chapters 1–3) describes Introduction of Thin Films and Sputtering Deposition. Chapter 1 reviews the fundamentals of thin films and nanomaterials. Unique functional material properties of thin films are shown and then typical thin film deposition process is reviewed for a better understanding of the sputtering deposition. Chapter 2 describes fundamentals of sputtering. Chapter 3 describes the basic design and operations of the sputtering deposition system.

    Part II (Chapters 4–6) describes Theory and Practice for Sputtering Deposition. Chapter 4 describes the computer simulation of sputtering and sputtering deposition. Chapter 5 describes the fundamentals and basic sputtering deposition conditions of functional compound thin films. The reactively sputtering is described in detail by an expert in this field. Varieties of sputtering conditions for functional thin films are shown in tables by an expert in this field. Chapter 6 describes sputtering deposition of key functional materials at present and for future including ZnO thin films, perovskite ferroelectric thin films, carbide, nitride, sulfide thin films, and organic thin films.

    Part III (Chapters 7–9) describes Sputtering Deposition of Nanofunctional Materials and Related MEMS. Chapter 7 describes nanofunctional materials including nanometer superlattice. Chapter 8 describes sputtering deposition of high-performance piezoelectric materials for MEMS. Chapter 9 describes the fundamentals and fabrication of MEMS using sputtering and/or plasma process.

    The fundamental knowledge about sputtering deposition is widely distributed in the scientific and technical literature. The present handbook includes fundamental theory using computer modeling and a variety of professional experimental data as an example. This publication will make a bridge between fundamental theory and practical application. I believe this text gives hints for innovative new materials, new devices, and/or new systems.

    The second edition has been completed based on the first edition. I am grateful to the late Professor R.F. Bunshah (University of California, LA) for his valuable advice for the publication of the first edition. I am also grateful to Professor K.L. Chopra (Indian Institute of Technology) for his continuous advice on the publication of the second edition. I owe my thanks to many material scientists including Professor T.H. Geballe (Stanford University), L.E. Cross, the late R.E. Newnham, K. Uchino, S. Trolier McKinstry (Penn State University), D.G. Schlom (Cornell University), C.B. Eom (Wisconsin-Madison University) for their helpful discussion. Finally, this book could not be published without the constant help of members of Kotera Laboratory and secretary, Kimiko Terakawa (Kyoto University), and my wife, Setsuko Wasa.

    Kiyotaka Wasa

    Kyoto, Japan

    April 2012

    Part I

    Fundamentals of Sputtering

    1 Thin Films and Nanomaterials

    2 Sputtering Phenomena

    3 Sputtering Systems

    1

    Thin Films and Nanomaterials

    Hideaki Adachi and Kiyotaka Wasa

    Chapter Outline

    1.1 Thin Films and Nanomaterials

    1.2 Thin Film Devices and MEMS

    1.2.1 Thin Film Devices

    1.2.2 Thin Film MEMS

    1.3 Thin Film Deposition

    1.3.1 Physical Vapor Deposition, PVD

    Vacuum Deposition

    Pulsed Laser Deposition

    Molecular Beam Epitaxy

    Sputtering

    Miscellaneous PVD Processes

    1.3.2 Chemical Vapor Deposition, CVD

    Thermally Activated CVD

    Plasma-Assisted CVD

    1.4 Characterization of Thin Films

    1.5 Sputtering as a Nanomaterial Engineering

    References

    Thin films are fabricated by the deposition of material atoms on a substrate. A thin film is defined as a low-dimensional material created by condensing, one-by-one, atomic/molecular/ionic species of matter. The thickness is typically less than several micron-meters. The thin films are typically deposited by a thermal evaporation of source materials in vacuum. Figure 1.1 shows the typical evaporation process for the deposition of thin films.

    Figure 1.1 Typical thin film deposition system in vacuum.

    Historically, thin films have been used for more than a half century in making electronic devices, optical coatings, instrument hard coatings, and decorative parts. In 1960s, thin film transistors (TFT) and/or thin film integrated circuits (ICs) were extensively studied. These trials were not used in practice due to the drift of the TFT. After the developments of Si-(MOS) (Metal Oxide Semiconductor) IC in 1970s, thin film materials were used only for passive devices. The real market of thin films could not be developed like Si-IC. A variety of new materials was developed in academic phase such as a diamond-like carbon (DLC), high-Tc superconductors. The thin film technology is a well-established material processing technology. However, the thin film technology is still being developed on a daily basis, since it is a key in the twenty-first century development of new functional materials such as nanometer materials and/or man-made superlattices.

    Thin film materials and devices are also available for minimization of toxic materials, since the quantity used is limited only to a surface and/or thin film layer. Thin film processing also saves energy consumption in production and is considered to be an environment-benign material technology.¹

    Thin film technology is both an old and a current key material technology. Thin film materials and deposition processes have been reviewed in several publications.²–¹⁹ Among the earlier publications, the Handbook of Thin Film Technology⁴ is still notable, even though 40 years have passed since the book was published and many new and exciting developments have occurred in the intervening years.

    At the beginning of this chapter, the features of the thin films are reviewed in relation to their applications for thin film devices and/or thin film micro-electromechanical systems (MEMS). Secondly, fundamentals of thin film fabrication processes are explained for a better understanding of the sputtering deposition.

    1.1 Thin Films and Nanomaterials

    Thin films are grown by the deposition of material atoms on a substrate. Typical thin film growth process on a substrate by the deposition material atoms is shown in Fig. 1.2.

    Figure 1.2 The growth models of the thin films.

    The thin film growth exhibits the following features:

    1. The birth of thin films of all materials starts with a random nucleation process followed by nucleation and growth stages.

    2. The nucleation and growth stages are dependent upon various deposition conditions, such as growth temperature, growth rate, and substrate surface chemistry.

    3. The nucleation stage can be modified by external agencies, such as electron or ion bombardments.

    4. Film microstructure, associated defect structure, and film stress depend on the deposition condition of the nuclear stage.

    5. Crystal phase and crystal orientation of the thin films are governed by the deposition conditions.

    The basic properties of thin films, such as film chemical composition, structural properties, film thickness, are controlled by the deposition conditions. The thin films exhibit unique properties that cannot be observed in bulk materials:

    1. Unique material properties resulting from the atomic growth process on the growing substrates.

    2. Size effects including quantum size effects characterized by the thickness, crystal orientation, and multilayer aspects.

    Bulk materials are usually sintered from powder of source materials. The particle size of the powder is of the order of 1 µm in diameter. Thin films are synthesized from ultrafine particles, i.e., atoms or a cluster of atoms. Ultrauniform compound materials are possibly synthesized from the atomic collisions between the adatoms on a substrate surface.

    Another consequence of the thin film growth process is the phenomenon of solubility relaxation. The atomistic process of growth during codeposition allows doping and alloying of films. Since thin films are formed from individual atomic, molecular, or ionic species, which have no solubility restrictions in the vapor phase, the solubility conditions between different materials are considerably relaxed. This allows the preparation of multicomponent materials, such as alloys and compounds over an extended range of compositions as compared to the corresponding bulk materials. It is thus possible to have tailor-made materials with desired properties, which adds a new and exciting dimension to materials technology. An important example of this technology of tailor-made materials is the formation of hydrogenated amorphous Si films for use in solar cells. Hydrogenation has made it possible to vary the optical band gap of amorphous Si from 1 to about 2 eV and to decrease the density of dangling bond states in the band gap so that doping a (n and p) is made possible.²⁰

    The properties of thin films are governed by the deposition method. The thermal evaporation is a well-known process. The deposition process using the irradiation of energetic species is known as sputtering. Bunsen and Grove first observed sputtering phenomena in a gas discharge tube over 150 years ago. The cathode electrode was disintegrated by the discharge. Since that time, the basic level of understanding of the sputtering process has been fairly well developed. It was known that the disintegration of the cathode materials was caused by irradiation of the cathode surface by highly energetic ions. The removed particles, called sputtered species, were composed of highly energetic atoms. Their energy ranges were 1–10 eV, which was higher than those of the other deposition processes such as the thermal evaporation in vacuum. The energetic sputtered species lower the synthesis temperature. Typical example of lowering the growth temperature is diamond growth at room temperature.²¹ The known bulk diamonds are synthesized at high pressure (~50,000 psi) and high temperature (2000°C). The deposition of energetic carbon ions (~10–100 eV) enables the growth of cubic diamond crystallites at room temperature as shown in Fig. 1.3.a It is possible to synthesize a hexagonal diamond at room temperature. Natural diamonds are cubic phase which is stable on the earth. Hexagonal diamonds cannot not be grown under thermodynamic equilibrium conditions; rather they are grown under nonthermal equilibrium conditions.²² The growth of hexagonal diamonds suggests that the thin film process provides exotic materials of nonthermal equilibrium phase.

    Figure 1.3 Crystal structure of room temperature growth of diamonds.

    Similar to the growth of hexagonal diamonds, varieties of exotic materials are synthesized based on the thin film processes such as superconducting cuprate of layered oxide perovskite compounds with high-transition temperature, Tc²³,²⁴ spin-dependent tunneling magnetoresistance (TMR) effect,²⁵ stressed perovskite ferroelectric thin films with high-Curie temperature,²⁶,²⁷ thickness and/or crystalline size effects on dielectric constants of perovskite ferroelectrics,²⁸,²⁹ layered ferroelectric perovskite thin films with a giant permittivity,³⁰ and/or with pseudopyroelectric effects.³¹ The stress affects the superconducting critical temperature for both metal superconducting thin films and the high-Tc cuprate thin films.³²,³³ Thin films further exhibit variety of interesting performance including intrinsic Josephson junctions in the high-Tc curates³⁴ and giant magnetoresistance (GMR) effects in multilayer.³⁵–³⁷,b

    The thin film process is also available for the fabrication of the nanometer materials. Nanomaterials are defined as follows: materials or components thereof in alloys, compounds, or composites having one or more dimensions of nanometer size (1 nm=10−9 m=10 A). The nanomaterials are classified into three types:

    1. Zero-dimensional nanomaterials have all three dimensions of nanometer size (e.g., quantum dots).

    2. One-dimensional nanomaterials have two dimensions of nanometer size (e.g., quantum wires).

    3. Two-dimensional nanomaterials have one dimension of nanometer size (e.g., thin films, superlattices).

    The phenomenological dimensionality of nanomaterials depends on the size relative to physical parameters such as quantum confinement regime (≤100 atoms), mean free path of conduction electron (<10 nm), mean free path of hot electron (≤1 nm), Bohr excitation diameter (Si=8.5 nm, CdS=6 nm, GaAs=196 nm), de Broglie wavelength (<1 nm).³⁸ The three types of nanomaterials have been successfully synthesized by the thin film deposition processes such as codeposition, layer-by-layer deposition in an atomic scale, and nanolithography.³⁹ The typical structure of the nanometer superlattices produced by the thin film process are shown in Fig. 1.4.

    Figure 1.4 Typical structure of the nanometer superlattices produced by the thin film process.

    The current progress in thin film research is much indebted to the atomic observation technology including the scanning tunneling microscope (STM) developed by Binnig and Rohrer.⁴⁰

    Table 1.1 summarizes the interesting phenomena expected in thin film materials and devices.

    Table 1.1 Interesting Phenomena Expected in Thin Film Materials

    Electron Transport Phenomena (F=film, B=bulk).

    1.2 Thin Film Devices and MEMS

    1.2.1 Thin Film Devices

    Since the latter part of the 1950s, thin films have been extensively studied in relation to their applications for making electronic devices. In the early 1960s, Weimer proposed TFT composed of CdS semiconducting films.⁴¹ He succeeded in making a 256-stage TFT decoder, driven by two 16-stage shift resistors, for television scanning, and associated photoconductors, capacitors, and resistors. Although these thin film devices were considered as the best development of both the science and technology of thin films for an integrated microelectronic circuit, the poor stability observed in TFTs was an impediment to practical use. The bulk silicon carbide (SiC) MOS devices were successfully developed at the end of 1960s.⁴² Thus, in the 1960s, thin film devices for practical use were limited to passive devices such as thin film resistors and capacitors. In the 1970s, several novel thin film devices were proposed, including thin film surface acoustic wave (SAW) devices,⁴³ and integrated thin film bulk acoustic wave (BAW) devices,⁴⁴ and thin film integrated optics.⁴⁵

    A wide variety of thin film devices were developed. Of these, one of the most interesting areas is a thin film amorphous silicon (a-Si) technology proposed by Spear.⁴⁶ This technology achieved low-temperature doping of impurities into a-Si devices and suggested the possibility of making a-Si active devices such as a-Si TFT and a-Si solar cells.⁴⁷,⁴⁸ In the 1980s, rapid progress was made in a-Si technology. Amorphous Si solar cells have been produced for an electronic calculator although the energy conversion efficiency is 5–7% and is lower than that of crystalline Si solar cells. In the middle of the 1980s, high-quality a-Si technology has led to the production of a liquid crystal television with a-Si TFT. Due to the improvement of a-Si thin film, the energy conversion efficiency of the a-Si solar cells has been improved and the efficiency is as high as 12%.⁴⁹ The a-Si/poly-Si stacked cell shows the efficiency of 21–23%,⁵⁰ which is the same order of magnitude as the efficiency of single crystal Si solar cells. The processing temperature is as low as 300°C for the a-Si thin film solar cells. The thin film technology for the high-efficiency a-Si solar cells with small processing energy will be a key technology for the production of the clean energy, since the single crystal bulk Si solar cells consume much energy for the production of the solar cells.⁵¹

    Other interesting thin film devices are ZnO thin film SAW filters for a color television, mobile telephone, and a variety of communication systems.⁵²,⁵³ The SAW devices act as a solid-state band-pass filter, which cannot be replaced by a-Si-IC, and are composed of a layered structure of ZnO thin piezoelectric film on a glass substrate. The high-quality growth techniques available for ZnO thin films have made possible the large-scale production of these devices. This type of thin film device is used in a higher frequency region of GHz band for CATV, satellite TV, and personal telephone. The SAW devices are provided in a form of small tip-like ceramic capacitors. Thin film SAW device is essential for a fabrication of RF-MEMS.

    SiC thin film high-temperature sensors⁵⁴ are another attractive thin film device produced in the 1980s. They suggest the possibility of high-accuracy, low-temperature synthesis of high melting point materials by thin film growth processes. The SiC thin film devices are now developed as a high-power semiconducting IC and/or radiation resistance semiconducting devices. The nanometer multilayered structure provided by δ-doping process realized the high mobility SiC MOS devices. The SiC MOS devices have a high potential for saving energy in consumer electronics systems.⁵⁵

    Magnetic heads having a narrow magnetic gap for video tape recording systems and for computer disk applications were produced by thin film processing. In the production of the magnetic gap, a nonmagnetic spacer was formed from glass material. Prior to the use of thin film technology, the spacer manufacturing process was quite complex. For instance, magnetic head core material was first immersed in a mixed solution of finely crushed glass, then taken out and subjected to centrifugation so that a homogeneous glass layer was deposited on the opposing gap surfaces of the core members. After forming a glass film on the core surfaces by firing the deposited glass layer, the two opposing gap faces are butted against each other with the glass layer sandwiched in between and then fused together by a heat treatment to form the desired operative gap. Since the width of the magnetic gap was around 0.3 μm, these methods were difficult to use in production because of the difficulty in controlling the film thickness of the fired glass.

    Thin film deposition technology overcomes these problems and realizes the production of the magnetic head with a narrow gap length of 0.3 μm.⁵⁶ The narrow-gap forming technology is based on the thin film deposition process in atomic scale. The thin film technology with the precise deposition develops the layered new materials including GMR magnetic materials. The spin-dependent TMR effects provide a high-density memory disk of up to 200 Gbit/inch².

    At present, various kinds of thin film materials are used for the production of the electronic devices including high precision resistors, SAW filters, optical disks, magnetic memories, sensors, and active matrix for liquid crystal TV. Thin films of the high-Tc superconductors are used for the fabrication of superconducting planar filters with GHz band.⁵⁷ The integrated acousto-optic and magnetooptic devices have been further developed for optical information processing by Tsai.⁵⁸ Recent progress of these thin film devices is owed to the developments of Si-large-scale integration (LSI) technology including thin film growth process, micro-fabrication, and analysis technology of both the surface and interfaces of the thin films. It is noted that the ferroelectric dynamic random access memory (FEDRAM) is developed and now used in practice. The ferroelectric thin films were used in the past for the high capacitive electronic components and/or pyroelectric sensors.⁵⁹ The development of FEDRAM has owed to the integration of the LSI technology and the ferroelectric thin film technology. After the development of FEDRAM, several new thin film memory devices have been proposed, including a magnetoresistance dynamic random access memory (MRDRAM) and a bi-stable resistance memory (BRDRAM). Although these memory devices are not widely used yet, these new memory devices will be a key LSI technology for the next generation.⁶⁰–⁶⁵

    Figure 1.5 shows a photograph of a building with thin film solar cells on the roof. Thin film solar cells are important energy sources in this century. At present, the efficiency of the thin film solar cells is not acceptable. An advanced thin film material technology will result in high-efficiency solar cells.c

    Figure 1.5 Photograph of thin film solar cells on the roof. Research Institute of Technology Innovations for the Earth (Kyoto).

    1.2.2 Thin Film MEMS

    MEMS are based on micro-mechanical engineering. The design and the fabrication of MEMS are initially based on the combination of the micro-mechanical technology with the micro-fabricating technology established in the Si-IC technology. The Si-IC technology includes deposition and etching of dielectrics such as thin films of SiO2 and/or Si3N4, and metal electrodes such as thin films of Al and Pt. Several types of MEMS are proposed.

    The first stage of MEMS includes the active elements such as micro-actuators, which are fabricated by the integration of bulk functional devices such as piezoelectric ceramic cantilevers with a conventional electromechanical system. The further miniaturization of MEMS could be achieved by the integration of thin film functional devices instead of the bulk functional devices.

    The important technology for the fabrication of MEMS is the thin film technology of functional materials such as ferroelectrics, piezoelectrics, and magnetics. The Si technology is designed for the fabrication micro-Si devices. The material design and fabrication of MEMS are different from those of Si devices. The technology of the functional thin film materials is a key technology for a development of better MEMS.

    The functional thin film material technology shows following unique points:

    1. Thin film technology covers a wide variety of materials.

    Functional electric, magnetic, and optical materials, mechanical materials including hardening and friction-free surface materials, chemically functional materials such as catalysis, and bioactive surface.

    2. The fabrication processes are based on vacuum technology.

    3. MEMS are designed on a variety of substrates. The production process of MEMS is different from the conventional Si-IC process designed for large-scale production.

    Typical classification of MEMS is given in Table 1.2.⁶⁶

    Table 1.2 Classification of MEMS

    1.3 Thin Film Deposition

    Typical deposition methods of thin films are shown in Fig. 1.6. The deposition methods are composed of the PVD process, the CVD process, and the chemical solvent deposition including sol–gel deposition. The PVD process is divided into two categories: (1) thermal evaporation and (2) sputtering. Several publications have presented a detailed review of thin film deposition processes.²–¹⁹ Brief descriptions of the PVD and CVD process are presented in this section.

    Figure 1.6 Typical deposition methods of thin films.

    1.3.1 Physical Vapor Deposition, PVD

    Vacuum Deposition

    Thermal evaporation process comprises evaporating source materials in a vacuum chamber below 1×10−4  Pa and condensing the evaporated atoms on a substrate. We conventionally call the thermal evaporation process vacuum deposition. Typical constructions of the thermal evaporation system are shown in Fig. 1.7.

    Figure 1.7 Typical constructions of the thermal evaporation system.

    Resistive heating is most commonly used for the deposition of thin films. The source materials are evaporated by the resistively heated filament or boat, generally made of refractory metals such as W, Mo, and Ta, with or without ceramic coatings. Crucibles of quartz, graphite, alumina, beryllia, boron-nitride, and zirconia are used with indirect heating. The refractory metals are evaporated by electron beam deposition, since the refractory metals cannot be evaporated by the resistive heating.

    Kinetic energies of evaporating source material atoms are 0.1–0.3 eV (1000–3000 K). The evaporated atoms transit vacuum to the surface of the substrates without collisions. The kinetic energies of adatoms are the same to those of evaporated atoms. According to the Langmuir–Dushman theory of the kinetics of evaporation, the rate of free evaporation of atoms or molecules from a clean surface of unit area in vacuum Ne is given by

    (1.1)

    where pe is the equilibrium vapor pressure (in Torr) of the evaporant under saturated vapor conditions at a temperature T, and M is the molecular weight of the vapor species. The rate of condensation of vapor depends not only on the evaporation rate but also on the source geometry, its position relative to the substrate, and condensation coefficient.⁶⁷–⁶⁹ For the ideal case of deposition from a clean, uniformly emitting point source onto a plane substrate, the rate of deposition varies as cos θ/r² (Knudsen’s cosine law), where r is the radial distance of the substrate from the source and θ is the angle between the radial vector and the normal to the substrate. If t0 is the thickness of the deposit where the vapors fall normally and t is the thickness of the deposit at a distance x from this point, the deposit distribution is given by

    (1.2)

    where h is the normal distance of the point source from the substrate. For the evaporation from a small plan area onto a parallel plane substrate, the deposition rate is proportional to cos ²θ/r², and the thickness distribution is given by

    (1.3)

    For reasonable deposition rates (100–1000 nm/min) at the source-to-substrate distance of 20 cm, the vapor pressure should be about 10−2 Torr. The source temperature should be adjusted to give this value of the vapor pressure. For example, the source temperature is 1490 K for Al and 3330 K for Ta.d

    Pulsed Laser Deposition

    Pulsed laser deposition (PLD) is proposed by Singh and Narayan.⁷⁰ The PLD is widely used for deposition of alloys and/or compounds with the controlled chemical composition. In laser deposition, the high-power ultraviolet pulsed laser, such as a KrF excimer laser (1 J/cm²), is irradiated through a quartz window. A quartz lens is used to increase the energy density of the laser power on the target source. Atoms that are ablated or evaporated from the surface are collected on nearby sample surfaces to form thin films. Typical PLD system is shown in Fig. 1.8. The target material is locally heated to the melting point, melted, and vaporized in vacuum. The laser pulse may also provide photoemitted electron from the target that will make the plasma plume. The evaporation mechanism will be complex, since the process includes the thermal process and the photo-plasma process. PLD has the advantage of being simple in design, and the target has many forms such as a powder, sintered pellets, and single crystal. However, at present the process has a limited area of uniform deposition, and micro-sized globules or particles are ejected from the target.⁷¹ To avoid the deposition of the micro-sized ejected particles, the substrates are settled at an off-axis position.

    Figure 1.8 Typical PLD system.

    The mechanism of the evaporation is simply discussed by Mahan using the thermal evaporation model.⁷² The irradiated laser power is absorbed on the surface of the target. The optical absorption depth, L0, of the ultraviolet laser is on the order of 10 nm. The absorption depth, L0, is given by

    (1.4)

    where α is the optical absorption constant of the target. The thermal diffusion depth, Lt, will govern the heating of the target; this is given by

    (1.5)

    where δt is the pulse duration of the laser light, κ is the thermal conductivity of the target, c is the molar heat capacity of the target, nmol is the molar density of the target. The L0 and Lt characterize the mode of the heating as follows:

    (1.6)

    The values of Lt for copper and Si are typically in micrometers. Insulator and wide band gap semiconductor target show weak absorption. Metal target and narrow band gap semiconductor target show strong absorption. The strong absorption effectively melts the target and thermally evaporates. The laser irradiation may photoemit electrons from the target accompanied by photo-ionized atoms of target materials. These ionized atoms create the plasma plume. The energies of ejected particles distribute from low energy of about 0.1 eV to high energy of about 30–40 eV. The high-energy ejected particles will be created by the sputtering process in the plasma plume.

    Molecular Beam Epitaxy

    Molecular beam epitaxy (MBE) process is the most reliable deposition process in thermal evaporation. Figure 1.9 shows a typical MBE system. The system is a controlled MBE process, where the evaporation rate of the source materials is controlled in situ by a computerized process control unit. The man-made superlattice structure composed of thin alternating layers of GaAs and GaAlAs can be successfully deposited by Esaki as shown in Fig. 1.10.⁷³

    Figure 1.9 Typical MBE system. ⁷³

    Figure 1.10 Man-made superlattice structure composed of thin alternating layers of GaAs and GaAlAs. ⁷³

    This kind of deposition process is now widely used for the controlled deposition of alloys and compounds. The system is generally composed of a growth chamber, an analysis chamber, and a sample chamber. The typical MBE system for the deposition of compound oxide thin films is shown in Fig. 1.11.⁷⁴ A vapor source of metalorganic compounds is also used for MBE.⁷⁵

    Figure 1.11 Schematic diagram of an MBE growth chamber for growing high- T c superconductors. ⁷⁴

    Sputtering

    When a solid surface is bombarded with energetic ions, surface atoms of the solid are scattered backward due to collisions between the surface atoms and the energetic particles as shown in Fig. 1.12. The phenomenon is called back-sputtering or simply sputtering, when a thin foil is bombarded with energetic particles some of the scattered atoms transmit through the foil. The phenomena are also called transmission-sputtering. The word spluttering is synonymous with sputtering. Cathode sputtering, cathode disintegration, and impact evaporation are also used in the same sense.

    Figure 1.12 Physical sputtering processes.

    Seminal works have been done on the cathode sputtering by Wehner and Anderson.⁷⁶ Several types of sputtering systems are used in practice including DC diode, RF-diode, magnetron diode, and ion beam sputtering. Typical sputtering systems are shown in Figs. 1.13 and 1.14. McClanahan and Laegreid presented a historical review of the sputtering deposition.⁷⁷ Among these sputtering systems, the simplest model is the DC diode sputtering system shown in Fig. 1.13. The DC sputtering system is composed of a pair of planar electrodes. One of the electrodes is cold cathode and the other is anode. The front surface of the cathode is covered with target materials to be deposited. The substrates are placed on the anode. The sputtering chamber is filled with sputtering gas, typically Argon gas at 1–5 Pa. The glow discharge is maintained under the application of DC voltage between the electrodes. The Ar+ ions generated in the glow discharge are accelerated at the cathode fall (sheath) and sputter the cathode target resulting in the deposition of the thin films of the cathode target on the substrates. In the DC sputtering system, the target is composed of metal, since the glow discharge (i.e., current flow) is maintained between the metallic electrodes.

    Figure 1.13 Sputter deposition systems.

    Figure 1.14 Magnetron sputter deposition systems.

    By simple substitution of an insulator for the metal target in the DC sputtering discharge system, the sputtering discharge cannot be sustained because of the immediate buildup of a surface charge of positive ions on the front side of the insulator. To sustain the glow discharge with the insulator target, RF voltage is supplied to the target. This system is called RF-diode sputtering as shown in Fig. 1.13. In the RF-sputtering system, the thin films of the insulator are sputtered directly from the insulator target.

    The deposition rates of sputtering systems are lower than those of vacuum deposition. Lowering the sputtering pressure increases the deposition rates. In order to lower the sputtering pressure, magnetron-type discharge is introduced as shown in Fig. 1.14. Two types of the magnetron sputtering system are used in practice. One is a planar magnetron and the other is a coaxial magnetron (cylindrical magnetron).

    In the magnetron sputtering system, a magnetic field is superposed on the cathode which is parallel to the cathode surface. The electrons in the glow discharge shows cycloid motion and the center of the orbit drifts in a direction of E×B with the drift velocity of E/B, where E and B denote the electric field in the discharge and the superposed transverse magnetic field, respectively. The magnetic field is oriented such that these drift paths for electrons form a closed loop. This electron trapping effect increases the collision rate between the electrons and the sputtering gas molecules. This enables one to lower the sputtering gas pressure as low as 10−1 Pa, but more typically 0.5–1 Pa. In the magnetron sputtering system, the magnetic field increases the plasma density, which leads to increases of the current density at the cathode target, effectively increasing the sputtering rate at the target. Due to the low working gas pressure, the sputtered particles traverse the discharge space without collisions, which results in high deposition rate.

    Historically, the cylindrical magnetron sputtering was first proposed by Penning in 1936.⁷⁸ After 25 years, in the 1960s, the cylindrical magnetron has been brought round again.⁷⁹,⁸⁰ The planar magnetron is indispensable for the fabrication of Si-IC. A prototype of the planar magnetron was proposed by Wasa and Hayakawa in 1967,⁷⁹ and practical planar magnetron system was produced by Chapin in 1974.⁸¹

    In these glow discharge systems, the sputtered films are irradiated by sputtering gas molecules during thin film growth. This causes the inclusion of the gas molecules in the sputtered films. In the ion beam sputtering system, incident ions are generated at the ion source. The target is sputtered in a sputtering chamber separated from the ion source. In the ion beam sputtering system, the ion beam current is typically 10–500 mA with the ion energy from 0.5 to 2.5 kV. Since the ions are generated in the ion source discharge chamber, the working pressure of the sputtering chamber can be reduced as low as 1×l0−3 Pa. This reduces the amount of gas molecules included in the sputtered films.

    Pioneering work was done by Chopra on the deposition of thin films by ion beam sputtering in 1967.⁸² Although ion beam sputtering is not widely used for thin film deposition, this kind of system is widely used for the sputter etching of semiconducting devices. Recent interest has been paid to the synthesis of exotic thin films by ion beam sputtering.⁸³ The basic sputtering data has been summarized by Behrish.⁸⁴

    Miscellaneous PVD Processes

    Ion plating was first proposed by Mattox in the 1960s.⁸⁵ The coating flux is usually provided by thermal evaporation. The evaporated atoms are ionized at the plasma region and accelerated by the electric field prior to deposition. A typical construction is shown in Fig. 1.15. The adhesion of thin films is improved by the acceleration of evaporated atoms.

    Figure 1.15 Ion plating.

    Activated reactive evaporation (ARE) proposed by Bunshah is commonly used for the deposition of metal oxides, carbides, and nitrides.⁸⁶ The configuration of the ARE system is shown in Fig. 1.16. Reactive gas is injected into the plasma region so as to achieve the reaction between evaporated atoms and the reactive gas atoms.

    Figure 1.16 Activated reactive evaporation.

    Ionized cluster beam deposition (ICBD), which was developed by Takagi in the 1970s, is a modification of ion plating.⁸⁷ Atoms are evaporated from a closed source through a nozzle. Cooling of the atoms upon expansion through the nozzle leads to cluster formation, which might have a few hundred to 1000 atoms per cluster. The cluster is ionized through the plasma region and is then accelerated to the substrate. The average energy of the atoms in the accelerated is in a range from 0.2 to several eV, even when the clusters are accelerated to be kV. The relatively low energy of the adatoms will reduce the lattice damage to the growing surface.

    1.3.2 Chemical Vapor Deposition, CVD

    Thermally Activated CVD

    When a volatile compound of the substance to be deposited is vaporized, and the vapor is thermally decomposed or reacted with other gases, vapors, or liquids at the substrate to yield nonvolatile reaction products which deposit automatically on the substrate, the process is called CVD. Fundamental aspects of the CVD are described in detail by Kern and Ban.⁸⁸ Most CVD processes operate in the range of a few Torr to above atmospheric pressure of the reactants. A relatively high temperature (near 1000°C) is required for CVD processes. Several CVD processes are proposed to increase the efficiency of the chemical reaction at lower substrate temperature. Typical construction of the CVD deposition system is shown in Fig. 1.17. The thermal CVD system includes metalorganic CVD (MOCVD) and organometallic vapor-phase epitaxy (OMVPE) for the synthesis of compound semiconductor layers. The CVD process is the major method of thin film deposition for a fabrication of computer chips.⁸⁹

    Figure 1.17 Chemical vapor deposition.

    Plasma-Assisted CVD

    Plasma-assisted CVD (PACVD) is one of the modifications of conventional CVD.⁹⁰ The typical construction is shown in Fig. 1.18. In the PACVD system, the electric power is supplied to the reactor so as to generate the plasma. Usually, the working pressure is in the range of 10–100 Pa. In the plasma, the degree of ionization is typically only 10−4, so the gas in the reactor consists mostly of neutrals. Ions and electrons will travel through the neutrals and get energy from the electric field in the plasma. The average electron energy is 2–8 eV, which corresponds to the electron temperature of 23,000–92,800 K. In contrast, the heavy, much more immobile ions cannot effectively get couple energy from the electric field. The ions in the plasma show slightly higher energy than neutral gas molecules at room temperature. Typically, the temperature of the ions in plasma is around 500 K.

    Figure 1.18 Plasma-assisted CVD.

    Since the electron temperature in the plasma is much higher than the gas temperature, thermal equilibrium is not maintained between electrons and neutral gas molecules. This suggests that the plasma in the glow discharge is a sort of cold plasma which comprises high temperature electrons, i.e., hot electrons and room temperature gas molecules. The high temperature electrons enhance the chemical reactions in the plasma as indicated in Table 1.3. This lowers the temperature of reactions. For this reason, PACVD is one of the most important processes in the electronics industry.

    Table 1.3 Plasma Enhanced Chemical Reactions

    Several improved PACVD processes have been developed. In one major development, microwave-based plasmas have been used to reduce the working pressure. A magnetic field is superposed on the microwave plasma at the appropriate field strength to cause a resonance between the electron cyclotron frequency and the applied electric field. This is known as an electron cyclotron resonance (ECR) condition.⁹¹ A typical construction is

    Enjoying the preview?
    Page 1 of 1