Sunteți pe pagina 1din 2

module maxofsine

(
//input clk,rst,
input rst,
input [16:0] sine,
output [16:0]max,
output reg k,n
);
////////////////////////////////////////
reg [16:0]maximum;
//reg k;
reg [16:0] temp,t1;
reg [16:0] s;
////////////////////////////////////////
//always@(posedge clk)
always@(sine)
begin
if(!rst)
begin
maximum<=17'b0;
k<=0;
n<=0;
end
else
begin

temp<=sine;
t1<=temp;
s=temp-t1;
if(s[16])
begin
k<=1;//difference negative
end
else
begin
k<=0;//difference positive
end
//maximum=t1;
if (sine==558)
n=1;
else
n=0;

end
end
assign max=maximum;
endmodule

S-ar putea să vă placă și