Sunteți pe pagina 1din 8

Laboratorio N5

2014
FACULTAD DE INGENIERIA ELECTRONICA E INFORMATICA















SECCION: INGENIERIA DE TELECOMUNICACIONES TURNO TARDE
ALUMNO: Cava Vsquez, Mayte Miluska
PROFESOR: Ing. Amador Humberto Vivar Recarte



GUA N04
DISEO DE CONTADORES Y REGISTROS EN QUARTUS II
CON VHDL
OBJETIVO
Analizar y comprender el funcionamiento de los circuitos lgicos
secuenciales; obteniendo las ecuaciones de estados, funciones de entrada,
tabla y diagrama de estado.
Elaboracin de diagramas de tiempo en Quartus II.

MATERIAL

Esta prctica se realizar en equipos de 3 alumnos por equipo. Cada equipo de
trabajo requiere el siguiente material:

1 CI SN 7404
1 CI SN 7408
1 CI SN 7432
1 CI SN 7486
1 CI SN 74279
1 CI SN 7474
1 Microswitch de 8P8T
3 LEDS
3 Resistores de 220
4 Resistores de 3.9 K
EQUIPO

Osciloscopio HAMEG HMO 1522
1 Protoboard
1 Probador Lgico
1 Fuente de alimentacin de 5 VDC
1 Generador de Funciones
Equipo de cmputo
Quartus II v 9.1








PROCEDIMIENTO

1. Digitar el siguiente programa en VHDL. Este programa corresponde a
un registro de 8 bits con clear asncrono.
















2. El siguiente programa corresponde a un registro de n bits.Digitelo y
haga su diagrama de tiempo.














3. El siguiente programa corresponde a un registro de desplazamiento
SISO (Serial Input Serial Output). Digtelo y haga su diagrama de
tiempo. Despus de cuantos pulsos de reloj se puede observar toda
la salida?






4. El siguiente programa corresponde a un registro de desplazamiento
SISO (Serial Input Serial Output). Digtelo y haga su diagrama de
tiempo. Despus de cuantos pulsos de reloj se puede observar toda
la salida?









5. El siguiente programa corresponde a un registro de desplazamiento
SISO de n bits (Serial Input Serial Output). Digtelo y haga su diagrama
de tiempo. Despus de cuantos pulsos de reloj se puede observar
toda la salida?










6. El siguiente programa corresponde a un contador ascendente de 4
bits con carga paralela. Digitelo y haga su diagrama de tiempo.
Despus de cuantos pulsos de reloj se puede observar toda la
salida?

S-ar putea să vă placă și