Sunteți pe pagina 1din 1

module clk2

(
input clk,rst,
output reg q
);
always@(posedge clk)
begin
if(!rst)
q<=1'b0;
else
q<=~q;
end
endmodule

S-ar putea să vă placă și