Sunteți pe pagina 1din 24

UNIVERSIDAD NACIONAL DEL CALLAO

FACULTAD DE INGENIERA ELCTRICA Y


ELECTRNICA
ESCUELA PROFESIONAL DE INGENIERA
ELECTRNICA

CONTADOR DE PERSONAS SISTEMAS


EMBEBIDOS

CURSO

ARQUITECTURA DE COMPUTADORAS

PROFESOR

CUCHO MENDOZA, ZENON ANDRES

ALUMNO
TEMA

CICLO

Ao

FERNANDEZ VILCHEZ STEVE 060593I

:
CONTADOR DE PERSONAS CON
SISTEMAS EMBEBIDOS Y SPLDS

2014 A

: 2014

CONTADOR DE PERSONAS SISTEMAS EMBEBIDOS


OBJETIVOS

El principal objetivo del presente informe es el comprender y entender el


funcionamiento y aplicacin de los sistemas embebidos.
Como estos podran aplicarse a un campo real y por consiguiente dar
beneficio al usuario para facilitar muchas tareas en su vida diaria.
Conocer el uso e implementacin de los PLDS y saber codificar y usar
programas en VHDL como es el caso de la codificacin del Contador.
Conocer los distintos tipos de familias para el proceso de quemado del
programa en un PLD con la serie GAL para el proceso de implementado.

ASPECTO TEORICO DEL SISTEMA.


GAL Y VHDL
GAL (GENERIC ARRAY LOGIC)
En la dcada de 1960 surge otro campo de la electrnica denominado Electrnica Digital,
el cual se basa en el lgebra Booleana creada por George Boole (1815-1864), lgico y
matemtico britnico, que en 1854 escribi Las leyes del pensamiento, en el cual describe
un sistema algebraico en donde las proposiciones lgicas se indican por smbolos y
pueden relacionarse mediante operadores matemticos abstractos que corresponden a
las leyes de la lgica.

ASICS
Desde los finales de la dcada de 1970, los equipos electrnicos digitales utilizan
Circuitos Integrados (CI o CHIPS) de funcin lgica fija, realizados en pequea o mediana
escala de integracin (SSI, MSI). Para la implementacin de aplicaciones muy complejas,
que requieren de una gran cantidad de circuitos de funcin fija, resulta ms conveniente
intgralos en un solo dispositivo fabricado a la medida, los cuales son llamados: ASICS,
Application Specific Integrated Circuits. (Circuitos Integrados de Aplicacin Especifica o
circuitos a la medida).
Entre las ventajas que presenta el uso de los ASICs podemos mencionar que: Ahorran
espacio, reducen el nmero de dispositivos, menor costo, reducen el tiempo de ensamble,
bajo consumo de potencia, menor calentamiento, facilidad en la verificacin (control de
calidad), mejor confiabilidad. Los ASIC se pueden clasificar por su tecnologa de
fabricacin en cuatro categoras: Arreglos de Compuertas, Celdas Estndar, Full Custom
y Lgica Programable.

PLD
Un dispositivo de lgica programable (PLD) es un Circuito Integrado cuya
estructura lgica final es directamente configurada por el usuario, sin necesidad de
llevar
a
cabo
ningn
proceso
de
fabricacin.
Un dispositivo lgico programable, o PLD (Programmable Logic Device), es un
dispositivo cuyas caractersticas pueden ser modificadas y almacenadas mediante
programacin. El principio de sntesis de cualquier dispositivo lgico programable
se fundamenta en el hecho de que cualquier funcin booleana puede ser
expresada como una suma de productos. El dispositivo programable ms simple
es el PAL (Programmable Array Logic). El circuito interno de un PAL consiste en
un arreglo, o matriz, de compuertas AND y un arreglo de compuertas OR. El
arreglo AND es programable mientras que el OR generalmente es fijo. Mediante
una matriz de conexiones se seleccionan cuales entradas sern conectadas al
arreglo AND, cuyas salidas son conectadas al arreglo OR y de esta manera
obtener una funcin lgica en forma de suma de productos. Una matriz de
conexiones es una red de conductores distribuidos en filas y columnas con un
fusible
en
cada
punto
de
interseccin.
La mayora de los PLDs estn formados por una matriz de conexiones, una matriz
de compuertas AND, y una matriz de compuertas OR y algunos, adems, con
registros. Con estos recursos se implementan las funciones lgicas deseadas
mediante un software especial y un programador, las matrices pueden ser fijas o
programables.

Estructura bsica de un PLD


Los PLDs facilitan el proceso de diseo y reducen el tiempo de desarrollo, cuando se
requieren prototipos o produccin de baja escala, pues todo el proceso se puede llevar a
cabo con la ayuda de una computadora personal, programas de aplicacin y el
programador los cuales actualmente estn disponibles a bajo costo.
Algunos fabricantes de PLDs son:
Actel (http://www.actel.com/)
Altera Corp. (http://www.altera.com/)
Atmel Corp. (http://www.atmel.com/)
Chip Express (http://www.chipexress.com/)
Cypress Sem.(http://www.cypress.com/)
Lattice Sem. (http://www.latticesemi.com/)
Quicklogic Corp. (http://www.quicklogic.com/)
Xilinx Inc. (http://www.xilinx.com/)

Los SPLDs estn constituidos por un arreglo de compuertas AND,


seguido por otro arreglo de compuertas OR, uno o ambos arreglos
programables, algunos incluyen Flip Flops.

Arreglo AND OR de un SPLD


A su vez los SPLDs se pueden clasificar segn su estructura interna en:
PAL Programmable Array Logic, VANTIS.
GAL Generic Array Logic, Lattice Semiconductor.
PLA Programmable Logic Array.
PLD Programmable Logic Device.

Clasificacin de los SPLDS


De estos tipos de SPLDs, el GAL destaca por su bajo precio y versatilidad por lo que lo
describiremos en el siguiente punto.

GAL
GAL (Generic Array Logic), en espaol Arreglo Lgico Genrico, son un tipo de circuito
integrado, de marca registrada por Lattice Semiconductor, que ha sido diseados con el
propsito de sustituir a la mayora de las PAL, manteniendo la compatibilidad de sus
terminales.
Utiliza una matriz de memoria EEPROM en lugar por lo que se puede programar varias
veces.
Un GAL en su forma bsica es un PLD con una matriz AND reprogramable, una matriz
OR fija y una lgica de salida programable mediante una macrocelda. Esta estructura
permite implementar cualquier funcin lgica como suma de productos con un nmero de
trminos definido. En los PLDs no reprogramables la sntesis de las ecuaciones lgicas se
realiza mediante quema de fusibles en cada punto de interseccin de los pines de entrada
con las compuertas. En el caso de un GAL es bsicamente la misma idea pero en vez de
estar formada por una red de conductores ordenados en filas y columnas en las que en
cada punto de interseccin hay un fusible, el fusible se reemplaza por una celda CMOS
elctricamente borrable (EECMOS). Mediante la programacin se activa o desactiva cada
celda EECMOS y se puede aplicar cualquier combinacin de variables de entrada, o sus
complementos, a una compuerta AND para generar cualquier operacin producto que se
desee. Una celda activada conecta su correspondiente interseccin de fila y columna, y
una celda desactivada desconecta la interseccin. Las celdas se pueden borrar y
reprogramar elctricamente.
ESTRUCTURA
El GAL bsicamente est formado por una matriz AND reprogramable y una matriz OR fija
con configuracin programable de salidas y/o entradas.

Estructura Basica de un GAL

Las estructuras GAL son estructuras PAL construidas con tecnologa CMOS, y fueron
comercializadas por primera vez en 1984 por Lattice Semiconductor. Como se ha
mencionado, son programables y borrables elctricamente. Son reprogramables y ms
flexibles, a la salida de la matriz AND/OR hay un circuito ms complejo con selectores y
flip-flops que permiten implementar ecuaciones ms complejas. Hay distintas
arquitecturas segn la versin del fabricante. La Figura 7 presenta un ejemplo de una
GAL.

El circuito a la salida de la matriz se denomina macrocelda. Tienen integracin


baja/media. El Terminal puede funcionar como entrada o salida segn la
programacin. Los trminos productos se dibujan todos sobre una sola lnea para
simplificar el diagrama.

REFERENCIA ESTNDAR
De manera comercial las GALs estn identificadas por un estndar que permite conocer
sus caractersticas rpidamente. Por ejemplo a continuacin describimos el dispositivo
GAL22V10.

GAL22V10:

DESCRIPCION:

12 Entradas dedicadas
10 pines E/S
Disponible encapsulado DIP (24PINES),PLCC(28 PINES) o baja tensin
GAL22LV10.
10 OLMC disponibles.
OLMCs programables como E o S combinacional o como S
secuencial.
OLMC formada por 1 flip-flop y 2 multiplexores.
A la salida OLMC existe un buffer triestado ( nivel bajo, alto, alta
impedancia).

DESARROLLO E IMPLEMENTACION DEL PROGRAMA EN VHDL


AL GAL AM22V10
PASO 1: DESARROLLO DEL PROGRAMA EN VHDL
Para el llenado y cuerpo del programa trabajamos de manera preferente en el QUARTUS
II de altera ya que ah podremos y tenemos mayor conocimientos de como trabajarlo
mejor.

OBSERVACION:

Como se puede apreciar la codificacin y desarrollo del programa no es muy


compleja un contador de 0 a 255 sincrnico habilitado con reset.
PASO 2: CREACION DE NUESTRO GAL PARA EL LLENADO DEL CODIGO EN
VHDL.
Para este paso tenemos que hacer uso del programa ISP LEVER CLASSIC el cual
dejare como referencia abajo para su descarga.
http://www.latticesemi.com/Products/DesignSoftwareAndIP.aspx
Descargar la opcin que dice: ISP LEVER CLASSIC SOFTWARE.
Al terminar de descargar tendr un peso mximo de 730 MB y cuando lo instalen
les pedir clave de licencia para eso debemos registrarnos en su pgina WEB y
pedir la licencia brindndole su direccin fsica de su computadora, adoptan esta
medida para evitar la piratera del programa.
Despus de instalarlo accedemos a la pgina de licencias
http://www.latticesemi.com/licensing/
Y escojemos ispLEVER Classic
Request a Licence

Se abrir una pgina con un recuadro como el de la figura siguiente.


En la barra de texto escriba la direccin NIC de su computadora (vea paso 7 para
obtener la NIC).
Luego pulse el botn Generate License. Con esto se enviar un archivo de licencia a
su correo proporcionado.

Para obtener la NIC de su computadora entre a la ventana de comandos de MSDOS,


y pulse el comando ipconfig /all.

Al pulsar enter se mostrar una serie de direcciones, debe escribir la que dice
direccin fisica (vea la figura siguiente) sin los guiones como se muestra debajo de
esta figura.

Una vez generada la licencia, le enviarn a su correo un archivo llamado licence.dat el


cual debe copiar en el directorio licence de la instalacin (comnmente en
C:\ispLEVER_Classic1_4\license).
Listo!. Ya puede usar el programa.

UNA VES INSTALADO PROCEDEMOS A LA CREACION DEL GAL Y EL


ARCHIVO .JED EL CUAL NOS PERMITIRA INGRESAR NUESTRO
PROGRAMA EN VHDL AL GAL AM22V10D.

ABRIMOS EL ISP LEVER CLASIS Y CREAMOS NUEVO PROYECTO:

NOMBRE DEL PROYECTO CONTADOR_PERSONAS CONDIGO EN VHDL

Marcamos la pestaa que dice show creation y nos aparece la serie GAL
seleccionamos lo mismo que esta en la pantalla.

Aqu abrimos en archivo que esta codificado en VHDL el cual usamos en el


quartus II.

Aparecera nuestro cdigo en vhdl

Y listo ya abremos cargado nuestro cdigo de VHDL al ISP LEVER para el


quemado de Fusible y posterior ingreso del programa nuestra GAL.

Procedemos al compilado de la GAL y del CODIGO

Aqu podemos saber cuales son los PINES QUE ESTAN HABILITADOS EN
NUESTRA GAL PARA INSTALARLO EN PROTEUS MUY IMPORTATE.

Una vez finalizada nuestra compilacin y quemado de fusibles este se


guardara en la misma carpeta donde guardamos nuestro condigo en VHDL
para el QUARTUS II

Una vez creado nuestro archivo JED este ser guardado en la carpeta que
previamente seleccionamos en mi caso fue la misma carpeta donde guarde
mi contador de personas en el QUARTUS II.

Abrimos en proteus y seleccionamos en el grupo donde se encuentran los


PLDS Y FPGAS el GAL AM22V10D. Damos doble click sobre el y nos
aparecer esta ventana donde cargamos en archivo en .JED y lo cargamos.

Finalmente procedemos con la simulcion de nuestro programa compilado en


QUARTUS para VHDL Y quemado para GAL con el SPS LEVEL CLASSIC.

Como se puede observar el programa fue quemado en el GAL AM22V10D y este


cumplira la labor de un contador de personas simplemente reemplazando el clock
por un dispositivo que podra activar al pasar las personas por ella como si fuera
un sensor podramos adaptarlo a sistemas de conteo para saber el nmero de
ingreso que hay por ella tendra un sinfn de utilidades en lo que respecta a la
utilizacin de un sistema de conteo.

OBSERVACION Y CONCLUSIONES:
Como se observa los PLD tiene muchas utilidades sobre todo para facilitar los el
llenado de programas en sistemas complejos de sistemas embebidos.
Su utilidad se basa en algo muy simple como el llenado de programas y codificado
en vhdl.
Como observacin cabe mencionar que el ISP LEVER sirve tambin como un
compilador y tiene casi las mismas utilidades que el QUARTUS II su nica
limitacin son muy pocas pues se basa ms en el quemado y llenado del
programa en VHDL al PLD.

BIBLIOGRAFIA:

http://electronicaintegradaunexpo.blogspot.com/2008/02/gal-y-vhdl.html
GAL HERRAMIENTAS DE SOFTWARE Departamento de electrnica
Fundacin San Valero.
Gua de Instalacin de ispLEVER Classic. Versin 2013.
http://www.taringa.net/posts/apuntes-y-monografias/15989376/Contadorvhdl-hasta-9999.html

S-ar putea să vă placă și