Sunteți pe pagina 1din 10

Curs 6

CAPITOLUL III
CIRCUITE LOGICE SECVENIALE
Circuitele logice secveniale, CLS, sunt automate de ordinul 1. Se
obin din automatele de ordinul 0 (CLC) prin introducerea unor reacii
(legturi inverse). Sunt alctuite din circuite logice combinaionale i
elemente de memorare binar.
Semnalele de ieire ale CLS depind att de combinaia semnalelor
aplicate pe intrri ct i de starea circuitului. Un CLS este caracterizat
printr-o secven a semnalelor de ieire i o secven a strilor
elementelor de memorie, pentru fiecare secven a semnalelor aplicate pe
intrrile circuitului.
Dup modul de funcionare (modul de transmitere a semnalelor)
exist 2 categorii principale de CLS:
1. asincrone comportarea este determinat de aplicarea pe intrri
a semnalelor n momente oarecare; starea circuitului depinde de
ordinea n care se schimb semnalele;
2. sincrone comportarea este determinat de aplicarea pe intrri
a semnalelor n momente discrete, bine determinate n timp;
sincronizarea se realizeaz cu ajutorul unor impulsuri date de un
generator de tact (ceas).
Exemple de CLS: bistabili, numrtoare, registre, memorii RAM.

3.1. Circuite basculante bistabile


Definiie. Circuitele basculante bistabile (CBB sau bistabil) sunt
circuite logice secveniale care au dou stri stabile distincte. Trecerea
dintr-o stare n alta se face la aplicarea unei comenzi din exterior.
Caracteristica principal a CBB este c sunt sisteme cu memorie
(elemente de memorie binar). Un bistabil poate pstra un timp nedefinit
informaia binar i n acelai timp starea sa poate fi citit n orice
moment. Se asociaz uneia dintre cele 2 stri ale bistabilului funcia de
memorare a cifrei binare 1 i celei de a doua stri funcia de memorare a
cifrei binare 0. Bistabilul are 2 ieiri, una care pune n eviden cifra
binar memorat, numit ieire adevrat i a doua, care pune n eviden
valoarea negat a cifrei binare memorate, denumit ieire negat.
3.1.1. Bistabilul RS asincron (latch)
Bistabilul RS asincron are 2 intrri de comand (de date): S (Set) i
R (Reset) i dou ieiri Q i Q (complementare).
1

Simbolul bistabilului RS asincron este:


S
Q
R

Tabelul de adevr al bistabilului RS asincron este:


tn
tn+1
Sn
Rn
Qt+1
0
0
Qt
1
0
1
0
1
0
1
1

Din punct de vedere logic nu are sens s se fac simultan nscrierea


i tergerea informaiei, ca urmare Sn = 1 i Rn = 1 va fi o situaie interzis
(de nedeterminare, pentru c nu se poate prevedea starea final).
Condiia de bun funcionare care se pune este Sn Rn = 0.
Pentru a face sinteza circuitului vom considera semnalul de ieire
Qt+1 la momentul tn+1, semnal care depinde de starea intrrilor S n i Rn i
de starea Qt, la momentul tn. Vom scrie Qt+1 ca o funcie de 3 variabile:
Qt
0
0
0
0
1
1
1
1

Sn
0
0
1
1
0
0
1
1

Rn
0
1
0
1
0
1
0
1

Qt+1
0
0
1

1
0
1

Diagramele Karnaugh pentru Qt+1 i Qt+1 sunt urmtoarele:


Qt+1:
Qt SnRn 00
01
11
10
0 0
0
x
1
1 1
0
x
1
Qt+1:
Qt

SnRn
0
1

00
1
0

01
1
1

11
x
x

10
0
0

Dac minimizm funciile n FCC obinem:


Qt+1 = Rn (Sn + Qt)
Qt+1 = Sn (Rn + Qt)
Deducem funciile pentru schema cu pori de tip SAU-NU:
Qt+1 = Qt+1 = Rn (Sn + Qt) = Rn + (Sn + Qt)
Qt+1 = Qt+1 = Sn (Rn + Qt) = Sn + (Rn + Qt)
R

Schema bistabilului RS asincron realizat cu pori de tip SI-NU se


bazeaz pe funciile n forma FCD obinute din diagramele Karnaugh:
Qt+1 = Sn + (Qt Rn)
Qt+1 = Rn + (Qt Sn)
Qt+1 = Sn + (Qt Rn) = Sn (Qt Rn)
Qt+1 = Rn + (Qt Sn) = Rn (Qt Sn)
S

Pentru Sn = Rn = 1 rezult Q = 0 i Q = 0, cele dou ieiri nefiind


complementare. Circuitul i pierde n acest caz caracterul de circuit
bistabil, cu dou stri distincte stabile.
Bistabilul RS asincron este cel mai simplu element de memorare
care poate fi realizat cu circuite logice elementare.
Observaie. O aplicaie tipic a bistabilului RS asincron este utilizarea
acestuia la eliminarea oscilaiilor ce apar la contactele mecanice.

3.1.2. Bistabilul RS sincron (latch cu ceas)


Bistabilul RS sincron se obine din bistabilul RS asincron prin
adugarea unor pori logice suplimentare cu scopul de a rspunde la
semnalele de intrare R i S numai sub aciunea unui semnal de comand
numit impuls de tact (ceas).
Sa
S
Q
CLK
R

Ra
Ieirile bistabilului RS sincron se modific doar cnd semnalul de
tact (ceas) CLK este activ. Simbolul bistabilului RS sincron este:
S
Q
CLK
R
Q
Diagrama de timp pentru bistabilul RS sincron este:
CLK
R
S
Q
Funcionarea este descris de funciile:
Qt+1 = S + R Qt
Qt+1 = R + S Qt
SR=0
i la acest bistabil situaia intrrilor n care S = R = 1 introduce o
nedeterminare, de aceea ea trebuie evitat.
Ct timp CLK este 0, intrrile de date nu influeneaz bistabilul.
Cnd CLK = 1 bistabilul urmrete modificrile intrrilor de date. Cnd
CLK redevine 0 bistabilul se zvorte (de aceea se numete latch),
pstreaz informaia avut anterior pe ieire.
Introducem noiunea de funcie de excitaie, caracteristic pentru
fiecare bistabil. Ea pune n eviden cum trebuie s fie intrrile

bistabilului (ce stare trebuie s aib) pentru a se realiza o tranziie


specific.
Tabelul de excitaie pentru bistabilul RS sincron este:
Qt
Qt+1 R
S
0
0
x
0
0
1
0
1
1
0
1
0
1
1
0
x
Observaie. n afara intrrilor sincrone, la bistabilul RS sincron se
introduc i intrri asincrone, Ra i Sa, la nivelul bistabilului RS asincron
(porile SI-NU). Aceste intrri sunt utilizate cu scopul forrii la 0, prin
Ra, sau la 1, prin Sa, a ieirii bistabilului. Apariia unor comenzi pe aceste
intrri se execut independent de prezena sau absena tactului. Din acest
motiv intrrile asincrone ale unui bistabil sunt prioritare n raport cu
intrrile sincrone.
3.1.3. Bistabilul D sincron (delay)
Bistabilul D sincron are o singur intrare, D i cele 2 ieiri
complementare, Q i Q. Starea urmtoare a bistabilului D este
determinat de modificarea intrrii D. El ntrzie cu un tact informaia pe
care o primete pe intrare (circuit elementar de ntrziere). Sunt cele mai
rspndite bistabile n registrele de date. Simbolul bistabilului D sincron:
S
D
Q
CLK
Q
R
Bistabilul D se obine din bistabilul RS sincron:
D
Q
CLK
Q
Funciile bistabilului D sunt:
Qt+1 = D
Qt+1 = D
Tabelul de adevr al bistabilului D este:
D
Q
5

0
0
1
1
Tabelul de excitaie al bistabilului D este:
Qt
Qt+1 D
0
0
0
0
1
1
1
0
0
1
1
1
Starea urmtoare a bistabilului de tip D sincron este dependent
doar de semnalul aplicat pe intrare, ea fiind independent de starea
actual a bistabilului.
Exist dou tipuri de bistabile de tip D sincron, unele care comut
pe front (atunci cnd se schimb tactul) i altele care comut pe nivel
(atunci cnd tactul este pe nivel).
3.1.4. Bistabilul JK sincron
Bistabilul JK sincron elimin situaia de nedeterminare pe ieiri,
prezent la bistabilul RS sincron, la combinaia S = R = 1 pe intrri. Se
folosesc reacii (legturi inverse) suplimentare.
Tabelul de adevr al bistabilului JK sincron este:
J
K
Qt+1
0
0
Qt
0
1
0
1
0
1
1
1
Qt
Tabelul de excitaie al bistabilului JK sincron este:
Qt
Qt+1 J
K
0
0
0
x
0
1
1
x
1
0
x
1
1
1
x
0
Funciile pentru bistabilul de tip JK se determin din diagrama
Karnaugh, pe baza tabelului de adevr n forma detaliat:
Qt
J
K
Qt+1
0
0
0
0
0
0
1
0
0
1
0
1
0
1
1
1
1
0
0
1
1
0
1
0
1
1
0
1
6

JK
0
1

00

Qt+1:
Qt

01

11
1

10
1
1

Qt+1 = J Qt + K Qt
Qt+1 = J Qt + K Qt
Un bistabil de tip JK sincron se obine din bistabilul RS sincron
prin efectuarea legturilor care permit eliminarea condiiei R S = 0.
R = K Qt
S = J Qt
Q
J
CLK

sau

S
J

CLK
K

Q
R

Intrrile S i R sunt intrrile asincrone, care acioneaz la ultimul


nivel de pori logice, nu depind de semnalul de tact i sunt prioritare fa
de intrrile sincrone J i K (adic n momentul n care una dintre ele se
activeaz, bistabilul va funciona n regim asincron).
Simbolul utilizat pentru bistabilul JK sincron este:
S
J
Q
CLK
K
Q
7

R
O analiz mai atent a bistabilului JK sincron arat c att timp ct
intrarea de tact (CLK) rmne pe 1 logic dup stabilirea noii stri,
bistabilul intr n oscilaie (i tot schimb starea). Pentru a exista o
singur comutare, durata impulsului pe CLK trebuie s fie mai mare dect
timpul de propagare a semnalului printr-o poart logic i mai mic dect
timpul de propagare a semnalului prin dou pori logice.
3.1.5. Bistabilul T sincron (Toggle)
Bistabilul T sincron se obine din bistabilul JK sincron prin legarea
intrrilor J i K mpreun. Bistabilul schimb starea (comut) cnd pe
intrare are semnal logic 1.
S
T

CLK
Q
R
Tabelul de adevr al bistabilului T sincron este:
T
Qt+1
0
Qt
1
Qt
Tabelul de excitaie al bistabilului T sincron este:
Qt
Qt+1 T
0
0
0
0
1
1
1
0
1
1
1
0
Pentru determinarea funciilor bistabilului T sincron utilizm
diagrama Karnaugh de 2 variabile:
Qt

T
0
1

1
1

Qt+1 = T Qt + T Qt = T + Qt
Qt+1 = T Qt + T Qt = T + Qt = T Qt

Bistabilul T sincron are aceleai deficiene ca i bistabilul JK


sincron, legate de durata impus a semnalului de tact.
Bistabilul T sincron este util n aplicaiile de numrtoare binare.
Concluzie. Deficiena principal a structurilor de bistabile studiate este c
nu se poate face o distincie net ntre intrrile care condiioneaz
momentul comutrii i cele care determin modul comutrii (nu se face
distincie net ntre cnd i cum).
3.1.6. Bistabile master-slave MS
Bistabilele de tip master-slave introduc un tip de structur care
permite rezolvarea comutrii bistabilelor. Acest principiul master-slave
poate fi aplicat oricrui circuit bistabil.
Structura master-slave este compus din 2 celule de memorie, una
master i cealalt slave.

S
R

Master

Slave

SM QM
CLK
RM QM

SS
QS
CLK
RS
QS

Q
Q

CLK
Impulsul de tact are dou fronturi, unul pozitiv (de urcare de la 0
la 1, n logica pozitiv) i unul negativ (de coborre de la 1 la 0, n
logica pozitiv).
La bistabilele master-slave pe frontul cresctor al semnalului de
tact se face nscrierea informaiei n master, slave fiind practic deconectat.
Pe frontul descresctor urmtor se face transferul informaiei din master
n slave i informaia va apare la ieiri dup frontul descresctor al
impulsului de tact. Se asigur astfel o bun separare ntre intrrile de date
i ieirile bistabilelor.
S

R
CLK
9

CLK

2
1

S
3
4

Q
tS tH
tS este timpul de set-up = perioada n care datele trebuie s fie
pregtite nainte de impulsul de tact.
tH este timpul de holding.
Pe perioada 1 2 a impulsului de ceas, porile de la intrare nu sunt
nc deschise, iar porile 3,4 se blocheaz i astfel izoleaz slave de
master.
Pe zona 2 3 porile de intrare 1,2 se deschid i informaia trece n
master. Porile 3,4 sunt nchise i slave i pstreaz vechea informaie.
Pe zona 3 4 porile 1,2 se nchid i porile 3,4 nu se deschid nc:
master este izolat de intrare i de slave.
Pe perioada 4 5 porile 3,4 se deschid, n timp ce porile 1,2 sunt
blocate i informaia apare pe ieire.
Perioada critic este cea de meninere a datelor la intrare, tH, pe
perioada 4 5.
Memorarea se face pe frontul descresctor al impulsului de tact.

10

S-ar putea să vă placă și