Documente Academic
Documente Profesional
Documente Cultură
Circuitele logice secveniale (CLS) sunt circuite logice la care starea ieirilor la
un moment dat depinde de starea intrrilor la acel moment de timp precum i
de strile anterioare ale circuitului.
- se poate spune c circuitele logice secveniale sunt circuite cu memorie
- schema bloc a unui circuit logic secvenial este prezentat n figura
Semnale
de intrare
Semnale
Circuit logic
combinaional
de ieire
Variabile
Variabile
de stare
de stare
urmtoare
prezente
Tact
Circuite de
memorare
Bistabilul RS asincron
Atunci cnd pe intrarea S avem 1 logic, bistabilul este setat pe 1, adic ieirea
Q=1 iar cnd 1 logic se aplic pe R, bistabilul este resetat la 0 Q=0.
n cazul n care ambele intrri sunt active i anume R=S=1, ambele iesiri vor rmne
n starea 0. Acest lucru este nepermis deoarece una din caracteristicile unui bistabil,
si pe care se bazeaza proiectarea cu bistabile, este ca cele doua iesiri sunt in antifaza
(una este inversa celeilalte)
Bistabilul RS asincron
Functionarea bistabilului S-R este descrisa n tabelele de mai jos.
Q+
Q+
Q+
Q+
Bistabilul RS asincron
Bistabilul RS asincron
Functionarea bistabilului S-R este descrisa n tabelele de mai jos.
Q+
Q+
Q+
Q+
Bistabilul RS sincron
S1
Q1
Slave
3
S2
Q2
Ck
R
R1
4
R2
Bistabilul JK
Q
J
JKQ
Q+
JK
Q+
000
001
0
1
0
0
010
011
0
0
0
1
100
101
1
1
1
0
110
111
1
0
1
1
10
Bistabilul D
Bistabilul D are o singur intrare. Nivelul logic de la intrare este transferat spre
ieire sincron cu ceasul. Bistabilul D poate fi folosit ca un circuit tip latch sau de
memorare a unui singur bit (care poate fi 1 sau 0).
Ck
8
t
x
x
Q
b)
11
Bistabilul T
Tn
Qn+1
Qn
a) structura b) simbolul
Tabelul 3.11
12
000
001
0
1
010
011
0
0
100
101
1
1
110
111
1
0
JK
Q
0
1
00
1
1
01
11
10
JKQ
J
k
D
CK
CK
- Structura de acest fel este folosita des pentru ca circuitele bistabile CMOS sunt
construite pe structura de tip D
13
O serie de bistabile integrate (de exemplu 7474 ) prezint att intrri sincrone D ct i
intrri asincrone (numite Reset sau Clear, ori Set ori Preset).
Comenzile asincrone sunt prioritare, astfel daca acestea sunt active, bistabilul
reactioneza independent de ceas, iar daca ele sunt inactive, bistabilul lucreaza sincron
cu ceasul conform tabelului de tranzitii al bistabilului D
R
Tabelul 4.5. Descrierea funcionrii bistabilului D.
Reset
S
Ck
Ck
Q
R
Re set
a)
Set
Sincron cu Ck
Intrri
Intrri
asincrone
sincrone
Ck
Ieiri
Funcie
0 Set
1 Transfer
Comand
0 D n Q
sincron
b)
14
clock:
in std_logic;
J, K:
in std_logic;
reset:
in std_logic;
Q, Qbar:
out std_logic);
end JK_FF;
----------------------------------------------architecture behv of JK_FF is
signal state: std_logic;
signal input: std_logic_vector(1 downto 0);
begin
input <= J & K;
p: process(clock, reset) is
begin
if (reset='1') then
state <= '0';
elsif (rising_edge(clock)) then
case (input) is
when "11" =>
state <= not state;
when "10" =>
state <= '1';
when "01" =>
state <= '0';
when others =>
null;
end case;
end if;
end process;
Q <= state;
Qbar <= not state;
end behv;
15
data_in:in std_logic;
clock:
in std_logic;
data_out:
out std_logic);
end dff;
---------------------------------------------architecture behv of dff is
begin
process(data_in, clock)
begin
if (clock='1' and clock'event) then
data_out <= data_in;
end if;
end process;
end behv;
----bistabilul D exemplul 2
--- activ pe palierul pozitiv al tactului
library ieee ;
use ieee.std_logic_1164.all;
-------------------------------------------entity D_latch is
port(
data_in:in std_logic;
enable:
data_out:
in std_logic;
out std_logic);
end D_latch;
-------------------------------------------architecture behv of D_latch is
begin
process(data_in, enable)
begin
if (enable='1') then
data_out <= data_in;
end if;
end process;
end behv;
--------------------------------------------
16