Sunteți pe pagina 1din 3

1/6/2016

7448BCDto7SegmentDecoderandDriver(ForCommonCathode)

HOME
CUSTOMDESIGN
PRODUCTS
CONTACT
CIRCUITS

7448

BCDto7SegmentDecoderDriver(HighOn)
ForCommonCathodeDisplays

POSITIVE
LOGIC

FortheCommonAnodeVersion,See7447
Forother7400seriesTTLICdatasheets,CLICKHERE

FAQs
RESOURCES
LINKS
ABOUT

7448DATASHEET

Thispackageacceptsa1248positivelogicBinary
CodedDecimal(BCD)inputandconvertsittotheproper
patternnecessarytoilluminatea7segmentdisplay.A
highoutputisintendedtolightthesegment.(Common
cathode),meaningthenegativeconnectionofallofthe
LEDsistiedtogether.
Theoutputsareopencollectorbuthaveinternal2Kohm
pullupresistorsandhaveamaximumlowleveloutput
sinkcurrentof6milliamperes(6mA).

http://makeyourownchip.tripod.com/7448.html

1/3

1/6/2016

7448BCDto7SegmentDecoderandDriver(ForCommonCathode)

FornormaloperationtheLampTestpinandBI/RBO
(OUT)mustbepulledhigh(connectedtothepositive
supply).
Allsegmentsareextinguishedwhenalow(logicalzero)
signalisappliedtopin4(OUT).APWM(pulsewidth
modulated)signalcanbeappliedtothispintoprovided
varyingbrightnessestotheLEDdisplaybeingdriven,
allowingusercontrolofLEDdimmingforapplications
suchaspowersavingbydecreasingthebrightnessduring
timesoflowambientlight.
Tobuildasimple09digitalcounter,considerusingthe
7490decadecounter.It's4outputscanbedirectly
interfacedtothe7448/7447andthebinarycoded
decimalwillbeconvertedintotheappropriatesegments
fortheLEDdisplay.Otherthanalittlemoreconditional
logicconnectionsandafewmorestages,there'snothing
stoppingyoufrombuildingafulldigitalclockwithhours,
minutes,andseconds.

Shownabove,isanexampleapplicationofthe74LS487
segdecodercircuit.Thedecimalpointindicatoronthis
voltmeteraswellasthecolons":"onclocks,arenot
controllablebythe7segdecoder.Incircuitsinvolvinga
microcontroller,ifI/Opinsareavailable,7448/7447ICs
(andsimilar)aregenerallyomittedtosavemoney.A
microcontrollercanbeprogrammedtoperformthebinary
todecimalconversionandthenusealookupchartto
performthesegmentconversion.However,ifpinsare
limitedonthemicrocontroller,itcanbeadvantageousto
use7448ICsinamultiplexingfashiontodrivethe7
segmentdisplays.Inthismethod,themicrocontroller
wouldsendBCD(binarycodeddecimal)on4outputpins
tothe7448chips.Alineselector(anadditionaloutput
lineonthemicrocontroller)couldselectwhich7448chip
totargetandcyclethroughthesetofdisplaystoproduce
theoverallnumericoutput.

http://makeyourownchip.tripod.com/7448.html

2/3

1/6/2016

7448BCDto7SegmentDecoderandDriver(ForCommonCathode)

http://makeyourownchip.tripod.com/7448.html

3/3

S-ar putea să vă placă și