Sunteți pe pagina 1din 5

module sbox1(in,out);

input [7:0] in;


output [255:0] out;
reg [255:0] out;
always @(in)
begin
case(in)
0: out = 32'b d1310ba6;
0: out = 32'b 98dfb5ac;
0: out = 32'b 2ffd72db;
0: out = 32'b d01adfb7;
0: out = 32'b b8e1afed;
0: out = 32'b 6a267e96;
0: out = 32'b ba7c9045;
0: out = 32'b f12c7f99;
0: out = 32'b 24a19947;
0: out = 32'b b3916cf7;
0: out = 32'b 0801f2e2;
0: out = 32'b 858efc16;
0: out = 32'b 636920d8;
0: out = 32'b 71574e69;
0: out = 32'b a458fea3;
0: out = 32'b f4933d7e;
0: out = 32'b 0d95748f;
0: out = 32'b 728eb658;
0: out = 32'b 718bcd58;
0: out = 32'b 82154aee;
0: out = 32'b 7b54a41d;
0: out = 32'b c25a59b5;
0: out = 32'b 9c30d539;
0: out = 32'b 2af26013;
0: out = 32'b c5d1b023;
0: out = 32'b 286085f0;
0: out = 32'b ca417918;
0: out = 32'b b8db38ef;
0: out = 32'b 8e79dcb0;
0: out = 32'b 603a180e;
0: out = 32'b 6c9e0e8b;
0: out = 32'b b01e8a3e;
0: out = 32'b d71577c1;
0: out = 32'b bd314b27;
0: out = 32'b 78af2fda;
0: out = 32'b 55605c60;
0: out = 32'b e65525f3;
0: out = 32'b aa55ab94;
0: out = 32'b 57489862;
0: out = 32'b 63e81440;
0: out = 32'b 55ca396a;
0: out = 32'b 2aab10b6;
0: out = 32'b b4cc5c34;
0: out = 32'b 1141e8ce;
0: out = 32'b a15486af;
0: out = 32'b 7c72e993;
0: out = 32'b b3ee1411;
0: out = 32'b 636fbc2a;
0: out = 32'b 2ba9c55d;
0: out = 32'b 741831f6;
0: out = 32'b ce5c3e16;
0: out = 32'b 9b87931e;
0: out = 32'b afd6ba33;
0: out = 32'b 6c24cf5c;
0: out = 32'b 7a325381;
0: out = 32'b 28958677;
0: out = 32'b 3b8f4898;
0: out = 32'b 6b4bb9af;
0: out = 32'b c4bfe81b;
0: out = 32'b 66282193;
0: out = 32'b 61d809cc;
0: out = 32'b fb21a991;
0: out = 32'b 487cac60;
0: out = 32'b 5dec8032;
0: out = 32'b ef845d5d;
0: out = 32'b e98575b1;
0: out = 32'b dc262302;
0: out = 32'b eb651b88;
0: out = 32'b 23893e81;
0: out = 32'b d396acc5;
0: out = 32'b 0f6d6ff3;
0: out = 32'b 83f44239;
0: out = 32'b 2e0b4482;
0: out = 32'b a4842004;
0: out = 32'b 69c8f04a;
0: out = 32'b 9e1f9b5e;
0: out = 32'b 21c66842;
0: out = 32'b f6e96c9a;
0: out = 32'b 670c9c61;
0: out = 32'b abd388f0;
0: out = 32'b 6a51a0d2;
0: out = 32'b d8542f68;
0: out = 32'b 960fa728;
0: out = 32'b ab5133a3;
0: out = 32'b 6eef0b6c;
0: out = 32'b 137a3be4;
0: out = 32'b ba3bf050;
0: out = 32'b 7efb2a98;
0: out = 32'b a1f1651d;
0: out = 32'b 39af0176;
0: out = 32'b 66ca593e;
0: out = 32'b 82430e88;
0: out = 32'b 8cee8619;
0: out = 32'b 456f9fb4;
0: out = 32'b 7d84a5c3;
0: out = 32'b 3b8b5ebe;
0: out = 32'b e06f75d8;
0: out = 32'b 85c12073;
0: out = 32'b 401a449f;
0: out = 32'b 56c16aa6;
0: out = 32'b 4ed3aa62;
0: out = 32'b 363f7706;
0: out = 32'b 1bfedf72;
0: out = 32'b 429b023d;
0: out = 32'b 37d0d724;
0: out = 32'b d00a1248;
0: out = 32'b db0fead3;
0: out = 32'b 49f1c09b;
0: out = 32'b 075372c9;
0: out = 32'b 80991b7b;
0: out = 32'b 25d479d8;
0: out = 32'b f6e8def7;
0: out = 32'b e3fe501a;
0: out = 32'b b6794c3b;
0: out = 32'b 976ce0bd;
0: out = 32'b 04c006ba;
0: out = 32'b c1a94fb6;
0: out = 32'b 409f60c4;
0: out = 32'b 5e5c9ec2;
0: out = 32'b 196a2463;
0: out = 32'b 68fb6faf;
0: out = 32'b 3e6c53b5;
0: out = 32'b 1339b2eb;
0: out = 32'b 3b52ec6f;
0: out = 32'b 6dfc511f;
0: out = 32'b 9b30952c;
0: out = 32'b cc814544;
0: out = 32'b af5ebd09;
0: out = 32'b bee3d004;
0: out = 32'b de334afd;
0: out = 32'b 660f2807;
0: out = 32'b 192e4bb3;
0: out = 32'b c0cba857;
0: out = 32'b 45c8740f;
0: out = 32'b d20b5f39;
0: out = 32'b b9d3fbdb;
0: out = 32'b 5579c0bd;
0: out = 32'b 1a60320a;
0: out = 32'b d6a100c6;
0: out = 32'b 402c7279;
0: out = 32'b 679f25fe;
0: out = 32'b fb1fa3cc;
0: out = 32'b 8ea5e9f8;
0: out = 32'b db3222f8;
0: out = 32'b 3c7516df;
0: out = 32'b fd616b15;
0: out = 32'b 2f501ec8;
0: out = 32'b ad0552ab;
0: out = 32'b 323db5fa;
0: out = 32'b fd238760;
0: out = 32'b 53317b48;
0: out = 32'b 3e00df82;
0: out = 32'b 9e5c57bb;
0: out = 32'b ca6f8ca0;
0: out = 32'b 1a87562e;
0: out = 32'b df1769db;
0: out = 32'b d542a8f6;
0: out = 32'b 287effc3;
0: out = 32'b ac6732c6;
0: out = 32'b 8c4f5573;
0: out = 32'b 695b27b0;
0: out = 32'b bbca58c8;
0: out = 32'b e1ffa35d;
0: out = 32'b b8f011a0;
0: out = 32'b 10fa3d98;
0: out = 32'b fd2183b8;
0: out = 32'b 4afcb56c;
0: out = 32'b 2dd1d35b;
0: out = 32'b 9a53e479;
0: out = 32'b b6f84565;
0: out = 32'b d28e49bc;
0: out = 32'b 4bfb9790;
0: out = 32'b e1ddf2da;
0: out = 32'b a4cb7e33;
0: out = 32'b 62fb1341;
0: out = 32'b cee4c6e8;
0: out = 32'b ef20cada;
0: out = 32'b 36774c01;
0: out = 32'b d07e9efe;
0: out = 32'b 2bf11fb4;
0: out = 32'b 95dbda4d;
0: out = 32'b ae909198;
0: out = 32'b eaad8e71;
0: out = 32'b 6b93d5a0;
0: out = 32'b d08ed1d0;
0: out = 32'b afc725e0;
0: out = 32'b 8e3c5b2f;
0: out = 32'b 8e7594b7;
0: out = 32'b 8ff6e2fb;
0: out = 32'b f2122b64;
0: out = 32'b 8888b812;
0: out = 32'b 900df01c;
0: out = 32'b 4fad5ea0;
0: out = 32'b 688fc31c;
0: out = 32'b d1cff191;
0: out = 32'b b3a8c1ad;
0: out = 32'b 2f2f2218;
0: out = 32'b be0e1777;
0: out = 32'b ea752dfe;
0: out = 32'b 8b021fa1;
0: out = 32'b e5a0cc0f;
0: out = 32'b b56f74e8;
0: out = 32'b 18acf3d6;
0: out = 32'b ce89e299;
0: out = 32'b b4a84fe0;
0: out = 32'b fd13e0b7;
0: out = 32'b 7cc43b81;
0: out = 32'b d2ada8d9;
0: out = 32'b 165fa266;
0: out = 32'b 80957705;
0: out = 32'b 93cc7314;
0: out = 32'b 211a1477;
0: out = 32'b e6ad2065;
0: out = 32'b 77b5fa86;
0: out = 32'b c75442f5;
0: out = 32'b fb9d35cf;
0: out = 32'b ebcdaf0c;
0: out = 32'b 7b3e89a0;
0: out = 32'b d6411bd3;
0: out = 32'b ae1e7e49;
0: out = 32'b 00250e2d;
0: out = 32'b 2071b35e;
0: out = 32'b 226800bb;
0: out = 32'b 57b8e0af;
0: out = 32'b 2464369b;
0: out = 32'b f009b91e;
0: out = 32'b 5563911d;
0: out = 32'b 59dfa6aa;
0: out = 32'b 78c14389;
0: out = 32'b d95a537f;
0: out = 32'b 207d5ba2;
0: out = 32'b 02e5b9c5;
0: out = 32'b 83260376;
0: out = 32'b 6295cfa9;
0: out = 32'b 11c81968;
0: out = 32'b 4e734a41;
0: out = 32'b b3472dca;
0: out = 32'b 7b14a94a;
0: out = 32'b 1b510052;
0: out = 32'b 9a532915;
0: out = 32'b d60f573f;
0: out = 32'b bc9bc6e4;
0: out = 32'b 2b60a476;
0: out = 32'b 81e67400;
0: out = 32'b 08ba6fb5;
0: out = 32'b 571be91f;
0: out = 32'b f296ec6b;
0: out = 32'b 2a0dd915;
0: out = 32'b b6636521;
0: out = 32'b e7b9f9b6;
0: out = 32'b ff34052e;
0: out = 32'b c5855664;
0: out = 32'b 53b02d5d;
0: out = 32'b a99f8fa1;
0: out = 32'b 08ba4799;
0: out = 32'b 6e85076a;
endcase
end
endmodule

S-ar putea să vă placă și