Sunteți pe pagina 1din 1

`timescale 1ns / 1ps

////////////////////////////////////////////////////////////////////////////////
//
// Company:
// Engineer:
//
// Create Date:
21:40:32 09/25/2009
// Design Name:
// Module Name:
mux4x1
// Project Name:
// Target Devices:
// Tool versions:
// Description:
//
// Dependencies:
//
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
//
////////////////////////////////////////////////////////////////////////////////
//
module mux4x1(A, B, C, D, E, Sel, Y);
input A;
input B;
input C;
input D;
input E;
input [1:0] Sel;
output Y;
wire [2:0]mode;
assign mode = {E,Sel};
assign
assign
assign
assign
endmodule

Y
Y
Y
Y

=
=
=
=

(mode
(mode
(mode
(mode

==
==
==
==

3'b000)
3'b001)
3'b010)
3'b011)

?
?
?
?

A:'bZ;
B:'bZ;
C:'bZ;
D:'bZ;

S-ar putea să vă placă și