Sunteți pe pagina 1din 72

I IN NI IT TI IA AL LI IS SA AT TI IO ON N A A L LA A S SI IM MU UL LA AT TI IO ON N D DE E C CI IR RC CU UI IT TS S

E EL LE EC CT TR RO ON NI IQ QU UE ES S


S SU UP PP PO OR RT T D DE E C CO OU UR RS S
___________________________________________________________________________

















2 20 01 10 0/ /1 11 1
sylvain.feruglio@upmc.fr
Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2010/2011
2
Sommaire :
Introduction .............................................................................................................p 4
1 - Simulation lectrique sous PSPICE .................................................................p 6
1.1 Notions de base et rappels ....................................................................p 8
1.2 PSPICE sous Windows et DOS ...........................................................p 10
1.2.i. Syntaxe du fichier dentre (*.CIR) ............................................................... p 10
1.2.ii. Facteurs dchelle ......................................................................................... p 13
1.2.iii. Elments lectroniques de base .................................................................. p 13
1.3 Simulations sous PSPICE ....................................................................p 33
1.3.i. Simulation en rgime continu ........................................................................ p 33
1.3.ii. Simulation dans le domaine frquentiel (ou harmonique) ........................... p 36
1.3.iii. Simulation dans le domaine temporelle ...................................................... p 37
1.3.iv. Simulations complmentaires ...................................................................... p 39
1.3.v. Analyses avances ........................................................................................ p 43
1.3.vi. La directive de simulation .OPTION : ....................................................... p 43
1.4 Le module graphique PROBE ..............................................................p 45
2 Simulation sous Orcad 9.1 ................................................................................p 46
2.1 - Principe de fonctionnement de PSPICE sous Windows .......................p 47
2.2 Ouverture dun projet sous Design Manager .......................................p 48
2.3 Prsentation du menu principal de Schematics ....................................p 50
2.4 Saisie du schma simuler ...................................................................p 52
2-4.i. Les composants lectroniques de bases ......................................................... p 53
2-4.ii. Les sources ................................................................................................... p 55
2.5 Edition des composants ........................................................................p 59
2.6 Structures hirarchiques et sous-circuits ..............................................p 61
2.7 Simuler et observer les rsultats ...........................................................p 62
2.7.i. Simuler .......................................................................................................... p 62
2.7.ii. Observer les rsultats de simulation ............................................................. p 67
2.8 Simuler directement partir dun fichier .CIR ....................................p 71
3 Les fonctions avances ......................................................................................p 73
3.1. Cration dune macro-fonction ..............................................................p 73
3.2. Les Goal functions ...........................................................................p 75
3.3. Analyse des performances ......................................................................p 79
3.4. Fichiers de commande PROBE ..............................................................p 80
3.5. Modifier le symbole dun composant ....................................................p 81
3.6. Importer des modles extrieurs ............................................................p 83
3.6.i. Ajout du modle de la diode 1N757 .............................................................. p 85
3.6.ii. Ajout du modle de lAOP TL081 ................................................................ p 86
3.7. La macromodlisation ............................................................................p 89
4 Quelques exemples ............................................................................................p 101
4.1. Pont rsistif .........................................................................................p 101
4.2. Circuit RC en rgime transitoire .........................................................p 104
4.3. Circuit RC en rgime sinusodal .........................................................p 110
4.4. Analyse paramtrique dun circuit RLC .............................................p 113
4.5. Simulation de composants actifs .........................................................p 118
4.6. Caractristiques de composants ..........................................................p 120
4.6.i. Diode Zener .................................................................................................. p 120
4.6.ii. Transistor effet de champ .......................................................................... p 120
4.7. Calcul du bruit gnr par des composants .........................................p 124
4.8. Simulation de composants numriques ...............................................p 125
4.9. Simulations statistique Mont-Carlo et Worst-case ............................p 127
5 Capture ..............................................................................................................p 131
Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2010/2011
3
5.1. Cration dun nouveau projet ..............................................................p 131
5.2. Travail partir dun projet existant .....................................................p 132
5.3. Barre dicne .......................................................................................p 132
5.3.i. Icnes de gestion de projet ............................................................................ p 132
5.3.ii. Icnes de placement des composants ........................................................... p 133
5.4. Gestion de projets ................................................................................p 133
5.5. Saisir un schma ..................................................................................p 134
5.5.i. Gnralits .................................................................................................... p 134
5.5.ii. Placement des composants ........................................................................... p 135
5.5.iii. Modifications des proprits des composants ............................................. p 136
5.5.iv. Placement des liaisons ................................................................................ p 137
5.5.v. Alimentation ................................................................................................. p 137
5.5.vi. Impression ................................................................................................... p 138
5.6. Projets structurs .................................................................................p 139
5.7. Schma type ........................................................................................p 139
5.8. Profil de simulation .............................................................................p 140
5.9. Lancement de la simulation ................................................................p 141
5.9.i. Analyse paramtrique ................................................................................... p 142
6 Bibliographie .....................................................................................................p 143
Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2010/2011
4
Introduction :

Afin de faciliter la conception de systmes lectroniques performants toujours plus
complexes dans des temps toujours plus courts, de nombreux outils de CAO (Conception Assiste
par Ordinateur) ont vu le jour ces 20 dernires annes. Ils permettent en autre la synthse
automatique de circuits et de systmes, le dessin (cartes, ASIC, ), la vrification des rgles, la
testabilit. Lavantage premier de la CAO est quelle permet dviter la phase de prototypage, ce
qui permet en autre une diminution du cout de fabrication.

Figure 0 : Principales tapes de la ralisation dun systme lectronique en industrie

La simulation est la premire tape dans la conception dun circuit ou dun systme lectronique.
Cest suivant le domaine dapplication du circuit raliser que lon choisit le simulateur le plus
adapt :
Analogique : PSPICE, ELDO, SAUBER,

Numrique (VHDL, VERILOG, SYSTEMC) : ALDEC, SystemCASS,

Mixte (VHDL-AMS, VerilogA) : ADV-MS, Simporer, Smash,

Hyperfrquence : MDS, ADS,

Ces simulateurs peuvent se diviser en trois principales catgories suivant le mode de description
employ pour dfinir le systme :

Comportemental : Niveau systme
o VHDL (Logique)
o VHDL-AMS, VerilogA (Logique / Analogique)
o Matlab/Simulink (Logique / Analogique)


Logique : Circuits logiques (Numriques)
o Modles structurels (VHDL, SystemC, )
o Niveaux (0, 1, Z, )


Electrique : Circuits analogiques
1

o Modles structurels
o Niveaux : Continuum de V et I Logiciel de type SPICE


1
La simulation de circuits numriques est aussi gnralement permise ce niveau.
Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2010/2011
5
1 - Simulation lectrique sous PSPICE :

SPICE (Simulation Program with Integrated Circuit Emphasis) est un logiciel dvelopp
par luniversit de Berkeley aux Etats Unis (http://www.eecs.berkeley.edu) dans les annes 70 en
FORTRAN. Cest un simulateur lectrique standard qui permet l'analyse statique et transitoire des
circuits non-linaires et l'analyse alternative petit signal des circuits linariss.
Le simulateur a t dvelopp l'universit de Berkeley partir des annes 1967 et
jusqu'en 1990 : BIAS3 en 1967 pour l'analyse statique des transistors bipolaires puis CANCER
(Computer Analysis of Nonlinear Circuits, Excluding Radiation) en 1970, SPICE1 en 1973,
SPICE2 en 1975 et SPICE3 en 1980. Il est actuellement fig, et sa dernire version brute
SPICE3F5 est distribue librement sur le rseau internet. Depuis cette poque, si le cur du
logiciel a peu chang, il nen est pas de mme de son environnement. En effet, sa source est
gratuite, ce qui a permis aux socits spcialises dans le dveloppement de logiciels de crer et de
diffuser diffrentes versions bases sur SPICE.
Les circuits simuls par le simulateur SPICE peuvent contenir de nombreux lments de
llectronique : des rsistances, des condensateurs, des inductances, des inductances mutuelles, des
lignes de transmission, des lignes RC distribues, des sources de tension et de courant
commandes et indpendantes, des interrupteurs, ainsi que des modles de dispositifs actifs
comme des diodes, des transistors bipolaires, des transistors effet de champ jonction (JFET),
des transistors arseniure de gallium (AsGa ou GaAs) effet de champ mtal-semiconducteur
(MESFET) et des transistors effet de champ mtal-oxyde-semiconducteur (MOS).
SPICE est donc avant tout un logiciel de simulation de composants et de circuits
lectroniques analogiques puis devenu mixte
2
dans ces versions les plus labores. Les modles
permettant la simulation des composants sont des modles issus de la physique des composants et
leurs paramtres sont le plus souvent des paramtres physiques. En effet, chaque composant a un
comportement spcifique qui est dcrit par un jeu dquations et de paramtres. Ces modles,
bass sur la physique et la mesure (cf. Figure 1.1), sont relativement complets et ralistes, ce qui
permet des simulations fiables. Ils sont placs dans des bibliothques. Toutefois, il est possible de
crer, modifier un modle associ un composant ou ajouter des modles que distribuent
gracieusement la plupart des constructeurs de composants lectroniques.


Figure 1.1 : Reprsentation fonctionnel de SPICE

2
Lassociation de circuits analogiques et numriques conduit un circuit appel circuit mixte.
Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2010/2011
6
Destin, lorigine pour lanalyse des circuits lectroniques en rgime continu (DC),
alternatif (AC) et transitoire, la socit MicroSim y ajoute ensuite le module graphique PROBE
pour donner PSPICE. Diffrents autres types danalyses ont ensuite enrichi ce simulateur. Dans la
version que nous allons employe dans ce cours, on peut citer :

Analyse de courant continu : Circuits linaires et non linaires
o Point de polarisation (I
0
, V
0
) ;
o Courbe de transfert (V
s
/V
e
) ;
o Caractristique de dispositifs
Analyse frquentielle : Circuits linaires
o Analyse de Fourier;
o Diagramme de Bode (G
dB
, );
o Bruit ( ) f ( I
2
n
, ) f ( V
2
n
)
Analyse temporelle : Circuits linaires et non linaires
o Oscilloscope ;
o Retards (t) ;
o Distorsions harmoniques de Fourier
Analyse statistique (Analyse Monte Carlo, pire cas), temprature, sensibilit,

A lheure actuelle, la socit Cadence est le principal leader dans le march des logiciels de
CAO pour les systmes lectroniques mixtes. Il a dailleurs racheter la socit MicroSim, travers
la socit Orcad.
Ce simulateur lectrique de deuxime gnration est fonctionnellement construit sur quatre
mthodes numriques de base :
1. Une mthode numrique de formulation des quations: la MNA (Modified Nodal Analysis) ;
2. Une mthode numrique de rsolution d'un systme linaire: la dcomposition LU ;
3. Une mthode numrique d'intgration: trapzodale ou Gear2 ;
4. Une mthode numrique d'analyse non linaire: Newton-Raphson.

Il est reprsentable par le diagramme fonctionnel de la Figure 1.2. Les rsultats de simulation
peuvent tre imprims dans un fichier ou traiter graphiquement partir d'un interprteur interactif
de commandes.

Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2010/2011
7

Figure 1.2 : Diagramme fonctionnelle de SPICE

Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2010/2011
8
1.1 Notions de base et rappels :

- Un circuit lectronique est constitu de deux sortes dlments :
+ Les sources (ou gnrateurs) ;
+ Les composants, eux mmes classs en deux catgories :
o Les composants passifs (rsistances, capacits, ) ;
o Les composants actifs (transistors, AOP).

- Les circuits/systmes lectroniques se classent en deux grandes catgories :
+ Les circuits/ systmes analogiques ;
+ Les circuits/ systmes logiques (ou numriques).
Dans les circuits analogiques, les signaux peuvent prendre toutes les valeurs
comprises en 15V et +15V, comme illustr par la Figure 1.3.


Figure 1.3 : Reprsentation dun signal analogique quelconque

Dans les circuits logiques (cf. Fig. 1.4), les signaux ne peuvent prendre que deux
valeurs, par exemple 0V et 5V, et le passage de lune lautre de ces valeurs se fait
trs rapidement.


Figure 1.4 : Reprsentation dun signal numrique quelconque

- On appelle diple, un circuit deux bornes (A et B sur la Figure 1.5.a)). Ainsi, une
rsistance est un diple linaire et une diode est un diple non-linaire.


a)

b)
Figure 1.5 : a) Schma quivalent dun diple et b) Schma quivalent dun quadriple

Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2010/2011
9
- On appelle quadriple un circuit quatre bornes (deux bornes dentre A et B et
deux bornes de sortie C et D sur la Figure 1.5.b)).

- Circuits linaires et non-linaires :
Un circuit linaire est un circuit tel que la diffrence de potentiel ses bornes et le
courant le traversant soient relis par une relation du type v = ki, o k est une
constante. Soient :

+ A i
1
, correspond v
1
= ki
1
;
+ A i
2
, correspond v
2
= ki
2
;
+ A i
1
+i
2
, correspond v = v
1
+ v
2
= k(i
1
+ i
2
).

Un circuit non-linaire ne vrifie pas cette proprit (f(A) + f(B) f(A + B)). Par
exemple :

+ Pour une loi du type v = ki
2
, o k est contant,
o A i
1
, correspond v
1
= ki
1
2

;
o A i
2
, correspond v
2
= ki
2
2
;
o A i
1
+ i
2
, correspond v = k(i
1
+ i
2
)
2
v
1
+ v
2
.

+ Pour une loi du type v = ki + k, o k et k sont contant,
o A i
1
, correspond v
1
= ki
1
+ k;
o A i
2
, correspond v
2
= ki
2
+ k;
o A i
1
+i
2
, correspond v = k(i
1
+ i
2
) + k v
1
+ v
2
.
Ici, la loi est linaire mais le circuit ne lest pas.

Exemples : - Composants linaires : Rsistances, capacits, inductances dans les
cas des courants ;
- Composants non-linaires : Varistance, diode, transistors,

Remarques : - Un circuit linaire est constitu de composants linaires. Un circuit
non-linaire est constitu de composants linaires et non-linaires.
- Un diple passif est un diple dont la caractristique
3
statique I-V
passe par lorigine (I = 0 V = 0). Dans le cas gnral o cette
caractristique nest pas une droite, le diple est non-linaire. Pour un
diple passif non-linaire, la loi dOhm V = RI, avec R constant,
nest plus valable. Mais, on peut dfinir en chaque point P
0
, le
rapport V/I = R(P
0
). On parle alors de linarisation.


3
La caractristique I-V dun composant est la rponse en courant I une tension V applique ses bornes. En rgime
continu, on parle de caractristique statique.
Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2010/2011
10
1.2 PSPICE sous Windows et DOS :

Dans ce cours, nous allons nous intresser au cur de la simulation lectronique par lemploi
du logiciel PSPICE.
Sous Windows (Microsim Design Eval 8 ou Orcad 9.1, 9.2), on dessine tout dabord le
schma lectrique (*.SCH) du circuit lectrique simuler laide de lditeur graphique
PSCHED.EXE en utilisant des composants disponibles dans des librairies ou en les crant (pour
crer son propre modle, on peut ventuellement utiliser PARTS.EXE qui permet de crer des
modles de composants partir des abaques constructeurs ou raliser un sous-circuits). Un fichier
*.CIR est alors cr partir du schma lectrique accompli. Aprs cette saisie, on peut choisir un
type de simulation effectuer. Le logiciel appelle alors automatiquement PSPICE.EXE qui ralise
la simulation proprement dite. Les rsultats sont fournis soit sous forme de texte *.OUT
(affichable avec lditeur TEXTEDIT.EXE), soit sous forme graphique *.DAT (visualisable avec
PROBE.EXE). Enfin, deux sauvegardes internes sont cre, avec les extensions *.CBK et *.CFG.
Tout cela est rsum travers la Figure 1.6.

Figure 1.6 : Reprsentation des diffrents fichiers propre SPICE

Sous la version DOS mais aussi avec certains simulateur tel que ELDO, lditeur de schma
nexiste pas toujours. Le circuit doit alors tre dcrit dans un fichier dentre (*.CIR) par une suite
de lignes dcrivant le circuit analyser puis des commandes de simulation. Aussi, il est
indispensable de matriser la syntaxe de ce type de fichier. De plus, en cas derreur de saisie de
schma, lors de la dfinition de la fentre de simulation ou autre
4
, cest gnralement grce la
lecture de ce fichier que lon remarque rapidement ce quil faut corriger.

1.2.i. Syntaxe du fichier dentre (*.CIR) :

Le fichier dentre ou fichier de simulation est limage du circuit analyser. Le fichier de
simulation SPICE est compose de :
- un titre,
- une "netlist" donnant une description topologique du circuit analyser partir du
type et de la valeur des diffrents composants actifs et passifs et de leur
interconnexion,
- un jeu de commandes pour fixer les analyses et le type de sortie raliser,

4
Penser aussi regarder le contenu de la netlist et du fichier texte de sortie (*.OUT), lui aussi contient des indications
sur lventuelle erreur faite.
Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2010/2011
11
- un jeu de directives de simulation,
- un jeu de paramtres de contrle,
- un jeu de structures de donnes,
- une indication de fin de fichier.

La description effectue dans la netlist est de type Nud Branche. Voici la syntaxe
propose pour ce type de fichier, suivi dun exemple :

Titre !!OBLIGATOIRE !!
* Commentaires }
Vxx } }
Ixx } Dfinition des sources }
}
Rxx } }
Qxx } Description du circuit (Netlist) }
} ORDRE
.MODEL } }
.MODEL } Dfinition des modles }
}
.DC } }
.AC } Commandes de simulation } PROPOSE
.TR } }
}
. PROBE } Stockage des rsultats }
}
. OPTION } Option de simulation }
}
* Commentaires }
.END } Fin !!OBLIGATOIRE !!

Dans cet exemple, on souhaite raliser lanalyse frquentielle de 1 kHz 1 MHz du circuit
de la figure 1.7, avec une source de tension V1 avec une composante DC de 1 V et une
composante alternative de 0.5 V. Les rsultats seront visualiss travers le module graphique
PROBE.


Figure 1.7 : Schmatic dun circuit RLC simul sous SPICE

Cir_RLC
* Exemple de fichier dentre nomm Cir_RLC
V1 1 0 AC 0.5 DC 1
R1 1 2 1K
L1 2 3 10U
Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2010/2011
12
C1 3 0 1N
.AC DEC 10 1K 1MEG
* Analyse frquentielle de 1kHz 1 MHz avec 10 points par dcade
.PROBE
.END

Syntaxe, grammaire et principales rgles suivre lors de la conception du fichier dentre :

Commencer par lidentification des nuds et la numrotation (sur papier). Les numros
attribus sont des entiers positifs, pas forcment conscutifs
5
.

Un point de rfrence est toujours ncessaire : la Masse. Elle est toujours note zro 0.

Le fichier dentre dbute toujours par un Titre et finit toujours par un .END. Au centre,
lordre de dclaration est arbitraire.

Pour la description du circuit proprement parl (sources et composants du circuit), chaque
ligne commence par la rfrence du composant, o la premire lettre indique le type de
composant (par exemple, R pour la rsistance). Les champs suivant sont lnumration des
nuds dinterconnexion. Puis, on peut trouver la rfrence un modle, la valeur du
composant et ventuellement dautre valeurs optionnelles
6
.

Les commandes ou les directives de simulation commencent toujours par une point dans la
premire colonne (exemple : .OP).

Les commentaires commencent toujours par un astrisque (*) et peuvent tre introduits
nimporte o entre le titre et le .END.

Aucune diffrenciation nest faite entre minuscule et majuscule. Autrement dit, les
identificateurs sont insensibles au casse (Npn = npn = NPN = ).

Les diffrentes informations contenues sur une mme ligne sont spares par un nombre
arbitraire despaces.

On peut insrer un nombre quelconque de lignes vierges, nimporte o.

Une ligne dbutant par un plus + est interprte comme une continuation de la ligne
prcdente.

Sens des courants : dans un diple et une source de courant, le sens positif du courant est celui
allant de la borne + la borne -. Pour un gnrateur de tension, le sens positif est linverse, de
la borne +.

Broches Non Connectes : On peut souhaiter raliser une analyse avec le pate dun composant
en lair (circuit ouvert, haute impdance). Hors, tout nud connexion unique est interprt
comme une erreur par le simulateur. Pour remdier ce problme, lastuce consiste
introduire une rsistance de trs forte valeur de ce point la masse (mais cette nouvelle
rsistance gnre une source de bruit supplmentaire quil faudra considrer le cas chant).

Les commandes danalyse :
.AC = Analyse alternative petit signal,

5
PSPICE admet aussi lutilisation des lettres et de mots pour identifier les nuds. Dautre part, si lon regarde la
numrotation des nuds gnre automatiquement par PSCHRD.EXE, celle ci commencent toujours par $N.
6
Les valeurs optionnelles ont des valeurs prdfinies. Si vous ne les prcisez pas, le simulateur prendra ces valeurs par
dfaut.
Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2010/2011
13
.NOISE = Analyse en bruit,
.PZ = Analyse ples-zros,
.SENS = Analyse en sensibilit,
.TF = Analyse de la fonction de transfert,
.DISTO = Analyse des distorsions,
.DC = Analyse statique multipoint,
.OP = Analyse statique monopoint,
.TRAN = Analyse transistoire,
.FOUR = Analyse de Fourier.

Les commandes de sortie :
.PRINT = Sortie listing d'un tableau des valeurs calcules,
.PLOT = Sortie listing d'un graphique des valeurs calcules.
.PROBE = Visualisation des rsultats graphiquement sur PC partir du module Probe.

Les directives de simulation :
.OPTION = = = = Options,
.NODESET = = = = Conditions initiales pour le statique,
.IC =Conditions initiales pour le transitoire,
.INCLUDE = Inclusion de fichiers,
.END = Fin de fichier

Les structures de donne :
- Les modles (.MODEL) : certains composants (principalement les dispositifs intgrs)
ncessitent pour leur instanciation un grand nombre de paramtres, et trs souvent un circuit
utilise beaucoup de ces composants avec le mme jeu de paramtres (emploi de la mme
technologie). Pour cette raison, SPICE permet de dfinir la notion de modle qui est une
structure de donnes dfinie une seule fois avec un nom unique et ensuite instancie autant de
fois que l'on veut en faisant rfrence ce nom unique.
- Les sous-circuits (.SUBCKT) : le sous-circuit est une structure de donnes rpondant au
mmes principes que les modles, la diffrence prs qu'il permet le regroupement de
plusieurs instances dans un corps de fichier commenant par la directive de simulation
.SUBCKT et se terminant par la directive de simulation .ENDS, et que l'instanciation se fait
avec le nom du sous-circuit prcd de la lettre X.

1.2.ii. Facteurs dchelle :


Symbole

Multiple

Unit


F ou f
P ou p
N ou n
U ou u
M ou m
K ou k
MEG ou meg
G ou g
T ou t

10
-15
10
-12
10
-9
10
-6
10
-3
10
3
10
6
10
9
10
12

Femto
Pico
Nano
Micro
Milli
Kilo
Mga
Giga
Tra

Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2010/2011
14
1.2.iii. Elments lectroniques de base :

- Sources I, V :
o Indpendantes :
Continues : IDC, VDC
Frquentielles : IAC, VAC
Temporelles : VEXP, ISIN, VPULSE, IPWL, VSSFM,
o Dpendantes linaires :
Source de Courant Commande en Courant F
Source de Courant Commande en Tension G
Source de Tension Commande en Courant H
Source de Tension Commande en Tension E
o Dpendantes non-linaires B

- Composants Passifs :
o Rsistance R
o Capacit C
o Inductance L

- Lignes de transmission :
o Ligne sans perte T
o Lignes avec pertes O
o Lignes RC uniformment distribues U

- Composants Actifs :
o Diode D
o Transistor bipolaire Q
o Transistor MOS M
o Transistor JFET J
o Transistor FET AsGa B
o Transistor MESFET Z
o Amplificateur OPrationnel (LM324, )
o Circuits logiques (Inverseur, Bascules, )
o Convertisseur Analogique-Numrique (CAN) O
o Convertisseur Numrique- Analogique (CAN) N

- Composants Particuliers :
o Interrupteurs Commands
En Tension S
En Courant W
o Couplage inductif K
o Fonction logique U
o Sous Circuit X

- Composants numriques :
Toutes les fonctions de base: NOT, OR, NOR, AND, NAND, JK, convertisseur A/N,
N/A, ROM, RAM,

Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2010/2011
15
1.2.iv. Les composants de la simulation :

Avant de faire une description des sources, il faut tout dabord connatre les notions lies la
simulation. Les sources peuvent possder deux paramtres diffrents (lun, lautre ou les deux). Le
premier est li la simulation frquentielle, lautre la simulation temporelle.
Dans lanalyse frquentielle (AC Sweep en Anglais), le simulateur transforme le circuit en son
quivalent petit signal et fait varier la frquence des sources sinusodales, laide de linversion de
matrice complexe. Il calcule alors la rponse en frquence. A cet effet, PSPICE utilise les
paramtres danalyse temporelle des sources (AC et DC), il met de ct les paramtres inutiles (les
paramtres dfinissant des signaux non sinusodaux).
Dans lanalyse transitoire ou temporelle (Transient en Anglais), PSPICE effectue une
simulation en fonction du temps, cest la seule simulation qui permet dobserver la forme relle
des signaux. A cet effet, le simulateur utilise les paramtres temporels des sources.
Lanalyse continue quand elle correspond f = 0Hz, soit t .
Pour ces diffrentes analyses, il faut utiliser diffrents types de sources :

- Sources dalimentation et dexcitation (STIMULI) :

o Les sources indpendantes :

Source continue : Source employe pour lanalyse continue (DC)

Courant : Ixx <Nud+> <Nud-> DC <Valeur>
Tension : Vxx <Nud+> <Nud-> DC <Valeur>

o Valeur = Valeur de la tension continue applique entre les nuds Nud+ et
Nud- ou Valeur du courant continue traversant la branche situe entre les
nuds Nud+ et Nud-.

Source Alternative : Source employe pour lanalyse frquentielle (AC)

Courant : Ixx <Nud+> <Nud-> AC <Amplitude> <Phase>
Tension : Vxx <Nud+> <Nud-> AC <Amplitude> <Phase>

o Amplitude
7
= Valeur de la tension alternative applique entre les nuds
Nud+ et Nud- ou Valeur du courant alternatif traversant la branche
situe entre les nuds Nud+ et Nud- ;
Phase = Dphasage initial (en degr, 0 par dfaut).

Source combine : Source employe pour lanalyse continue et analyse
frquentielle

Courant : Ixx <Nud+> <Nud-> AC <Amplitude> <Phase> DC <Valeur>
Tension : Vxx <Nud+> <Nud-> AC <Amplitude> <Phase>

Exemples : I1 1 0 AC 0.001 Source de courant I1 de 1mA dans le domaine
frquentielle se trouvant entre les nuds 1 et 0.

7
Gnralement mis 1 pour la dtermination aise dun gain.
Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2010/2011
16
V1 3 1 DC 3.3 Source de tension V1 de composante continue 3.3V
situe entre les nuds 3 et 1.
V2 3 0 AC 0.01 DC 3.3 Source de tension de composante
continue 3.3V et dune amplitude alternative de 10mV situ entre le
nud 3 et la masse 0.
VEE 0 VEE DC 15 Alimentation symtrique continue de 15V
entre les nuds VEE et la masse 0.


Figure 1.8 : Source de tension PWL dfinie par
V1 1 0 PWL (0, 0) (10u, 5) (50u, 5) (55u, 1) (60u, 0)

Signaux Priodiques : Source employe pour lanalyse temporelle

+ PWL : Nuage de Points, segments fonction du temps.

PWL(<T1>, <Val1>) ( <T2>, < Val 2>) (<Tx>, < Val x>)

o Tx = Instant Tx ;
Valx = Valeur (tension ou courant) linstant Tx.

Courant : Ixx <Nud+> <Nud-> PWL()
Tension : Vxx <Nud+> <Nud-> PWL()

Exemple : V1 1 0 PWL (0, 0) (10u, 5) (50u, 5) (55u, 1) (60u, 0)

+ EXP : Somme de deux exponentielles (une croissante et lautre
dcroissante)

EXP(<ValIni> <ValMax> <TpsMont> <T
cstMont> <TpsChute> <TcsteChute>)

o ValInit = Valeur initiale (tension ou courant) ;
ValMax = Valeur maximale atteinte ;
TpsMont = Temps de dbut de front montant (0 par dfaut) ;
TcstMont = Constante de temps du front montant (Tstep par dfaut) ;
TpsChute = Temps de dbut de front descendant (Tstep + TpsMont par
dfaut) ;
Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2010/2011
17
TcstChute = Constante de temps du front descendant (Tstep par dfaut).

Courant : Ixx <Nud+> <Nud-> EXP()
Tension : Vxx <Nud+> <Nud-> EXP()

Exemple : V1 1 0 EXP (0 1 1n 5n 10n 5n)


Figure 1.9 : Source de tension EXP dfinie V1 1 0 EXP (0 1 1n 5n 10n 5n)

+ PULSE : Impulsion avec un niveau haut et un niveau bas, dfinies par
diffrents temps.

PULSE( <ValInit> <ValImp> <TpsRet> <TpsMont> <TpsChute> <TpsImp> <TpsPer>)

o ValInit = Valeur initiale (Etat OFF ) ;
ValImp = Valeur de limpulsion (Etat ON ) ;
TpsRet = Retard de dbut dimpulsion (0 par dfaut) ;
TpsMont = Temps de monte (toujours suprieur 0, Tstep par dfaut) ;
TpsChute = Temps de descente (toujours suprieur 0, Tstep par dfaut) ;
TpsImp = Largeur de limpulsion (Tstop par dfaut) ;
TpsPer = Priode (Tstop par dfaut).


Figure 1.10 : Source de tension PULSE dfinie par V1 1 0 PULSE (0 1 1ns 1ns 1ns 5ns 15ns)

Courant : Ixx <Nud+> <Nud-> PULSE()
Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2010/2011
18
Tension : Vxx <Nud+> <Nud-> PULSE()

Exemple : V1 1 0 PULSE (0 1 1ns 1ns 1ns 5ns 15ns)

+ SIN : Sinusode damplitude constante ou amortie.

SIN(<ValOffset> <ValAmpl> <Frq> <TpsRet> <FactAmort> <Phase>)

o ValOffset = Valeur continue ;
ValAmpl = Amplitude de la sinusode ;
Frq = Frquence du signal sinusodal (1/Tstop par dfaut) ;
TpsRet = Retard du dbut damortissement ;
FactAmort = Facteur damortissement ;
Phase = Dphasage initial (en degr).

Exemple : V1 1 0 SIN (1 1 100M 1n 20M 0)

Cette fonction dpendant du temps t a pour quation :
V = ValOffset + ValAmpl*exp[(TpsRet-t)*FactAmort]*sin(2**Frq *t+ Phase)


Figure 1.11 : Source de tension SIN dfinie par V1 1 0 SIN (1 1 100M 1n 20M 0)

+ SFFM : Modulation de Frquence

SFFM( <ValOffset> <ValAmpl> <FrqPort> <Modulation> <Frq_Signal>)

o ValOffset = Valeur continue ;
ValAmpl = Amplitude de la porteuse ;
FrqPort = Frquence du signal porteur (1/Tstop par dfaut) ;
Modulation = Amplitude du signal modulant (0 par dfaut) ;
Frq_Signal = Frquence du signal modulant (1/Tstop par dfaut).

Exemple : V1 1 0 SFFM (1 1 100M 2 30M)

Cette fonction transitoire a pour quation :
V = ValOffset + sin(2**FrqPort*t) + Modulation * sin(2**Frq_Signal*t)
o t est le temps.

Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2010/2011
19

Figure 1.12 : Source de tension SFFM dfinie par V1 1 0 SFFM (1 1 100M 2 30M)

Rappel : Chaque source temporelle dun circuit analyser peut contenir simultanment une
information DC, AC et temporelle (mais un seul type de fonction transitoire par
source).

o Les sources commandes :

Le contrle (la commande) peut tre linaire ou polynomial. Il existe 4 types de sources
commandes :

E : Source de Tension Commande en Tension (STCT)
Gain en tension G (s.u.)

Exx <Nud_Con+> <Nud_Con-> <Nud_com+> <Nud_com+> <Val_G>

Exx <Nud_Con+> <Nud_Con-> POLY(1) (<Nud_com+> <Nud_com+>) Coefficients

Exx <Nud_Con+> <Nud_Con-> POLY(2) (<Nud_com1+> <Nud_com1->)
(<Nud_com2+> <Nud_com2->) Coefficients


Figure 1.13 : Source de tension commande en tension

o <Nud_Con+> et <Nud_Con -> = Les deux bornes de la source de tension ;
<Nud_com +> et <Nud_com +> = Les deux nuds entre lesquels est prise la
tension de commande (V sur la figure 1.13) ;
<Val_G> = Gain en tension (G dans le dessin de la Figure 1.13) :
Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2010/2011
20
POLY(1) permet de raliser une source commande unidimentionnelle (i.e. ne
dpendant que dune seule diffrence de potentiel) suivant une loi polynomiale tel
que :
V = G0 + G1*V + G2*V + Gn*V
n
.
POLY(2) permet de raliser une source commande bidimentionnelle (i.e.
dpendant de deux diffrences de potentiel diffrentes (V
a
et V
b
) appartenant au
circuit simul) suivant la loi suivante :
V = G0+G1
a
*V
a
+G1
b
*V
b
+G2
a
*V
a
+G2
b
*V
b
+Gn
a
*V
a
n
+Gn
B
*V
b
n
.

Exemples : Eampli 3 0 1 2 1E5 Reprsentation dun amplificateur
oprationnel idal de gain 10000, ayant pour sortie les nuds 3 et 0
et en entre, les nuds 1 et 2.
Epoly 3 0 POLY(1) (8 9) 1 0 0 2 Source de tension Epoly de
bornes 3 et 0 dont la tension de contrle est V = V8 - V9 avec une loi
de commande : V = 1 + 0*V + 0*V
b
+ 2*V.

Les mmes rgles sappliquent aux autres sources commandes ci-dessous.
Toutefois, on peut prciser la syntaxe lorsque la grandeur de commande est un courant.
Un courant nest pas dfini par deux nuds mais par le nom dune source de courant
indpendante quil traverse (branche). Pour mesurer le courant circulant dans une
branche, on intercale parfois une source de tension de valeur nulle (dite source
ampremtre).

G : Source de Courant Commande en Tension (SCCT)
Trancondutance g
m
(A/V)

Gxx <Nud_Con+> <Nud_Con-> <Nud_com+> <Nud_com-> <Val_gm>

Gxx <Nud_Con+> <Nud_Con-> POLY(1) (<Nud_com+> <Nud_com+>) Coefficients

Gxx <Nud_Con+> <Nud_Con-> POLY(2) (<Nud_com1+> <Nud_com1->) Coefficients


Figure 1.14 : Source de courant commande en tension

F : Source de Courant Commande en Courant (SCCC)
Gain en courant Gi (s.u.)

Fxx <Nud_Con+> <Nud_Con-> <Nud_com+> <Nud_com-> <Val_Gi>

Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2010/2011
21
Fxx <Nud_Con+> <Nud_Con-> POLY(1) (<Nud_com+> <Nud_com+>) Coefficients

Fxx <Nud_Con+> <Nud_Con-> POLY(2) (<Nud_com1+> <Nud_com1->) Coefficients

Exemple : Afin de raliser un transistor bipolaire idal de gain 300 (cf. Figure
1.15), on utilisera la netlist suivante :
Vbase Base Emetteur 0
Ftransistor Collecteur Emetteur Vase 300 Ici, la premire
ligne de code introduit une source de tension Vbase gale 0V entre
les nuds Base et Emetteur (source ampremtre). La seconde ligne
dfinit un diple Collecteur-Emetteur par une SCCC avec un gain de
300 par le courant traversant Vbase.

Figure 1.15 : Schma quivalent simplifie dun transistor bipolaire

H : Source de Tension Commande en Courant (STCC)
Transrsistance R ()

Hxx <Nud_Con+> <Nud_Con-> <Nud_com +> <Nud_com+> <Val_R>

Hxx <Nud_Con+> <Nud_Con-> POLY(1) (<Nud_com+> <Nud_com+>) Coefficients

Hxx <Nud_Con+> <Nud_Con-> POLY(2) (<Nud_com1+> <Nud_com1->)

Remarques : Lutilisation de loprateur POLY comporte quelques faiblesses et limitations
intrinsques parmi lesquelles on peut citer :
- la complexit de mise en uvre ;
- toutes les fonctions non linaires ne peuvent pas tre dcrites laide de
polynmes ;
- limpossibilit de dcrire un comportement en frquence.

o Les sources de modlisation comportementales
8
:

La modlisation comportementale est une extension des sources contrles E et G.
Elle permet une description de toute fonction lectronique par une fonction de transfert
exprime littralement ou sous forme de tableau de valeurs, aussi bien dans le domaine
temporel (VALUE, TABLE) que dans le domaine frquentiel (LAPLACE, FREQ,
CHEBYCHEV).

Circuits non-linaires :

8
Attention, suivant la version de PSPICE utilise, certaines des fonctions dcrites ici ne seront pas ncessairement
prsentes et donc pas ncessairement utilisables.
Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2010/2011
22
Une relation indpendante du temps est dfinie entre lentre et la sortie.

Exx <Nud +> <Nud -> VALUE={Expression}
Gxx <Nud +> <Nud -> VALUE={Expression}


o <Nud +> et <Nud -> = Nuds de la source Exx ou Gxx (tension ou
courant) ;
Expression = Equation mathmatique entre accolades {} contenant des
constantes, des tensions en des points du rseau V(i) ou entre deux points
V(i,j), des courants traversant des sources I(Vbase) (source ampremtre).

Exemple : On souhaite crer une tension qui est proportionnelle la puissance
dissipe dans un composant. Pour cela, il suffit de faire le produit de
la tension et du courant le traversant. Attention, sauf si le composant
est une source de tension, il faut introduire une source ampremtre
pour mesurer le courant (cf. Figure 1.16) :

Figure 1.16 : Ralisation dune source de tension proportionnelle la puissance dissipe dans
une rsistance

R 2 0 2k
Vamp 1 2 0
Ewattmetre 3 4 VALUE={V(1,0)*I(Vamp)} la tension entre 3
et 4 est proportionnelle la puissance dissipe.

Remarques : - La possibilit de mlanger tensions et courants rend inutile
limplantation des sources H et F.
- PSPICE admet des commandes rcursives. Ainsi, il est parfaitement
possible de dfinir une source partir delle-mme
9
.
- Lors dune analyse transitoire, le temps peut tre introduit par le
mot cl TIME (dans le cas o dautre analyse que la simulation dans
le domaine temporelle soit faite, TIME est mis 0). Par exemple :
Eam 6 0 VALUE={10*COS(6.28*1000*TIME)} On a un
gnrateur sinusodal (similaire VSIN) de frquence 1000Hz et
damplitude 10V. Mais Lexpression doit tenir sur une seule ligne.
Ds lors, pour limiter sa longueur, on peur faire appel la directive
.FUNC :
.FUNC <Nom> <Expression>
.FUNC <Nom> <variables> <Expression>

9
Par exemple, une tension aux bornes dun dispositif en fonction du courant qui le traverse correspond la dfinition
dune impdance.
Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2010/2011
23
Exemple : .FUNC E(x) exp(x)
.FUNC sh(x) ((exp(x)- exp(-x))/2) Ici on fait
appel des fonctions pralablement dfinie dans le
simulateur
10
(exp).

La fonction TABLE peut aussi tre employe :

TABLE(x,x1,y1,x2,y2,xn,yn)

o si x < x1 alors y = y1, si x > xn alors y = yn. Entre ces limites, il y a
interpolation linaire.

La fonction LIMIT peut aussi tre employe :

LIMIT(x,min,max)

o si x < min alors y = min, si x > max alors y = max et si min < x < max. alors
y = x.

Utilisation dun TABLE de valeurs :

La TABLE est une suite de couple de valeurs entre-sortie (<ValE> <ValS>) entre
lesquelles le logiciel effectue une extrapolation linaire. Au del des valeurs limites,
la tension de sortie reste constante. Cette forme est souvent bien adapte la
description de caractristiques non-linaire tel que les diodes tunnel ou les thyristors
UJT.

Exx <Nud +> <Nud -> TABLE_{Expression}=(<ValE> <ValS>) ()
Gxx <Nud +> <Nud -> TABLE_{Expression}=(<ValE> <ValS>) ()

Exemples : Elimit 2 0 TABLE_{Vsortie}=(-7.5 -15) (7.5 15) On a ici un
gain de 2 et une limitation 15V.
Un transistor unijonction (voir Figure 1.17) peut se dfinir ainsi :
Vamperemetre 2 0 TABLE_{I(Vamparemetre)}=(0 0) (10U 10)
(1M 1) (20M 20)

Figure 1.17 : Transistor unijonction

Pour un amplificateur idal de gain 10000, avec un crtage 15V,
on peut dfinir un sous-circuit
11
comme suit :

10
Ces fonctions sont les mmes que celles prsentent dans le module PROBE (voir paragraphe 1.4, plus loin).
11
Voir plus loin pour la syntaxe de description dun sous-circuit.
Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2010/2011
24
.SUBSCKT AOPX0 1 2 3 masse
RINDIFF 1 2 10MEG
EOUT 3 masse TABLE _{V(3)-V(masse)}=(-150E-6 -15) (150E-6
+ 15)
ROUT 3 masse 10MEG
.ENDS

Dfinition de la transforme de LAPLACE :

On peut aussi simuler des filtres partir de leur fonction de transfert en s :

Exx <Nud +> <Nud -> LAPLACE_{Expression}=(Fonction de transfert en s)
Gxx <Nud +> <Nud -> LAPLACE_{Expression}=(Fonction de transfert en s)

Exemple : On souhaite raliser un filtre de Butterworth du 3
me
ordre avec le
schma de la figure 1.18. On veut lentre au nud 1, la sortie au
nud 2, une pulsation de coupure de 1 et un gain frquence nul de
0.5.

Figure 1.18: Schmatic employ pour la ralisation dun filtre de Butterworth du 3
me
ordre

Vin 1 0 AC 1
R1 1 0 1k
R2 2 0 1k
EBTW 2 0 LAPLACE_{2*V(1)}=(1/1+2*s+2*s*s+s*s*s)

Filtre de CHEBYSHEV :

Les dernires versions de PSPICE permettent enfin de dfinir des filtres de
Chebyshev partir de leur gabarit, gains dans la bande passante et coupe et
frquences limites.


Figure 1.19 : Gabarit de diffrents types de filtres

Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2010/2011
25
Exx <Nud +> <Nud -> CHEBYSHEV_{Expression}=<TYPE> <Frquences de coupure>
<Attnuations>
Gxx <Nud +> <Nud -> CHEBYSHEV_{Expression}=<TYPE> <Frquences de coupure>
<Attnuations>

o TYPE = LP pour un filtre passe bas (Low Pass), HP pour passe haut, BP
pour coupe bande.

Exemple : Epassebande 4 0 CHEBYSHEV_{V(2)}= BP 800 1.2k 2k 3k
0.1dB 50dB Filtre de Chebyshev qui en dessous de 800Hz et au
dessus de 3kHz attnue dau moins 50dB alors que lattnuation ne
dpasse pas 0.1dB dans la bande passante 1.2kHz 2kHz.

- Quelques composants analogiques de base

Rsistance : Rxx <Nud+> <Nud-> <Val>
Rxx <Nud+> <Nud-> <Modle > <Val>
Rxx <Nud+> <Nud-> <Val> TC=<TC1>,<TC2>
avec <Nud+> et <Nud-> = Nuds de connexion ;
<Modle > = Modle employ ;
<Val> = Valeur de la rsistance. Celle-ci peut tre
positive ou ngative, mais jamais nulle ;
TC = Paramtre optionnel de dpendance en
temprature.
La dpendance en temprature est dfinit comment
suit :
TNOM = temprature nominale (27C par dfaut mais
peut tre modifie en utilisant la commande
.OPTIONS)
DT = T TNOM
R(T) = R(TNOM)*(1+TC1*DT+TC2*DT)

Exemples : R25 5 2 2.2K Rsistance R25 de 2.2k entre
les nuds 5 et 2.
Rcc 1 3 100 TC=0.001,.015 Rsistance Rcc de
100 entre les nuds 1 et 3 avec une dpendance
en temprature dfinie par TC1=0.001 et
TC2=0.015.

Capacit : Cxx <Nud+> <Nud-> <Val>
Cxx <Nud+> <Nud-> <Modle > <Val>
Cxx <Nud+> <Nud-> <Val> IC=<Cond Init >
avec : IC = Diffrence de potentiel se trouvant entre les 2
bornes de la capacit en dbut de simulation lors dune
analyse transitoire
12
(soit t=0).

Exemples : Cin 1 0 1U Capacit Cin de 1F entre les
nuds 1 et 0.

12
Sous la version DOS, le mot cl UIC doit tre prsent dans lanalyse .TRAN pour que la commande IC soit utilise.
Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2010/2011
26
C1 4 5 POLY(1) 1U .1U .05U Capacit
C1 entre les nuds 1 et 0 dfinie comme une
fonction non-linaire de la tension grce au
mot cl POLY et tel que :
C(V) = C0 + C1*V + C2*V + + Cn*V
n
, avec n 20.
Soit ici : C(V) = 1U + 0.1U*V + 0.05U*V.
Cload X Y 15P IC=5V Capacit Cload de
15pF entre les nuds X et Y, avec une
condition initiale de 5V applique entre les
bornes + et du condensateur.

Inductance Lxx <Nud+> <Nud-> <Val>
Lxx <Nud+> <Nud-> <Modle > <Val>
Lxx <Nud+> <Nud-> <Val> IC=<Cond Init>

Exemples : LA 2 3 2M Inductance de 2mH entre les
nuds 2 et 3.
L1 4 5 POLY(1) 1m .1m .05m IC=.1 Bobine
L1 entre les nuds 4 et 5 et dfinie comme une
fonction non-linaire de la tension et tel que :
L(V) = L0 + L1*I + L2*I + + Ln*I
n
, avec n 20.
Soit ici : L(V) = 1m + 0.1m*V + 0.05m*V.
et aussi avec une condition initiale de I allant du nud
4 vers le nud 5) de 0.1A.

Diode : Dxx <Nud+> <Nud-> <Modle >
Dxx <Nud+> <Nud-> <Modle > [area] [OFF] [IC=<icval>]
. lib eval.lib
. model <Nom> D <Paramtres>
avec : <Nud+> = Anode ;
<Nud-> = Cathode ;
area = Facteur dchelle (mis 1 par dfaut) ;
OFF = Rend la diode bloque au dpart dune analyse
DC ;
<icval> = Valeur initiale du courant pour lanalyse
transitoire.

Exemples : D1 1 2 MD
.model MD D IS=100p n=1.8 Diode de
modle D situe entre les nuds 1 et 2 et appel de
ce modle par dfaut D o on modifie deux des
paramtres de ce modle : les valeurs du courant
de saturation IS et du coefficient dmission n (les
autres paramtres du modle, tout aussi
modifiables, gardent les paramtres par dfaut).
D2 4 2 D1N4148
.LIB EVAL.LIB Appel de la diode modlisant
le comportement de la 1N4148.

Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2010/2011
27
Dans le tableau ci-dessous, on trouve la liste des paramtres
de lun des modles employ par le simulateur pour simuler le
fonctionnement dune diode. Chacun de ces paramtres peut
tre modifi par lutilisateur. Il est noter quil existe des
modles plus volus faisant appel un nombre de paramtres
plus importants.

Nom Dfinition Units Valeur par dfaut Area
IS
RS
N
TT
CJ0
VJ
M
EG
XTI

KF
AF
FC

BV
IBV
Courant de saturation
Rsistance srie
Coefficient dmission
Temps de transit
Capacit de jonction V=0
Potentiel de jonction
Coefficient exponentiel de la jonction
Energie dactivation (gap)
Exposant de la variation du courant de saturation
en temprature
Coefficient du bruit en 1/f
Exposant du bruit en 1/f
Coefficient pour la capacit de dpltion polarise
en inverse
Tension de claquage inverse
Courant la tension de claquage
A

-
s
F
V
-
eV
-

-
-
-

V
A
1E-14
0
1
0
0
1
0.5
1.11
3

0
1
0.5

Infini
1E-10
*
/


*










*

Transistor Bipolaire : Qxx <C> <B> <E> <Modle>
Qxx <C> <B> <E> [<S>] <Modle>
Qxx <C> <B> <E> [Substrat] <Modle> [area] [OFF] [IC=<vbe>,<vce>]
. lib eval.lib
. model <Nom> PNP <Paramtres>
. model <Nom> NPN <Paramtres>
. model <Nom> LNPN <Paramtres>
avec : <C> = Collecteur ;
<B> = Base ;
<E> = Emetteur ;
<S> = Subtrat ;
<vbe>, <vce> = valeur initiale des
tensions pour lanalyse transitoire.

Exemples : Q1 2 7 9 Q2N2222A
.LIB EVAL.LIB Il sagit dun transistor
du commerce. Son collecteur est au nud 2, la
base au nud 7 et son metteur au nud 9. Son
modle est donn par le constructeur dans la
bibliothque eval.lib.
Q2 3 6 8 MD
.MODEL MD NPN BF=100 VAF=10 Il
sagit dun transistor NPN o le gain en
courant BF et la tension dEarly VAF sont
Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2010/2011
28
redfinies (pour un transistor PNP, le modle
sera appel par le mot cl PNP).

Le tableau ci-dessous liste les principaux paramtres de lun
des modles employ par le simulateur pour simuler le
fonctionnement dun transistor bipolaire :

Nom Dfinition Units Valeur par dfaut Area
IS
BF
NF
VAF
IKF
ISE
NE
BR
NR
VAR
IKR
ISC
NC
RB
IRB
RBM
RE
RC
CJE
VJE
MJE
TF
XTF
VTF
ITF
PTF
CJC
VJC
MJC
XCJC
TR
CJS
VJS
MJS
XTB
EG
XTI
KF
AF
FC
Courant de saturation
Bta max idal en polarisation directe
Coeff. dmission pour le courant en direct
Tension Early en direct
Coude pour le courant fort en BF
Courant de saturation B-E
Coeff. dmission B-E
Bta max idal en polarisation inverse
Coeff. dmission pour le courant en inverse
Tension Early en inverse
Coude pour le bta inverse
Courant de saturation B-C
Coeff. dmission B-C
Rsistance de base polarisation nulle
Courant pour lequel RB diminue de moiti
RB min fort courant
Rsistance dmetteur
Rsistance de collecteur
Capacit de dpltion VBE=0
Potentiel interne de jonction B-E
Facteur exponentiel de la jonction B-E
Temps de transit idal en direct
Coeff. pour la dpendance pour la base de TF
Tension dcrivant la dpendance de TF en VBC
Paramtre de fort courant pour TF
Excs de phase la frquence 1/(2*TF)
Capacit de dpltion VCE=0
Potentiel interne de la jonction B-C
Facteur exponentiel de la jonction B-C
Fraction de CJC connect la base interne
Temps de transit idal en inverse
Capacit de dpltion vcs = 0
Potentiel interne de la jonction C-S
Facteur exponentiel de la jonction C-S
Coeff. En temprature pour bta
Energie du gap
Exposant de la temprature pour IS
Coeff. du bruit en 1/f
Exposant du bruit en 1/f
Coeff. pour la capacit de dpltion en direct
A
-
-
V
A
A
-
-
-
V
A
A
-

A



F
V
-
s
-
V
A

F
V
-
-
s
F
V
-
-
eV
-
-
-
-
1E-16
100
1
Infini
Infini
0
1.5
1
1
Infini
Infini
0
2
0
Infini
0
0
0
0
0.75
0.33
0
0
Infini
0
0
0
0.75
0.33
1
0
0
0.75
0
0
1.11
3
0
1
0.5
*


*
*





*
*

/
*
/
/
/
*





*

*




*









Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2010/2011
29
Transistor JFET : Jxx <D > <G> <S> <Modle>
Jxx <D > <G> <S> <Modle> [area] [OFF] [IC=<vds>,<vgs>]
. model <Nom> NJF <Paramtres>
. model <Nom> PJF <Paramtres>
avec : <D> = Drain ;
<G> = Grille ;
<S> = Source ;
<vds>, <vgs> = valeur initiale des tensions
pour lanalyse transitoire.

Exemple : J1 2 3 2 0 MD
.model MD NJF Transistor JFET de type N bas
sur le modle NJF (pour le type P, ce sera PJF).

Le tableau ci-dessous liste les principaux paramtres de lun
des modles les plus simples employ par le simulateur pour
simuler le fonctionnement dun transistor JFET :

Nom Dfinition Units Valeur par dfaut Area
VT0
BETA
LAMBDA

RD
RS
CGS
CGD
PB
IS
KF
AF
FC
Tension de seuil
Transconductance
Paramtre de modulation de la longueur du
canal
Rsistance de drain
Rsistance de source
Capacit de jonction G-S VGS = 0
Capacit de jonction G-D VDS = 0
Potentiel de jonction de grille
Courant de saturation de la jonction grille
Coeff. du bruit en 1/f
Exposant du bruit en 1/f
Coeff. pour la capacit de dpltion polarise
en direct
V
A/V
1/V



F
F
V
A
-
-
-
-2
1E-4
0

0
0
0
0
1
1E-14
0
1
0.5

*


/
/
*
*

*

Transistor MOS : Mxx <D> <G> <S> <B> <Modle>
Mxx <D> <G> <S> <S> <Modle> [L=ValL] [W=ValW] [AD=ValAD] [AS=ValAS] [PD=ValPD]
+ [PS=ValPS] [NRD=ValNRD] [NRS=ValNRS] [OFF] [IC=<vds>,<vgs>,<vbs>]
. model <Nom> PMOS <Paramtres>
. model <Nom> NMOS <Paramtres>

avec : <D> = Drain ;
<G> = Grille ;
<S> = Source ;
<B> = Substrat (Bulk) ;
L = Longueur du canal (100m par dfaut) ;
W = Largeur du canal (100m par dfaut) ;
AD, AS = Surface des diffusions de drain et de
source
13
(en m, 0 par dfaut) ;

13
Ces valeurs peuvent tre modifies en utilisant la commande .OPTIONS.
Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2010/2011
30
PD, PS = Primtre du drain et de la source (en
m, 0 par dfaut) ;
NRD, NRS = Nombre de carrs quivalents
aux diffusions de drain et de source. Ces
valeurs sont multiplies par la rsistance par
carr RSH pour obtenir les rsistances sries de
drain et de source (1 par dfaut) ;
<vds>, <vgs>, <vbs> = valeur initiale des
tensions pour lanalyse transitoire.

Diffrents niveaux de modles peuvent tre utiliss. On peut
citer en autre :
- LEVEL=1 MOS1, Schichman-Hodges ;
- LEVEL=2 MOS2, A. Vladimirescu & S. Liu ;
- LEVEL=3 MOS3, Model semi-empirique de A.
Vladimirescu & S. Liu.

Nom dfinition Units Valeur par dfaut
LEVEL
VT0
KP
GAMMA
PHI
LAMBDA
RD
RS
CBD
CBS
IS
PB
CGS0
CGD0
RSH
CJ
MJ
CJSW
MJSW
JS
TOX
NSUB
NSS
NFS
TPG
XJ
LD
U0
UCRIT

UEXP
UTRA
Rfrence du modle
Tension de seuil
Transconductance
Paramtre de seuil pour le substrat
Potentiel de surface
Modulation de la longueur du canal (MOS1 & 2)
Rsistance ohmique du drain
Rsistance ohmique de la source
Capacit de la jonction B-D VBD = 0
Capacit de la jonction B-S VBS = 0
Courant de saturation pour la jonction substrat
Potentiel de la jonction substrat
Capacit de recouvrement G-S
Capacit de recouvrement G-D
Rsistance par carr des diffusions drain et source
Capacit de la jonction substrat vertical V =0
Coeff. exponentiel de la jonction substrat vertical
Capacit de la jonction substrat horizontal V =0
Coeff. exponentiel de la jonction substrat horizontal
Densit de courant de saturation de la jonction substrat
Epaisseur doxyde
Dopage du substrat
Densit dtats de surface
Densit dtats de surface rapides
Type de matriau de grille (1=-B, -1=B, 0 sinon)
Epaisseur de la jonction mtallique
Diffusion latrale
Mobilit de surface
Champ critique pour la dgradation de mobilit
(MOS2)
Exposant du champ critique pour la mobilit (MOS2)
Coeff. du champ transverse de dgradation de mobilit
-
V
A/V
V
0.5

V
1/V


F
F
A
V
F/m
F/m
/
F/m
-
F/m
-
A/m
M
cm
-3

cm
-2

cm
-2
-
m
m
cm/Vs
V/cm

-
-
1
0
2E-5
0
.6
0
0
0
0
0
1E-14
.8
0
0
0
0
0
0.5
0
.33
1E-8
1E-7
0
0
1
1U
.8U
600
1E4

0
0
Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2010/2011
31
VMAX
NEFF
XQC
KF
AF
FC
DELTA
THETA
ETA
KAPPA
Vitesse de drift maximale des porteurs
Coeff. de charge totale du canal
Proportion de charges du canal attribue au drain
Coeff. du bruit 1/f
Exposant du bruit 1/f
Coeff. pour la capacit de dpltion en direct
Effet de largeur sur la tension de seuil (MOS2 & 3)
Modulation de mobilit (MOS3)
Feedback statique (MOS3)
Facteur de champ de saturation (MOS3)
m/s
-
-
-
-
-
-
1/V
-
-
0
1
1
0
1
.5
0
0
0
.2

Exemple : Mc 2 3 2 0 MD W=10U L=1U
.model MD NMOS Transistor NMOS, faisant
appel au modle nomm NMOS de largeur de grille
10m et de longueur 1m, les autres paramtres
restent ceux par dfaut.
.MODELE nmos1 NMOS LEVEL 1 TOX=1.264e-8
+ KP=100e-6 LAMBDA=0.03 VT0=0.7
+ GAMMA=0.8 PHI=0.5 CJ=0.35e-3 MJ=0.450
+ CJSW=0.31e-9 MJSW=0.49
mn1 d1 g 0 0 nmos1 W=47u L=1.0u Transistor
NMOS, faisant appel au modle nomm NMOS de
level , avec de largeur de grille 47m et de longueur
1m. Ici, un certain nombre de paramtre ont t
modifi.

- Les structures hirarchiques et sous - circuits :

Afin dallger le fichier dentre, principalement quand une structure se rpte plusieurs fois,
on associe un ensemble dlments un bloc nomme sous-circuit. La syntaxe employe est alors
la suivante :

.SUBCKT <nom> <nud_1> <nud_2> <nud_X>

Description des branches identiques (Netlist)
.ENDS

Exemple : Afin de raliser la simulation du circuit de la figure 1.20, on va crer la netlist du sous-
cicuit correspondant la figure 1.21 :

CircDoubleRC
Vin 1 0 AC 1
.subckt SS_circuit n1 n2 n3
R1 n2 n3 1k
C1 n1 n2 1n
.ends

XscRC1 1 2 0 SS_circuit
XscRC2 2 3 0 SS_circuit

.end
Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2010/2011
32



Figure 1.20 : Double circuit RC



Figure 1.21 : Sous-circuit ralis dun RC1.3 Simulations sous PSPICE :

Ces simulations ne sont pas exclusives et peuvent tre dfinies simultanment dans le
mme fichier pour une excution enchane. Les commandes qui suivent ne gnrent des
informations que sur la spcification des commandes .PRINT, .PLOT ou .PROBE. Nous nous
attarderons ici sur les analyses principales.

Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2010/2011
33
1.3.i. Simulation en rgime continu :
Le rgime continu correspond un signal indpendant du
temps. Seules les sources DC sont alors considres, les autres
sources sont ignores. Les sources de courant non continues sont
alors des circuits ouverts
14
et les sources de tensions non continues
deviennent des court-circuits
15
. Diffrentes commandes sont disponibles en fonction de ce
que lon veut visualiser :

o .OP : Analyse du point de fonctionnement (I
0
V
0
).
Par cette commande, on value le courant de chaque branche et les
tensions de tous les nuds du circuit dans des conditions dtat stable
tablies. Le calcul de ce point est effectu en remplaant les capacits par
des circuits ouverts et les inductances par des court-circuits. Pendant ce
calcul, on rappellera que seuls sont considrs les sources dfinies en
continue, les autres tant ignores. Il est aussi noter que ce calcul est
systmatiquement effectu avant chaque analyse en continu ou temporel, la
commande .OP ne sert qu gnrer le dtail de ce calcul dans le fichier de
sortie.

Syntaxe : Lappel de la commande dans le fichier dentre est simplement :
.OP. Elle ne require aucun argument supplmentaire ou dfinition
de grandeur de sortie.

o .DC : Analyse de balayage en continu.
Elle permet de simuler la variation continue dun paramtre du circuit
ou daffecter des valeurs un paramtre modifier et den mesurer les
consquences (par exemple, la variation du courant en fonction de la tension
applique).
On peut la considrer comme une succession de calculs de point de
fonctionnement, o la liste de ces points de calcul est dtermine soit par sa
loi de variation (linaire LIN, Dcimal DEC et Octale OCT), soit par une
liste dchantillons.
Pour des raisons de rapidit de calcul, ce calcul nest pas effectu
pour chaque itration mais par extrapolation du rsultat du premier point
puis des deux derniers points calculs afin de servir de base de calcul du
point suivant.
Certaines limitations sont cependant remarquer. En effet, lanalyse
en continu ne permet pas de simuler :
- des charges de capacits autour dune valeur moyenne ;
- des phnomnes non linaires intervenant entre deux points simuls.

Syntaxe :

.DC <Loi_Var> <Variable> <Valeur_Deb> <Valeur_Fin> <Pas>
.DC <Loi_Var> <Variable> <Valeur_Deb> <Valeur_Fin> <Nb_Points>
.DC <Variable> LIST <Valeur1 > <Valeur2 ><ValeurX >

14
Idalement, leur impdance est infinie.
15
Impdance idalement nulle.
Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2010/2011
34
(
(
(
(
(
(
(
(
(

=
(
(
(
(
(
(
(
(
(

(
(
(
(
(
(
(
(
(

...
...
Ij
...
Ij
...
...
...
...
Vk
...
Vj
...
...
*
... ... ... ... ... ... ...
... ... ... ... ... ... ...
... ... ... ... ... ... ...
... ... Rk / 1 ... ... Rk / 1 ...
... ... ... ... ... ... ...
... ... Rk / 1 ... ... Rk / 1 ...
... ... ... ... ... ... ...


Figure 1.22 : Illustration de la matrice des
admittances

o Loi_Var = Mode de variation du paramtre (LIN, DEC ou OCT) ;
Variable = Nom du paramtre variant ;
Valeur_Deb = Valeur initiale du paramtre ;
Valeur_Fin = Valeur final du paramtre ;
Pas = Pas de variation ;
Nb_Points = Nombre de points simuls entre Valeur_Deb et
Valeur_Fin ;
LIST = Suite de valeurs prises successivement le paramtre.

Exemple : .DC VIN -0.25 0.25 0.05 Le gnrateur de tension VIN
varie de -0.25V 0.25V par pas de 0.05V.
.DC TEMP LIST -50 0 20 50 80 Calcul de cinq points de
polarisation en fonction de la temprature (TEMP). Il est
noter que la commande .TEMP LIST -50 0 20 50 80 permet
une simulation quivalente.

Algorithme de simulation :

- Pour les circuits linaires, on peut rsumer
lalgorithme de simulation en rgime continu par le
diagramme suivant :

1 - Description de la structure du circuit


2 - Analyse de la description


3 - Mise sous la forme dun systme mathmatique de la
forme [Y] * [V] = [I] (mthode nodale, cf. Figure 1.22).


4 - Rsolution numrique du systme
(mthode du pivot, )


5 - Rsultats : V
1
, V
2
, , V
j

Remarque : Le calcul du couple (I, V) sous SPICE
emploie la mthode nodale partir de la matrice des
admittances [Y] (inverse de limpdance) et les vecteurs
courants [I] et des tensions [V]. Ceux-ci ont prcdemment t tablis partir de la
netlist du fichier dentre.

- Pour les circuits contenant des lments non-linaires (circuit, par consquent, non-
linaire), aprs avoir effectu les deux premires tapes de lalgorithme prcdent, la
procdure suivie devient :
Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2010/2011
35

3 - Linarisation des composants (Dveloppement de Taylor au 1
er
ordre)
I = I0 + [dI/dV] * [V-V0] = G* V + F
Modle linaris des composants


4 - Mise sous la forme dun systme mathmatique de la forme :
[Y] * [V] = [I]


5 - Rsolution du systme et comparaison au modle rel


Oui 6 - Convergence Non
Les valeurs (I, V) obtenues la X
ime
itrations
vrifient ou non un epsilon prs les valeurs
trouves avec le modle rel
(Algorithme de Newton-Raptson,).


Fin
Solution ou non convergence



Exemple dun circuit diode :

Figure 1.23 : Illustration de la mthode utilise lors dune analyse DC pour un circuit non-linaire

I
d
= I
s
[exp(qV
d
/nkT) - 1] dI
d
/dV
d
= (qI
s
/nkT)exp(qV
d
/nkT) (q/nkT) (I I
0
)
Linarisation: I
d
= I
0
+ (dI
d
/dV
d
)*(V - V
0
)
(q/nkT) (I
0
- I
s
)*V - (q/nkT) (I
0
- I
s
)*V
0
I
0

G * V F
Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2010/2011
36

1.3.ii. Simulation dans le domaine frquentiel (ou harmonique) :

Le simulateur procde dabord la linarisation (dveloppement
limit lordre 1) de tous les composants du circuit lectrique autour du
point de fonctionnement. Puis, la simulation proprement dite est effectue partir de ce
schma quivalent petit signal obtenu. Il fait alors varier la frquence des sources
sinusodales
16
. A laide de linversion de la matrice complexe des admittances, le
simulateur calcule alors la rponse en frquence (mthode nodale). Les sources autres
qualternatives sont alors ignores dans ce schma lectrique quivalent. Il est noter que
ce type danalyse ne permet pas la dtection de la saturation et de non-linarit(s) de
certains composants. Il est donc ncessaire de vrifier, au pralable, que le circuit se trouve
dans une zone de linarit du montage par une analyse temporelle. Dans le cas contraire,
cela peut conduire des erreurs et donc une mauvaise interprtation des rsultats observs.

o .AC : Analyse frquentielle

Par ce type danalyse, il est possible dobtenir un certain nombre de
paramtres de performance du circuit des plus pertinents (voir Remarques).

Syntaxe : .AC <Loi_Var> <Nb_Points> <Frq_Deb> <Frq_Fin>

o Loi_Var = Loi de variation (LIN, DEC ou OCT) ;
Nb_Points = Nombre de points par unit de variation ;
Frq_Deb = Frquence de dbut danalyse ;
Frq_Fin = Frquence de fin danalyse.

Exemple : .AC DEC 20 1MEG 100MEG On fait varier la frquence de
simulation de 1MHz et 100MHz avec 20 points par dcade.
.AC LIN 21 1K 100K Analyse en courant alternatif de 21
points de frquence, distribus linairement entre 1kHz et
100kHz.

Remarques : Grce au module PROBE.EXE, on peut ainsi obtenir sous
DOS un trac diagramme de Bode (gain et phase en fonction
de la frquence : VDB(VOUT) VDB(VIN) et VP(VOUT)
versus Frequency), de Nichols (gain en fonction de la phase :
VDB(VOUT) VDB(VIN) versus VP(VOUT)) ou mme
Nyquist (pour la vrification de la stabilit dun systme).
Mais cette simulation permet aussi le calcul des impdances
dentre et de sortie dun montage (V(VIN)/I(VIN)) et
V(VOUT)/I(OUT) versus FREQUENCY).

Algorithme de simulation : Applicable quaux circuits linaires quivalents,
lalgorithme employ est similaire au cas DC.
Cependant, par rapport au cas continu les admittances,
tensions et courants sont ici complexes (ou amplitude

16
Ce paramtre AC ne doit pas tre confondu avec les sources VSIN et ISIN qui sont des sources sinusodales
employes lors de simulations dans le domaine temporel.
Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2010/2011
37
et phase) et chaque terme est exprim une
frquence donne.

1.3.iii. Simulation dans le domaine temporelle :

Autrement nomme analyse transitoire, ce type de simulation
correspond ce que nous pourrions observer partir dun
oscilloscope, lexamen du signal dans lespace des temps.

o .TRAN : Analyse transitoire (TRANSCIENT sous Windows)

Syntaxe : .TRAN <Pas_Max > <Dure_Ana>
.TRAN <Pas_Max > <Dure_Ana> <Delai>
.TRAN <Pas_Max > <Dure_Ana> <Delai> <Pas_Ana>

o Pas_Max = Pas de gnration des rsultats ;
Dure_Analyse = Dimension de la fentre de simulation ;
Delai = Retard avant le dbut de lenregistrement des donnes de lanalyse ;
Pas_Ana = Pas danalyse.

Exemple : .TRAN 1nS 100nS 10nS Dfinition dune fentre de simulation
de [0 ; 100nS] avec un pas maximal de 1nS avec un retard de 10nS
de dbut de stockage des rsultats de simulation.
.TRAN 1M 100M Analyse effectue partir de t = 0s (valeur par
dfaut) jusqu 100ms avec un pas dchantillonnage de 1ms.

Remarques : Ce type de simulation doit tenir compte de la frquence des signaux
(minimum et maximum) travers le pas de simulation. Par dfaut, le
pas quivaut un cinquantime de la dure de la simulation. Puis, il
sera automatiquement rduit en cas de ncessit pour des raisons de
convergence (paramtre RELTOL). Si ce pas est trop grand, les
erreurs de convergence ne sont pas toujours dtectes. Il conviendra
donc de toujours spcifier un pas danalyse infrieur la dure des
vnements analyser. Typiquement, on prendra un pas de 1/100
1/1000 de la dure de simulation. Ce type de problme se rencontre
souvent dans les systmes oscillants, rsonnants ou, plus
gnralement, dans le cas de fortes variations des signaux.
Le retard de dbut danalyse permet dviter de gnrer des rsultats
avant ltablissement du rgime tabli (ce temps peut aussi tre rduit
par lemploi des commandes .IC ou .NODESET).

Algorithme de simulation : Pour des circuits linaires, lanalyse transitoire
possde un algorithme de calcul se rsumant :


Calcul du point de fonctionnement t


Pour chaque valeur de t :
Etablissement dun modle quivalent chaque composant du circuit
Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2010/2011
38
Calcul des matrices [Y], [V] et [I] (ou G, F)
- Rsolution du systme (similaire aux cas prcdents)
- Mmorisation des rsultats obtenus


Oui Fin Non, t = t + t


Remarque : Les sources contrles dfinies dans le domaine des frquences voient
volue leur rponse une impulsion.


Figure 1.24 : Exemple dun circuit linaire, la capacit
Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2010/2011
39

Figure 1.25 : Cas des circuits non - linaires :

1.3.iv. Simulations complmentaires :

- Les Conditions Initiales :
Cette simulation permet dimposer un potentiel un nud en dbut de simulation par
lintermdiaire de certains composants tels que les capacits, les inductances et les lignes de
transmission. On lve ainsi toute ambigut sur ltat de ce nud, mais elle permet aussi de dfinir
le point de fonctionnement dun systme dans des temps de calcul plus rduits lors de simulations
multiples et de rgler dventuels problmes de convergence.
Ces dfinitions ne sont permises quen tension dune faon relative entre deux nuds ou
dune faon absolue entre le nud et la masse. La commande .IC est la plus employe mais la
commande .NODESET est tout aussi possible (la diffrence tant que .NODESET ne force pas de
niveaux. Elle ne sert qu indiquer une valeur initiale pralable la recherche du point de
fonctionnement).

Syntaxe : .IC V<Nud(s)> = <Valeur>

Exemple : .IC V(1, 2) = 10V Tension de 10V impose dans la branche situe
entre les nuds 1 et 2 t = 0s.
.IC V(3) = 3.3V Tension de 3.3V impose au nud 3 linstant
initial.

Principe : PSPICE dfinit une source de tension mise en srie avec une rsistance de 2m pour
chaque nud caractris par des conditions initiales. Cette opration nest effectue que
lors de la recherche du point de fonctionnement. Le logiciel libre ensuite les nuds
afin quils puissent prendre toutes les valeurs ncessaires lvolution correcte des
signaux simuls.

Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2010/2011
40
- Simulations multiples :
Par simulations multiples, on entend effectuer plusieurs fois la mme simulation en faisant
varier la valeur dun paramtre, dune variable (temprature, tension dun nud, ou paramtre du
modle, ).

Syntaxe : .STEP <LoiVar> <Var> <ValDebut> <ValFin> <Pas>
.STEP <LoiVar> <Var> <ValDebut> <ValFin> <Nb_Pt>
.STEP <Var> LIST <Valeur>

o: LoiVar = Loi de variation ;
Var = Variable ;
ValDebut = Valeur de dbut de la variable ;
ValFin = Valeur de fin de la variable ;
Pas = Pas de simulation ;
Nb_Pt = Nombre de point de simulation ;
Val = Valeurs prises successivement par la variable.

Lutilisation des variables seffectue par lemploi de la commande .PARAM. Elles sont
alors utilises entre accolades ({Nom_Var}). Par dfaut, la temprature TEMP et le temps TIME
sont deux variables internes au systme.

Syntaxe : .PARAM <Var> = <Valeur>
.STEP PARAM <Var> <Loi_Var>

o Var = Variable dont on fait varier la valeur ;
Valeur = Valeur initiale de la variable ;
Loi_Var = Loi de variation de la variable.

Exemple : RL 1 2 {RLOAD}

.PARAM RLOAD 1.5K
.STEP PARAM RLOAD LIST 10 50 100 400 1K Cinq
simulations sont effectues sur la variable RLOAD, dfinissant la
rsistance RL, situe entre les nuds 1 et 2.

- Fonction de transfert :
Par la commande .TF, le calcul de la fonction de transfert en petits signaux est effectu en
complment du calcul du point de polarisation du circuit (linarisation autour du point de
fonctionnement). Celui-ci est effectu dans le domaine statique et ne tient pas compte dun
ventuel comportement en frquence. Le gain en petits signaux de la fonction de transfert est alors
obtenu en effectuant la drive du signal de sortie par rapport lentre. Comme durant lanalyse
frquentielle, les impdances dentre et de sortie peuvent aussi tre calcules lors de cette analyse.

Syntaxe : .TF <Variable_Sortie> <Gnrateur>

o: Variable_Sortie = Signal de sortie ;
Gnrateur = Signal dentre.

Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2010/2011
41
Exemple : .TF V(6) VIN Calcul de la fonction de transfert par linarisation
autour du point de fonctionnement, lentre tant le signal VIN et le
signal de sortie tant la tension au nud 6.

- Analyse de la distorsion :
On peut vouloir qualifier la linarit de la caractristique statique d'un circuit. Si cette
caractristique est linaire, le systme rpond une sinusode par une sinusode, sinon il introduit
une distorsion et le signal de sortie n'est plus sinusodal, mais a acquis des harmoniques. Sous
SPICE, la distorsion harmonique est calcule en conjonction avec lanalyse AC. Il calcule les
distorsions (rsultats des inter-modulations et harmoniques) dues un signal d'entre de petite
amplitude. Si les signaux d'entre du circuit sont la mme frquence, les valeurs complexes des
deuxime et troisime harmoniques sont dtermines tous les points du circuit. Si les signaux
d'entre sont de deux frquences diffrentes f
1
et f
2
(avec f
1
> f
2
), l'analyse retourne les valeurs
complexes des variables du circuit aux frquences f
1
+ f
2
, f
1
- f
2
et seconde harmonique de f
1
- f
2
.
Une analyse DISTO peut tre pratique si le circuit contient des composants linaires et d'autres
non-linaires de la liste suivante: diodes, BJT, JFET, MOSFET (niveaux 1, 2, 3, 4/BSIM1,
5/BSIM2 et 6) et MESFET.

Syntaxe : .DISTO (DEC | OCT | LIN) Pts Fstart Fstop <F2overF1>

o: (DEC | LIN | OCT), Pts, Fstart et Fstop = paramtres de l'analyse AC
pendant laquelle est calcule la distorsion.
Si le paramtre optionnel F2overF1 n'est pas spcifi, SPICE fait une analyse
harmonique, c'est dire quil analyse la distorsion dans le circuit en utilisant
uniquement la frquence f
1
dfinie comme pour lanalyse AC. Seront alors
enregistres toutes les tensions de tous les nuds du circuit ; tous les courants de
toutes les branches aux frquences 2f
1
, 3f
1
, tandis que f
1
varie (une valeur de 1
signifie alors cos(22f
1
t) pour la frquence 2f
1
et cos(23f
1
t) pour la frquence 3f
1
).
Si par contre F2overF1 est spcifi, il devra tre un rel compris entre 0 et 1 (la
valeur 0 lui est interdite). Dans ce cas, SPICE fait une analyse spectrale. Il considre
le circuit avec des entres sinusodales deux frquences, f
1
et f
2
. f
1
est dfinie dans
la commande .DISTO comme pour une analyse AC, et f
2
est fixe et gale
F2overF1*Fstart. Chaque source indpendante du circuit peut avoir deux entres
sinusodales superposes pour la distorsion aux frquences f
1
et f
2
. L'amplitude et la
phase du signal de frquence f
1
sont donnes par les paramtres du mot-cl
DISTOF1 de la dclaration de la source ; l'amplitude et la phase du signal de
frquence f
2
sont dtermines par les arguments de DISTOF2. SPICE va calculer
toutes les tensions tous les nuds et les courants dans toutes les branches du
circuit aux frquences f
1
+ f
2
, f
1
- f
2
et 2f
1
- f
2
tandis que f
1
varie. Toutefois, si les
mots-cls DISTOF1 et DISTOF2, manquent dans la description d'une source
indpendante, alors la source sera suppose ne pas avoir de signal cette frquence.
Les valeurs par dfaut de l'amplitude et de la phase sont respectivement 1 et 0 (la
phase est exprime en degrs).

Exemple : .DISTO DEC 10 1K 100M 0.9 SPICE va calculer toutes les
tensions et tous les courants du circuit pour 10 valeurs de f
1
entre
1KHz et 100MHz et f
2
gal 0.9KHz aux frquences f
1
- f
2
; f
1
+ f
2
et 2
f
1
- f
2
.
Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2010/2011
42

- Analyse de Sensibilit :
Ce type danalyse permet de quantifier le degr dinfluence de chaque composant sur un
signal de sortie donn, autour du point de fonctionnement du circuit. Effectue suite la recherche
du point de polarisation, on drive le signal de sortie en fonction des variations de la valeur des
composants du circuit (capacits, sources de tensions ou de courant indpendantes, certains
modles de diodes et transistors).
On notera que ce type de simulation seffectue aussi dans le domaine statique et ne tient
donc pas compte du comportement frquentiel du montage.

Syntaxe : .SENS <Variables_Sortie>

Exemple : .SENS V(6) Calcul des sensibilits de la tension au nud 6.

En sortie, le fichier texte de rsultat nous donne un tableau contenant le nom de llment,
la valeur de celui-ci, la variation de la grandeur de sortie en fonction dune variation unitaire de
llment et la variation normalise la valeur de llment de la grandeur de sortie exprime en
pourcentage.

- Analyse de Bruit :
Lanalyse en bruit seffectue dans le domaine des frquences. Elle suit donc une simulation
AC. Les rsistances, les composants actifs et certains amplificateurs sont alors associs des
gnrateurs de signaux parasites.

Syntaxe : .NOISE < Noeud> <Source_Bruit> [Intervalle]

o: Nud = Nud o on calcule la tension de bruit ;
Source_Bruit = Source quivalente de bruit considre en entre ;
Intervalle = Intervalle de frquence de simulation.

Exemples : .NOISE V(12) VIN Analyse de la tension de bruit au nud 12 en
considrant VIN comme la source quivalente de bruit en entre (en
tension).
.NOISE V(2) I(R1) Analyse de la tension de bruit au nud 2 en
considrant I(R1) comme la source quivalente de bruit en entre).

- Dcomposition Harmonique de Fourier :
Tout signal priodique peut tre dcompos en une srie de sinusodes. Cette srie de
Fourier permet de faire apparatre la frquence fondamentale et sa suite dharmoniques, ainsi que
les amplitudes respectives de chaque composante. Elle ncessite cependant, au pralable, une
analyse transitoire.

Syntaxe : .FOUR <Frq_Fond> <Liste_Noeud>
.FOUR <Frq_Fond> <Nb_Harmo> <Liste_Noeud>

o : Frq_Fond = Frquence fondamentale du signal ;
Nb_Harmo = Nombre dharmoniques analyses (9 par dfaut) ;
Liste_Noeud Variables danalyse.

Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2010/2011
43
Exemple : .FOUR 10KHz V(5) I(R1) Analyse de Fourier par rapport aux
variables V(5) et I(R1), pour une fondamentale de 10KHz (ce qui
suppose une analyse temporelle pralable de 1/10KHz, au minimum).

1.3.v. Analyses avances :

Ce cours ntant quune initiation PSPICE, nous ne rentrerons pas dans les dtails de ces
diffrentes analyses. Afin davoir plus dinformation sur ces diffrentes analyses, on pourra se
rfrer au chapitre 4 (notamment, les paragraphes 4.8 et 4.9).

- Simulation Min/Max ou Worst-Case :
La simulation pire cas (commande .WCASE) se propose de fournir la diffrence la plus
grande par rapport au rsultat obtenu en utilisant les valeurs nominales des composants (DEV).
Faisant appel la notion de dispersion sur la valeur et les paramtres dun composant, elle rvle
linfluence de ceux ci sur le rsultat gnr par le circuit. Le rsultat est obtenu aprs une analyse
de sensibilit.

- Analyse Monte-Carlo :
Cette analyse permet de tenir compte des statistiques de dispersion sur les composants
(leurs paramtres) et fournit la dispersion engendre sur les rsultats. On obtient alors un gabarit de
fonctionnement moyen qui peut tre compar un cahier des charges.

- Modlisation comportementales :
Ce type de modlisation consiste modliser un circuit par sa fonction de transfert (soit un
gnrateur de courant ou de tension obissant une loi de variation dcrite suivant un polynme,
une expression mathmatique, un tableau de valeurs, une dfinition dans le domaine des
frquences,). Lemploi des sources contrles peut alors savrer des plus utiles (voir avant, les
sources de modlisation comportementales au chapitre 2.4.ii).

- Simulations numriques :
Sous Microsim, grce au module Plogic, PSPICE permet aussi la simulation de circuit
mixte (numrique/analogique). Ds lors, les comportements fonctionnel et temporel de systmes
mixtes sont alors analysables. Il est aussi possible de gnrer ses propres stimuli.

1.3.vi. La directive de simulation .OPTION :

- Les paramtres de contrle d'impression :
o ACCT: Impression des statistiques de simulation,
o LIST: Impression du fichier de description d'entre,
o NODE: Impression de la table des nuds du circuit,
o NOMOD: Suppression de l'impression des paramtres des modles,
o NOPAGE: Suppression des sauts de page.

- Les paramtres de contrle des modles :
Toutes ces options peuvent tre annules pour un composant particulier si la spcification
considre est explicitement formule dans les paramtres d'appel du composant. Les principaux
sont :
o GMIN : Conductance minimum implicite. Par dfaut, elle est fixe 10
-12
.
Cette conductance est place en parallle sur toutes les jonctions PN des
composants actifs du circuit.
Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2010/2011
44
o TEMP : Temprature implicite de simulation du circuit. Par dfaut, elle est
fixe 27C.
o TNOM : Temprature implicite de caractrisation des modles. Par dfaut, elle
est fixe 27C.
o BADMOS3 : Utilisation de la premire version pour un transistor de niveau 3.
o DEFAD : Valeur implicite de l'aire de drain pour le calcul des capacits de
jonction des transistors MOS. Par dfaut, elle est fixe 0.
o DEFAS : Valeur implicite de l'aire de source pour le calcul des capacits de
jonction des transistors MOS. Par dfaut, elle est fixe 0.
o DEFL : Valeur implicite de la longueur des transistors MOS. Par dfaut, elle est
fixe 100m.
o DEFL : Valeur implicite de la largeur des transistors MOS. Par dfaut, elle est
fixe 100m.

- Les paramtres de contrle de la mthode de Newton-Raphson :
o VNTOL : Tolrance absolue pour le critre de la convergence de la diffrence.
Par dfaut, elle est fixe 1V.
o ABSTOL : Tolrance absolue pour le critre de la convergence rsiduel. Par
dfaut, elle est fixe 1pA.
o RELTOL : Tolrance relative, par dfaut elle est fixe 0.001.

- Les paramtres de contrle spcifiques .DC :
o ITL1 : Nombre d'itrations maximum pour une analyse statique sur un point.
Par dfaut, il est fix 100.
o ITL2 : Nombre d'itrations maximum pour une analyse statique sur un
multipoint (caractristiques de transfert). Par dfaut, il est fix 50.

- Les paramtres de contrle spcifiques .TRAN :
o METHOD : mthode d'intgration "gear" ou "trapzodal". Par dfaut, elle est
fixe "trapzodal".
o CHGTOL : Tolrance absolue pour la variable considre (charge pour un
condensateur ou flux pour une inductance) lors du contrle du pas d'intgration
par l'erreur de troncature locale (LTE). Par dfaut, elle est fixe 10
-14
.
o TRTOL : Facteur de pondration de l'erreur de troncature locale. Par dfaut, il
est fix 7.
o ITL4 : Nombre d'itrations maximum sur un pas d'intgration. Par dfaut, il est
fix 10.

- Les paramtres de contrle de la mthode de d'limination de Gauss :
o PIVTOL : Valeur minimum pour qu'un coefficient puisse tre considr comme
pivot. Par dfaut, il est fix 10
-13
.
o PIVREL : rapport entre une valeur acceptable de pivot et le plus grand
coefficient de la colonne correspondante, par dfaut il est fix 10
-3
.
Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2010/2011
45
1.4 Le module graphique PROBE :

Permettant la visualisation graphique des rsultats de simulation spcifiques PSPICE, ce
module extrait ces informations du fichier binaire *.DAT. Cette extraction seffectue sous la
version DOS par lemploi de la commande .PROBE mise dans le fichier dentre.
La slection des signaux est faite aux moyens de la commande Trace >> Add Trace et en
slectionnant le signal voulu dans la liste propose. Il est noter que les signaux calculs et
mmoriss sont accessibles par la touche F4 dans le menu Add Trace.
Plusieurs courbes peuvent alors tre affiches sur un mme dessin par la commande Plot
>> Add Y Axis. Des curseurs permettent le relev ais des coordonnes de certains points ou la
diffrence entre deux points (dcrit plus loin).
Outre les quatre oprateurs +, -, = et /, un certain nombre doprations arithmtiques sont
aussi possibles, en voici une liste exhaustive dans le tableau ci-dessous.


Fonction

Description

ABS(x), M(x)
SGN(x)
SQRT(x), EXP(x)
LOG(x), LOG10(x)
P(x), DB(x)
R(x), IM(x)
G(x)
PWR(x, y)
SIN(x), COS(x), TAN(x)
ATAN(x), ARCTAN(x, y)
D(x), S(x)
AVG(x), AVG(x,d)
RMS(x)
MIN(x), MAX(x)


Valeur absolue et magnitude de x
Signe de x
Racine carre et exponentiel de x
Logarithme nprien et dcimal de x
Phase et valeur en dcibels de x (20log
10
(x))
Partie relle et partie imaginaire de x
Dlai de groupe de x
x puissance y
Sinus, cosinus et tangente de x
Arc tangente de x et arc tangente de y/x
Drive et intgrale de x par rapport labscisse
Valeur moyenne de x, valeur moyenne de x sur lintervalle (x-d,x)
Racine carre de la valeur quadratique moyenne de x
Minimum et maximum de x


Exemple : P(V(4)) Phase du potentiel au nud 4 ;
DB(V(R(5))) Amplitude en dB du courant circulant dans
la rsistance R.

Un certain nombre de fonctions sont aussi prdfinies sous forme de macros (dtermination
de la bande passante, du temps de monte,). Nous reviendrons sur cela plus loin.
Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2010/2011
46
2 Simulation sous Orcad :

La version Windows employe en TP, MicroSim DesignLab Eval 8 ou Orcad 9.1 version
Student , est un logiciel de simulation lectronique mixte des plus complet qui permet dvaluer de
manire trs prcise les performances dun circuit lectronique, grce au module PSPICE auquel a
t adjoint de nombreuses fonctionnalits permettant, en autre, loptimisation de paramtres, la
cration de modles, la cration de circuits imprimes, le routage,
Cependant, dans la version dvaluation employe en TP, certaines limitations sont noter.
Pour MicroSim DesignLab Eval 8, ces spcifications sont :

- Une page de schma de format A4 ;
- 50 symboles par schma ;
- 10 bibliothques de symboles ;
- 20 symboles dans votre bibliothque personnelle ;
- 70 nuds pour la simulation ;
- 10 transistors ou 65 portes logiques ;
- 10 lignes de transmission idales mais pas plus de 4 lignes perte et 4 lignes
couples ;
- Pour la cration de modle, cette version de dmonstration nous limite la
caractrisation des diodes
- Une bibliothque dvaluation (eval) comportant plus de 20 composants
analogiques (lire avec un diteur de texte le fichier eval.lib dans le sous-rpertoire
lib pour avoir plus de renseignements) ;
- Stimuli : Signal sinusodal et signal dhorloge ;
- Loptimisation nest possible que sur un seul paramtre la fois.

Remarque : Il est possible de tlcharger une version d'valuation PSPICE STUDENTS sur
diffrents sites internet dont :
http://www.engr.uky.edu/~cathey/pspice061301.html.
Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2010/2011
47
2.1 - Principe de fonctionnement de PSPICE sous Windows :

La version Windows employe est un logiciel complet dont on peut observer la plate-forme
travers la Figure 2.1 ci-dessous.

PCBOARDS.EXE MSGVIEW.EXE
PDESIGN.EXE
OPTIMIZE.EXE
PARTS.EXE
PSCHED.EXE PSPICE.EXE
STMED.EXE
SPECCTRA.EXE
Edition et saisie de schmas
fichier.sch
Simulation Spice
fichier.cir
Modlisation composants
fichier.dat
Cration de stimuli
fichier.stl
fichier.stl
Ralisation
circuits imprims
fichier.pca
Routage automatique
Optimisation paramtres
fichier.opt
fichier.out
Affichage des erreurs
Programme de base
PROBE.EXE TEXTEDIT.EXE
Visualisation graphique
des rsultats de simulation
Visualisation format texte
des rsultats de simulation
fichier.opt
Librairies (*.lib et *.slb)
Librairies fournies
Librairies cres
Librairies importes

Figure 2.1 : Les diffrents modules constituant PSPICE

Remarque : Depuis le rachat dOrcad par Cadence, un autre module nomm Capture est aussi
prsent dans cet environnement. Comment Schematics (avec PSCHED.EXE), il
permet de raliser la saisie des schmas. Nous ne nous attarderons pas sur ce
module.
Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2010/2011
48
2.2 Ouverture dun projet sous Design Manager :

A louverture dun nouveau projet, un rpertoire au nom du projet est cr dans lequel
seront rangs tous les fichiers lis ce projet. La gestion des applications sous forme de projets
permet une organisation optimale du travail. Toutes les manipulations de fichiers (copie,
dplacement, suppression etc.) sont accessibles dans le menu principal de Design Manager.
Aprs avoir cliqu sur licne , on obtient la fentre de la Figure 2.2. Pour louverture
dun nouveau projet, cliquer sur le premier icne de gauche . Pour ouvrir un projet existant ou
le chercher, slectionner licne .



Figure 2.2 : La fentre Design Manager sous Microsim

Pour chaque schma du projet, un rpertoire sera galement cr, dans lequel seront sauvegards
les fichiers ci-dessous :
- Le fichier schma (.sch) ;
- Les fichiers de sortie de la simulation (.DAT) et les fichiers de sortie aprs
visualisation sous Probe dans Simulation Output ;
- La liste des librairies utilises dans System Dependencies ;
- La liste des fichiers crs pendant la simulation rendant ltude exploitable dans
System Files :
La liste des alias (nom donn chaque quipotentielle) : .als ;
Le fichier circuit pour PSpice : .cir ;
Le fichier de configuration : .MLV ;
Le fichier netlist de la saisie de schma : .net ;
Saisie de schma : Schematics
Simulation : PSpice AD
Routage : PCBOARD
Spice OPTIMIZER
Editeur de PARTS
Editeur graphique : PROBE
Editeur de STIMULI
Editeur de texte
Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2010/2011
49
Le fichier dimpression effectu : .prb.
- Le fichier de configuration de Design Manager : MicroSim Miscellaneous
Files ;
- Les autres fichiers lis au projet (ex. : clipboard, import, etc.) dans User Files.
Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2010/2011
50
2.3 Prsentation du menu principal de Schematics :

Afin de raliser le schmatic dun circuit que nous voulons simuler, lancer le logiciel
partir de Dmarrer >> DesignLab Eval 8 >> Schematics ou par Dmarrer >> DesignLab
Eval 8 >> Design Manager et en cliquant ensuite suite licne Schematic se trouvant dans la
partie gauche de la fentre de la Figure 2.2.
Comme sur la plupart des logiciels sous Windows, les fentres de PSPICE se prsentent
avec une barre suprieure et des menus droulants. Un ensemble dicnes (paramtrables) sont des
raccourcis pour les commandes les plus courantes. Un nouveau document est ouvert par dfaut, il
faut tout dabord lui donner un nom, pour cela faire : File >> Save as en donnant un nom choisi.
Voici, dans la Figure 2.3, la barre d'outils de Schematics et les fonctions principales
associes aux diffrents icnes :


Figure 2.3 : Barre doutils du module Schmatics

Dans le tableau ci-dessous, vous trouverez une brve description des diffrents boutons qui
constituent cette barre doutils.
Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2010/2011
51

Crer un nouveau schma (cration de
rpertoires et fichiers).

Editeur de symboles.

Ouvrir un schma existant (la recherche est
guide).
SIMULATION
Enregistrer le fichier ouvert courant.

Dfinitions des directives et types d'analyses.

Enregistrer (un lment slectionn ou une
slection d'lments).

Lancement de la simulation.
Imprimer le fichier (ou la slection).

Couleurs des marqueurs (signaux visualiss
sous Probe).

Copier (un lment slectionner ou une slection
d'lments).

Placement d'un marqueur tension / courant.

Rafrachir l'cran.

Validation de l'affichage des tensions du
point de dpart ou de repos sur le schma /
suppression de l'affichage.
Couper, supprimer (un lment slectionn ou
une slection d'lments).
Annuler l'action prcdente.

Validation de l'affichage de courants du
point de dpart ou de repos sur le schma /
suppression de l'affichage.

Rpter l'action prcdente.
Zoom moins (diminution en taille des lments
de la fentre courante).

Zoom plus (agrandissement en taille des
lments de la fentre courante).

Zoom de la fentre slectionne (apparition plein
cran de la fentre).
ANNOTATIONS

Affichage plein cran du schma complet.

Trac de courbe.
DESSIN
Trac de rectangle.
Trac des fils /des bus.
Trac de cercle.

Edition des blocs de sous schmas (la forme
courante apparaissant est fiable).

Trac de segment de droite.

Recherche et capture d'lments ou symboles
issus des bibliothques.

Insertion d'images.

Editeur d'attributs.

Insertion d'une fentre de texte et choix de la
police du texte.

Pour raliser une simulation, la mthodologie sous Schematics consiste :

- Saisir le schma (le dessiner) ;
- Editer les composants du schma ;
- Dfinir les paramtres de la simulation ;
- Lancer la simulation, puis exploiter les tracs obtenus.

Dans ce qui suit, nous allons dcrire chacune de ces tapes.

Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2010/2011
52
2.4 Saisie du schma simuler :

Lappel des composants se fait gnralement par Draw >> Get New Part (ou en cliquant
sur licne adquat ). Cela affiche une fentre permettant la slection (il existe bien dautre
manire mais celle-ci est la plus commode utiliser). Dans cette fentre, pour rechercher un
composant, on peut :

Figure 2.4 : La fentre dappel des composants

1/ Taper le nom du composant si on le connat avec ventuellement les caractres * ou/et ?. Ainsi,
si on tape : Part Name = 7*0?, tous les composants dont le nom commence par 7 et qui possdent
un 0 en avant-dernire position seront affichs ;
2/ Effectuer une recherche descriptive (en anglais bien sr ) : Advanced >> Description
Search = *invert*, par exemple, listera tous les composants qui possdent la chane invert
(inverseur) dans leur description.

Attention : Pour avoir de nouveau accs lensemble des composants des librairies, remettre
ensuite Description Search = * et cliquer sur Search.

3/ Si le logiciel a dj t utilise, les dix derniers composants sont prsents dans le menu
droulant se trouvant entre licne de la recherche et capture d'lments et
licne dditeur dattribut. Un simple clic sur llment voulu le slectionne et le place sur le
schma.
4/ Se promener dans les librairies : Si on clique sur Libraries, la liste et le contenu des
bibliothques apparaissent. On y trouve :
- ABM.SLB : Blocs fonctionnels (additionneurs, filtres, intgrateur, ) ;
- ANALOG.SLB : Rsistances, condensateurs, inductances, lignes, sources contrles ;
- BREAKOUT.SLB : Elments de base pour la gnration de modles particuliers ;
- CONNECT.SLB : Connecteurs ;
- EVAL.SLB : Bibliothque de composants analogiques et dlments digitaux ;
Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2010/2011
53
- PORT.SLB : Etiquettes dinterconnexions, tats logiques 0 et 1 pour la simulation logique
et mixte ;
- SOURCE.SLB et SOURCSTM.SLB : Sources de tension et de courant analogiques,
gnrateurs numriques ;
- SPECIAL.SLB : Elments de contrle de la simulation ;

Remarque : Pour les novices, le choix des composants nest pas toujours trivial, aussi, les
quelques lignes de description (se trouvant dans la Fig. 28) peuvent tre dune
grande utilit.

2-4.i. Les composants lectroniques de bases :

Dans la figure 2.5 ci-dessous, vous trouverez la liste des principaux symboles de
composants analogiques et, dans la figure 2.6, le symbole des sources commandes.


Figure 2.6 : Symbole des principaux composants lectroniques
Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2010/2011
54





























Figure 2.7 : Symbole des 4 types de sources commandes disponibles.

Concernant les composants numriques, la version de dmonstration propose en TP
possde un assez large chantillon de composants, essentiellement de la famille TTL et mme un
exemple de composant logique programmable (PLD et PAL). Lappel des fonctions des divers
composants se fait par leur nom (par exemple, 74xx) et ils sont affichs selon la norme amricaine.
Toutes les fonctions de base sont implantes : NOT, OR, NOR, AND, NAND, JK, ,
convertisseur A/N, N/A, ROM et RAM, PLD (Simulation partir de fichier JEDEC)
Ces composants digitaux sont simuls partir de modles extrmement fidles. En effet,
non seulement les temps de propagation sont pris en compte lors de la simulation, mais galement
les caractristiques dentres/sorties (Capacit dentre, diodes de clamping, diode Zener,).
PSPICE tant essentiellement utilis pour la simulation analogique, nous ne nous tendrons
donc pas plus sur le sujet.
Source de Tension Contrle par
une Tension
Source de Courant Contrle par
un Courant
Source de Courant Contrle par
une Tension
Source de Tension Contrle par
un Courant
Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio - 2007
55
2-4.ii. Les sources :

Comme il a t prcis dans le chapitre prcdent, le choix des sources est trs
important, car il dfinit indirectement le type d'analyse qui va tre effectue. Voici ci-dessous le
symbole des principales sources de tension employes en fonction du domaine danalyse
dsire. Pour toutes informations complmentaires sur ces diffrentes sources, nhsitez pas
retourner au niveau de la page 13.

1/ Analyse en continu : VDC 2/ Analyse en frquence : VAC









3/ Analyse temporelle : VSIN, VPWL, VEXP, VPULSE.
























Remarques : - Dans la fentre de la figure 34, les attributs prcds de * ne sont pas
modifiables sous Schematics ;
- TEMPLATE dfnit le nom et lordre des broches lors de la cration de la
netlist; PARTS est le nom dappel du composant dfini sa cration ; PKGREF
correspond la rference du boitier ; avec SIMULATIONONLY, il est possible
de spcifier que cette source ne soit pas utilise pour la simulation et nexiste pas
dans la netlist du routage par exemple ; AC et DC fixe la valeur de la source lors
des analyses en continu et en alternatif.
Source de forme sinusodale
VOFF : tension de dcalage
VAMPL : amplitude
FREQ : frquence
TD : temps de retard
DF : facteur damortissement
PHASE : dcalage initial
Figure 2.8 Figure 2.9
Figure 2.10.a
Figure 2.10.b
Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio - 2007
56





















































Source de forme
rectangulaire
V1 : valeur du niveau bas
V2 : valeur du niveau haut
TD : temps de retard
TR : temps de monte
TF : temps de descente
PW : temps ltat haut
PER : priode
Source de forme exponentielle
V1 : valeur initiale
V2 : valeur maximum
TD1 : temps de dbut du front montant
TC1 : constante de temps du front montant
TD2 : temps de dbut du front descendant
TC2 : constante de temps du front descendant

Figure 2.12.a
Source de forme dfinie par
segments
Les segments relient (T1,V1) (T2,
V2), puis (T2, V2) (T3, V3), (T3,
V3) (T4, V4),
Figure 2.11.a
Figure 2.11.b
Figure 2.13.a
Figure 2.12.b
Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio - 2007
57












Pour les simulations numriques, on peut dfinir comme source logique un stimulus de
1 bit STM1 . Il est galement possible de dfinir des stimuli de 4, 8 ou 16 bits pour
des simulations particulires. Ce signal binaire se dfinit en termes de temps et niveau logique.
Donc, dans la fentre ddition prciser pour chaque ligne note COMMAND : le temps puis le
niveau logique en laissant un espace entre les deux. Complter ventuellement la ligne
PKGREF pour modifier sa dsignation. Ne complter la ligne TIMESTEP quavec prcaution.
Eviter de modifier les autres lignes. De cette manire, il est possible dditer nimporte quelle
allure de signal binaire non priodique et mme un signal dhorloge (priodique).
On pourra aussi configurer des stimuli particuliers partir des sources nomms
DIGCLOCK, DIGSTIM et VSTIM. Aprs avoir place lune de ces sources, en double cliquant
sur le symbole, il est alors possible douvrir lditeur graphique de stimuli et dditer un
stimulus en compltant les deux fentres qui apparaitront successivement (cela ne doit poser
aucun problme). Pensez ensuite sauvegarder le stimulus que vous venez de gnrer et
continuez la saisie de votre schma.



Figure 2.14

Remarques : - Nous navons ici prsent que les sources de tension. Dans le cas des sources
de courant (en remplaant V par I), les champs complter sont identiques ;
- Hormis pour VAC et VDC, ces sources sont principalement utilises en
analyse transitoire. Cependant, si on effectue avec celles-ci une analyse
frquentielle par exemple, les sources deviennent sinusodales et utilisent les
paramtres AC et DC. Ces champs doivent alors imprativement tre complts
au pralable ;
Figure 2.13.b
Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio - 2007
58
- Veillez ce que les options Include (en bas de la fentre de la figure 41
par exemple) soient slectionns (E). Dans le cas contraire, la liste des
paramtres apparaissant est des plus limite.

Une fois le composant dsir slectionn, validez Place & Close ou appuyez sur la
touche Entre. Il suffit ensuite de le placer o on dsire laide de la souris : le bouton gauche
permet de placer autant de composants de ce type que lon veut, le bouton droit permet de sortir
de la fonction de placement. Pour tourner le composant, utilisez Edit >> Rotate ou le
raccourci (CTRL+R). Pour faire un effet miroir, utilisez Edit >> Flip (ou CTRL+F). Il est
noter que les fonctions ci-dessus sont galement valables pour du texte et les fils. Ces
oprations peuvent galement se faire sur un groupe de composants en dfinissant une fentre
avec le bouton gauche de la souris.
Puis, on tracera les liaisons lectriques entre composants : pour cela, utiliser le menu
Draw, puis Wire ou plus simplement licne correspondant . Les jonctions se dessinent
alors automatiquement.

Tout schma lectronique doit absolument comporter un potentiel de rfrence 0V (GND
ou ground), qui sera matrialis par le symbole GND ANALOG ou GND EARTH
(bibliothque PORT.SLB).

Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio - 2007
59
2.5 Edition des composants :

A chaque lment est associ des attributs. Il faut ensuite donc diter les composants.
Comme sous la version DOS, en fonction du type de composant, un ou plusieurs paramtres
sont modifier. Par exemple, pour une rsistance, R1 dsignera sa rfrence et 1k sa valeur ;
pour un circuit intgr U1A dsigne sa rfrence et 7400 le type de fonction (ici une fonction
NAND).
Pour ldition, deux solutions sont possibles :

1/ Double-clic sur la rfrence ou la valeur modifier avoisinant le corps du
composant. Une fentre souvre alors, y apporter les modifications ncessaires
(cette solution nest pas non valable pour les gnrateurs).
2/ Double-clic sur le corps du composant (son symbole). Dans la fentre souvrant,
ne modifier alors que les paramtres voulus, soient, en gnral, sa valeur (ligne
note : Value) et ventuellement sa rfrence (ligne : PKGREF tout en bas de la
fentre d'dition).

Remarque : - Attention, dans ldition de la valeur des composants, ne pas laisse despace
entre la valeur et le facteur dechelle.
- Les paramtres ayant un astrisque * ne peuvent pas tre modifis (choix
effectu au niveau de lditeur de composants).
- Ne pas oublier de sauvegarder avec Save Attr aprs chaque modification.

On dite des connexions (fils, bus) : en faisant un double-clic sur le fil concern et en lui
affectant un nom (LABEL). Ldition des connexions est des plus conseille. Cela permet deux
fonctions primordiales :

Raliser une ventuelle liaison quipotentielle (fil virtuel), qui remplace certains fils
afin dviter des croisements, do une meilleur lisibilit ;
Ces noms donns sont pris en compte par PROBE, lors de la visualisation graphique
des rsultats, ce qui permet de mieux identifier les signaux que lon veut visualiser
dans la liste propose.

Dans le cas de fils connects un bus, cest impratif : Ils doivent possder
le mme LABEL. Il est dailleurs possible dautomatiser cette dition.
Commencer par double-cliquez sur le fil reli au bus puis Options >>
Auto Naming. Aprs avoir libell le premier fil, un appui sur la barre
despace sur le second fil, lui ditera son label et incrmentera lordre dun
fil automatiquement.

Remarque : Pour tous les composants lunit est implicite, mais prenez
lhabitude de les prciser ; par exemple : F (Farad) pour les
condensateurs ; H (Henry) pour les bobines ; s (seconde)
pour lunit de temps ; ne pas indiquer lunit des rsistances
( : omga), car le symbole nexiste pas sous la version
Microsim.

Exemple : Cration dune alimentation symtrique sous Microsim
Figure 2.15 :
Exemple de
ralisation
dune
alimentation
symtrique
Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio - 2007
60
Ce type dalimentation est trs utile lors de la simulation de circuit constitu
damplificateur oprationnel, par exemple. Pour la raliser (cf. Figure 2.15),
utiliser les nuds de connexion (Connection bubble dans Part Browser
Advanced) auxquels vous attribuez un nom (par exemple, +VCC). Ainsi tous les
nuds de connexion du montage qui ont ce nom seront affects une valeur de
potentiel (ici 15V).
Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio - 2007
61
2.6 Structures hirarchiques et sous-circuits :

Dans le cas de circuits relativement complexes, il est possible dorganiser son schma
en plusieurs niveaux ou sous-schma. La cration dun bloc hrarchique se ralise par licne
. Aprs placement et dimensionnement du bloc, les connexions sont ralises par une
simple connexion de fils (wire) qui deviennent des modules ports devant tre caractriss. Par
dfaut, les connexions places droite du bloc sont dfinies en sortie et les connexions places
gauche du bloc sont les entres.
Un doucle-clic sur le nom de la connexion que vous venez de raliser ouvre une fentre
(voir figure ci-dessous) o lon peut personnaliser le nom de la connexion (visualisable ou non
sur le schma), ... Le champ ERC dfinit le type de connexion pour la vrification lectrique et
Float caractrise la non-connexion. Le menu navigate dans le menu principal permet de se
dplacer dans une structure hirarchique. Un double-clic dans un bloc ou F2 permet de
descendre dans la hirarchie. F3 permet de remonter dun niveau.
A la premire descente dun niveau, le nom du sous-schma est demand. Un fichier
schma est automatiquement cr sous Design Manager. Lors de la descente dans un sous-
schma, les modules ports avec les labels correspondants sont crs automatiquement et
apparaissent en haut gauche de lcran. Les entres sont diffrencies des sorties par leur
symbole.



Figure 2.16 : Fentre de personnalisation des interconnexions


Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio - 2007
62
2.7 Simuler et observer les rsultats :

2.7.i. Simuler :

Comme nous lavons vu dans le chapitre prcdent, il existe de nombreux types de
simulations, on les configure laide de la fentre Analysis Setup (cf. Figure 44). Cliquer sur
Analysis, puis Setup ou directement par licne quivalent et slectionner (cocher E) la ou
les cases qui vous intresse suivant les performances que vous voulez quantifier. Pour la plupart
des applications des travaux pratiques, on utilisera essentiellement les 4 simulations suivantes :

1/ Bias Point Detail : Analyse du point de fonctionnement,
2/ DC Sweep : Analyse en continu,
3/ AC Sweep : Analyse frquentielle petit signal,
4/ Transient : Analyse temporelle
17
(transitoire).

Celles-ci seront ventuellement enrichies dune analyse paramtrique ou/et de conditions
initiales.
Afin de dfinir les paramtres de simulation, cliquer dans cette mme fentre sur le
bouton de lanalyse slectionne. Une fois tous les paramtres indiqus, fermer Analysis Setup
et lancer la simulation par F11 ou le menu Analysis, puis Simulate ou encore directement par
licne quivalent .



Figure 2.17 : Fentre de choix des analyses effectuer

o Lanalyse du point de polarisation (Bias Point Detail) est toujours slectionne par
dfaut. Aprs cette simulation, afin danalyser les rsultats de lanalyse du point
dopration, on peut placer des sondes Viewpoint (Voltmtre) et Iprobe
(Ampremtre) qui permettent dafficher les tensions et courants continus
aux divers points du circuit
18
. La commande Analysis >> Examine Output permet

17
Pour lanalyse de circuits numriques, on utilisera gnralement lanalyse temporelle. Toutefois, on pourra aussi
enmployer lanalse nomme Digital Setup, qui est une analyse temporelle spcifique pour les circuits logiques.
On peut, par exemple, slectionner Digital Worst-case timing pour calculer la simulation dans le pire des cas.

18
Icones visibles si dans le menu Analysis, Display Results on Schematics est enable.
Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio - 2007
63
dexaminer le fichier texte des rsultats. Ce fichier peut sembler un peu obscur au
dbutant, mais il faut savoir quil contient toutes les informations utiles du point de
fonctionnement avec le potentiel de tous les nuds du circuit, le courant dbit par
les sources, ...

Remarque : Si les sources dcrites dans le fichier dentre ne possdent aucun
paramtre DC, le logiciel neffectuera pas lanalyse et nous
indiquera une erreur.



Figure 2.18 : Fentre de paramtrage de lanalyse DC Sweep

o Pour lanalyse DC, cliquer sur DC Sweep dans la fentre de la Figure 2.17. Si vous
cherchez par exemple voir lvolution de la tension de sortir en fonction de la
tension dentre, choisir la source faire varier en indiquant son nom (dans la
Figure 2.18, il sagit de v1). Fixer les valeurs donnes cette source (valeur initiale,
valeur finale, pas de progression).
Dans le cas o une seconde source est choisie cliquer dans Nested Sweep pour fixer
les paramtres de cette source (valeur initiale, valeur finale, pas de progression). Ne
pas oublier d'activer Enable Nested Sweep pour rendre la seconde source active.
Ds que PSPICE a termin, il lance PROBE avec en abscisse la source continue qui
a vari (v1 dans notre cas). Son utilisation est assez ergonomique et ne devrait pas
poser spcialement de problme. Si on dsire, par exemple, afficher les tensions
nommes Vref et Vs en fonction de cette tension dalimentation, voil la mthode
employer : Faites Trace >> Add et slectionnez V(Vref) (ou tapez-le
manuellement), faire de mme avec V(Vs).
Si lon dsire ensuite examiner des points prcis, on peut utiliser le curseur laide
de Tools >> Cursor >> Display ou de licne correspondant , ce qui permet
de dplacer deux curseurs sur une ou plusieurs courbes. A cet effet, il faut tout
dabord slectionner la courbe sur la quelle on veut dplacer le curseur (en cliquant-
gauche sur sa lgende en bas gauche). On peut ensuite dplacer les curseurs en
utilisant les boutons gauche et droit de la souris ou les flches du clavier (avec ou
sans Shift).

Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio - 2007
64
o Pour lanalyse frquentielle, dvalidez ventuellement, dans la fentre
correspondant la Figure 2.17, les analyses inutiles (Bias Point Detail, DC Sweep)
et validez AC Sweep et fixer, le type d'analyse AC (linaire, par octave, par dcade)
et les valeurs donnes au balayage en frquence de la source (valeur initiale, valeur
finale, nombre de points de mesure : 101 points par dcade est un bon compromis
entre prcision de la simulation et temps de calcul).



Figure 2.19 : Fentre de paramtrage de lanalyse AC Sweep (et bruit)

Outre le trac habituel des tensions et courants en fonction de la frquence, sous
PROBE, il est alors aussi possible dobtenir des tracs des plus intressant tels que
la transmittance en tapant V(Vs)/V(Ve). A laide des deux curseurs il est alors ais
de reprer les frquences de coupure et den dduire la bande passante -3dB (la
fentre des curseurs affiche les deux coordonnes et leurs diffrences). Il existe
aussi des macros calculant automatiquement un certain nombre de paramtres : en
faisant Trace >> Eval Goal Function >> Bandwidth(V(vs)/V(ve),-3) on value
la bande passante -3dB. On dispose ainsi de toute une srie de macros fort utiles
(temps de monte, temps de rponse, ...). Si on veut le diagramme de Bode complet,
on peut aussi tracer la phase simplement sur un autre graphe, pour cela faire Plot
>> Add Plot puis demander de tracer P(V(Vs)) par exemple.

Remarque : La liste des Macro de calcul de fonctions (DB, valeur absolue,
...) apparat dans la fentre Add Traces de PROBE, cette liste
permettant de calculer de nombreux paramtres est accessible
dans le menu Trace >> Goal Functions. On notera que lon
peut assez facilement diter, modifier ou crer une macro (voir
3.1 pour la cration de macro).

I
n
i
t
i
a
l
i
s
a
t
i
o
n


l
a

s
i
m
u
l
a
t
i
o
n

d
e

c
i
r
c
u
i
t
s

i
n
t

g
r

s

a
n
a
l
o
g
i
q
u
e
s

e
t

n
u
m

r
i
q
u
e
s
















S
.
F
e
r
u
g
l
i
o

-

2
0
0
7


6
5




F
i
g
u
r
e

2
.
1
8

:

F
e
n

t
r
e

d
e

p
a
r
a
m

t
r
a
g
e

d
e

l

a
n
a
l
y
s
e

t
e
m
p
o
r
e
l
l
e


o

L

a
n
a
l
y
s
e

t
e
m
p
o
r
e
l
l
e

(
T
r
a
n
s
i
e
n
t
)

d
u

f
o
n
c
t
i
o
n
n
e
m
e
n
t

d

u
n

c
i
r
c
u
i
t

e
s
t

l
a

s
e
u
l
e

a
n
a
l
y
s
e

v
a
l
a
b
l
e

p
o
u
r

o
b
s
e
r
v
e
r

u
n

s
i
g
n
a
l

d
e

s
o
r
t
i
e

(
v
o
i
r

s

i
l

n

y

a

p
a
s

s
a
t
u
r
a
t
i
o
n
,
.
.
.
)
.

I
l

f
a
u
t

t
o
u
t

d

a
b
o
r
d

c
h
o
i
s
i
r

l
a

d
u
r

e

d
'
a
n
a
l
y
s
e

(
F
i
n
a
l

T
i
m
e
)
,

p
u
i
s

l
e

p
a
s

d
'
i
m
p
r
e
s
s
i
o
n

(
P
r
i
n
t

S
t
e
p
)

e
t

l
e

p
a
s

d
e

c
a
l
c
u
l

(
S
t
e
p

C
e
i
l
i
n
g
)
.

C
e

d
e
r
n
i
e
r

p
a
r
a
m

t
r
e

e
s
t

i
m
p
o
r
t
a
n
t

c
a
r

s
i
n
o
n

P
S
P
I
C
E

c
h
o
i
s
i
t

l
u
i
-
m

m
e

u
n

p
a
s

d
e

c
a
l
c
u
l

(
u
n
e

v
a
l
e
u
r

d
e

u
n

p
o
u
r

c
e
n
t


u
n

p
o
u
r

m
i
l
l
e

d
e

l
a

d
u
r

e

d
'
a
n
a
l
y
s
e

e
s
t

u
n
e

v
a
l
e
u
r

r
a
i
s
o
n
n
a
b
l
e
)
.

P
S
P
I
C
E

s
t
o
c
k
e
r
a

a
l
o
r
s

l
e
s

r

s
u
l
t
a
t
s

a
v
e
c

u
n

p
a
s

t
e
m
p
o
r
e
l

P
r
i
n
t

S
t
e
p
,

e
t

p
o
u
r

t


[
N
o
-
P
r
i
n
t

D
e
l
a
y
,

F
i
n
a
l

T
i
m
e
]
.


D
a
n
s

l
e

c
a
s

o


l
'
o
n

s
o
u
h
a
i
t
e

v
i
s
u
a
l
i
s
e
r

l
e

s
p
e
c
t
r
e

e
n

f
r

q
u
e
n
c
e
,

a
l
o
r
s

r
e
n
d
r
e

l
'
a
n
a
l
y
s
e

d
e

F
o
u
r
r
i
e
r

a
c
t
i
v
e
.

T
o
u
t
e
f
o
i
s
,

s
o
u
s

P
R
O
B
E
,

o
n

p
e
u
t

a
u
s
s
i

d
e
m
a
n
d
e
r

l
a

t
r
a
n
s
f
o
r
m

e

d
e

F
o
u
r
i
e
r

(

a
i
d
e

d
e

T
r
a
c
e


>
>


F
o
u
r
i
e
r

o
u

d
e

l

i
c

n
e

c
o
r
r
e
s
p
o
n
d
a
n
t
e

)
.

O
n

o
b
s
e
r
v
e

a
l
o
r
s

l
a

t
r
a
n
s
f
o
r
m

e

r
a
p
i
d
e

d
e

F
o
u
r
i
e
r

(
F
F
T
)
.


o

S
i

l

o
n

v
e
u
t

t
u
d
i
e
r

l
e

c
o
m
p
o
r
t
e
m
e
n
t

d

u
n

c
i
r
c
u
i
t

a
v
e
c

u
n

c
o
m
p
o
s
a
n
t

d
o
n
t

l
a

v
a
l
e
u
r

v
a
r
i
e
,

i
l

f
a
u
t

l
e

d

c
l
a
r
e
r

e
n

p
a
r
a
m

t
r
e

(
a
n
a
l
y
s
e

p
a
r
a
m

t
r
i
q
u
e
)
.

A

c
e
t

e
f
f
e
t
,

a
u

l
i
e
u

d
e

l
u
i

d
o
n
n
e
r

u
n
e

v
a
l
e
u
r

(
c
h
a
m
p

V
A
L
U
E
)
,

d
o
n
n
e
z

u
n

n
o
m

d
e

v
a
r
i
a
b
l
e

a
r
b
i
t
r
a
i
r
e

e
n
t
r
e

a
c
c
o
l
a
d
e
s
,

p
a
r

e
x
e
m
p
l
e

{
R
V
A
R
}

s
i

c

e
s
t

l
a

v
a
l
e
u
r

d

u
n
e

r

s
i
s
t
a
n
c
e

q
u

i
l

f
a
u
t

c
h
e
r
c
h
e
r


o
p
t
i
m
i
s
e
r
.

I
n
s

r
e
z

e
n
s
u
i
t
e

l
e

c
o
m
p
o
s
a
n
t

P
A
R
A
M

e
t

d

c
l
a
r
e
z
,

a
p
r

s

a
v
o
i
r

d
o
u
b
l
e
-
c
l
i
q
u


d
e
s
s
u
s
,

l
e
s

c
h
a
m
p
s


N
A
M
E
1

=

R
V
A
R

e
t

V
A
L
U
E
1

=

l
a

v
a
l
e
u
r

p
a
r

d

f
a
u
t

d
e

l
a

r

s
i
s
t
a
n
c
e
.

P
o
u
r

r

a
l
i
s
e
r

u
n
e

s
i
m
u
l
a
t
i
o
n

e
n

f
o
n
c
t
i
o
n

d
e

c
e

p
a
r
a
m

t
r
e
,

f
a
i
t
e
s

A
n
a
l
y
s
i
s


>
>


S
e
t
u
p


>
>


P
a
r
a
m
e
t
r
i
c

(
o
u

c
l
i
q
u
e
r

s
u
r

l
'
i
c

n
e

P
a
r
a
m

t
r
e
s

d
e

l
'
a
n
a
l
y
s
e
)

e
t

d

c
l
a
r
e
z

l
a

v
a
r
i
a
b
l
e

R
V
A
R

e
n

p
a
r
a
m

t
r
e

g
l
o
b
a
l

(
G
l
o
b
a
l

P
a
r
a
m
e
t
e
r
)
.

C
h
o
i
s
i
s
s
e
z

l
e
s

v
a
r
i
a
t
i
o
n
s

d

s
i
r

e
s

(
L
i
n

a
i
r
e
,

p
a
r

O
c
t
a
v
e
,

p
a
r

D

c
a
d
e
,

o
u

u
n
e

l
i
s
t
e

d
e

v
a
l
e
u
r
s
)

e
t

e
n
f
i
n

l
e

t
y
p
e

g

r
a
l

d

a
n
a
l
y
s
e

(
C
f
.

F
i
g
u
r
e
s

2
.
1
9

e
t

2
.
2
0
)
.


A
p
r

s

l
a

s
i
m
u
l
a
t
i
o
n
,

o
n

p
e
u
t

e
n
s
u
i
t
e

a
f
f
i
c
h
e
r
,

s
o
u
s

P
R
O
B
E
,

l
e
s

d
i
f
f

r
e
n
t
e
s

r

p
o
n
s
e
s

p
o
u
r

l
e
s

d
i
f
f

r
e
n
t
e
s

v
a
l
e
u
r
s

d
u

p
a
r
a
m

t
r
e
.

E
n

d
o
u
b
l
e
-
c
l
i
q
u
a
n
t

s
u
r

l
e

s
y
m
b
o
l
e

(
e
n

b
a
s


g
a
u
c
h
e
)

d
e

c
h
a
q
u
e

c
o
u
r
b
e
,

o
n

p
e
u
t

e
n
t
r
e

a
u
t
r
e

a
v
o
i
r

l
a

v
a
l
e
u
r

d
u

p
a
r
a
m

t
r
e

p
o
u
r

l
a

c
o
u
r
b
e
.


I
n
i
t
i
a
l
i
s
a
t
i
o
n


l
a

s
i
m
u
l
a
t
i
o
n

d
e

c
i
r
c
u
i
t
s

i
n
t

g
r

s

a
n
a
l
o
g
i
q
u
e
s

e
t

n
u
m

r
i
q
u
e
s
















S
.
F
e
r
u
g
l
i
o

-

2
0
0
7


6
6




F
i
g
u
r
e

2
.
1
9

:

F
e
n

t
r
e

d
e

d

f
i
n
i
t
i
o
n

p
o
u
r

u
n
e

a
n
a
l
y
s
e

p
a
r
a
m

t
r
i
q
u
e


o

E
n

c
o
m
p
l

m
e
n
t

d
e
s

d
i
r
e
s

d
u

c
h
a
p
i
t
r
e

p
r

d
e
n
t
,

l

i
m
p
o
s
i
t
i
o
n

d
e

c
o
n
d
i
t
i
o
n
s

i
n
i
t
i
a
l
e
s

s

a
v

r
e

d
e
s

p
l
u
s

u
t
i
l
e
s

p
o
u
r

l

t
u
d
e

d
e

c
e
r
t
a
i
n
s

o
s
c
i
l
l
a
t
e
u
r
s
.

E
n

e
f
f
e
t
,

a
v
a
n
t

t
o
u
t
e

a
n
a
l
y
s
e
,

P
S
P
I
C
E

e
f
f
e
c
t
u
e

u
n
e

s
i
m
u
l
a
t
i
o
n

d
u

p
o
i
n
t

d
e

f
o
n
c
t
i
o
n
n
e
m
e
n
t

s
t
a
b
l
e

e
t

i
l

d

b
u
t
e

d
o
n
c

l

a
n
a
l
y
s
e

e
n

s
u
p
p
o
s
a
n
t

l
e

c
i
r
c
u
i
t

d
a
n
s

c
e

p
o
i
n
t

d
e

f
o
n
c
t
i
o
n
n
e
m
e
n
t
.

A

c
a
u
s
e

d
e

c
e

p
r
i
n
c
i
p
e
,

l
e
s

o
s
c
i
l
l
a
t
e
u
r
s

p
e
u
v
e
n
t

a
l
o
r
s

n
e

j
a
m
a
i
s

d

m
a
r
r
e
r
.

C
e
t
t
e

r
e
m
a
r
q
u
e

e
s
t

d
e
s

p
l
u
s

v
a
l
a
b
l
e

p
o
u
r

l

a
s
t
a
b
l
e


2

t
r
a
n
s
i
s
t
o
r
s

e
t

2

c
o
n
d
e
n
s
a
t
e
u
r
s

:

d
a
n
s

l
a

r

a
l
i
t

,

l
e
s

t
e
n
s
i
o
n
s

d
e

b
r
u
i
t

f
o
n
t

q
u

u
n
e

d
e
s

c
a
p
a
c
i
t

s

e
s
t

i
n
i
t
i
a
l
e
m
e
n
t


u
n

p
o
t
e
n
t
i
e
l

d
i
f
f

r
e
n
t

d
e

l

a
u
t
r
e

o
r

P
S
P
I
C
E

l
e
s

p
l
a
c
e
r
a

a
u

m

m
e

p
o
t
e
n
t
i
e
l

a
u

d

m
a
r
r
a
g
e
,

c
e

q
u
i

e
m
p

c
h
e
r
a

l
e
s

o
s
c
i
l
l
a
t
i
o
n
s

d
e

d

b
u
t
e
r
.

P
o
u
r

r
e
m

d
i
e
r


c
e
t

i
n
c
o
n
v

n
i
e
n
t
,

l

a
s
t
u
c
e

c
o
n
s
i
s
t
e

c
l
a
r
e
r

q
u

u
n
e

d
e
s

c
a
p
a
c
i
t

s

e
s
t

i
n
i
t
i
a
l
e
m
e
n
t

c
h
a
r
g

e

e
n

d
o
u
b
l
e
-
c
l
i
q
u
a
n
t

s
u
r

l
a

c
a
p
a
c
i
t


c
o
n
c
e
r
n

e

e
t

e
n

d

c
l
a
r
a
n
t

l
a

t
e
n
s
i
o
n

i
n
i
t
i
a
l
e

d
a
n
s

I
C
=


(
I
n
i
t
i
a
l

C
o
n
d
i
t
i
o
n
)
.

O
n

d
o
i
t

e
n
s
u
i
t
e

d
e
m
a
n
d
e
r

u
n
e

a
n
a
l
y
s
e

t
r
a
n
s
i
t
o
i
r
e

e
n

t
e
n
a
n
t

c
o
m
p
t
e

d
e
s

c
o
n
d
i
t
i
o
n
s

i
n
i
t
i
a
l
e
s

(
v
a
l
i
d
e
r

S
k
i
p

i
n
i
t
i
a
l

t
r
a
n
s
i
e
n
t

s
o
l
u
t
i
o
n

d
a
n
s

l
e

S
e
t
u
p

d
e

T
r
a
n
s
i
e
n
t
)
.

L
a

s
i
m
u
l
a
t
i
o
n

e
s
t

a
l
o
r
s

c
o
r
r
e
c
t
e

m
a
i
s

v
i
t
e
z

t
o
u
t

d
e

m

m
e

d
e

c
o
n
s
i
d

r
e
r

l
e
s

1
e
r
s

i
n
s
t
a
n
t
s

d
e

l
a

s
i
m
u
l
a
t
i
o
n

o

e
f
f
e
t

d
e
s

c
o
n
d
i
t
i
o
n
s

i
n
i
t
i
a
l
e
s

i
n
f
l
u
e

s
u
r

l
e
s

s
i
g
n
a
u
x

o
b
s
e
r
v

s
.




F
i
g
u
r
e

2
.
1
8

:

F
e
n

t
r
e

d
e

p
a
r
a
m

t
r
a
g
e

d
e

l

a
n
a
l
y
s
e

p
a
r
a
m

t
r
i
q
u
e

I
n
i
t
i
a
l
i
s
a
t
i
o
n


l
a

s
i
m
u
l
a
t
i
o
n

d
e

c
i
r
c
u
i
t
s

i
n
t

g
r

s

a
n
a
l
o
g
i
q
u
e
s

e
t

n
u
m

r
i
q
u
e
s

































S
.
F
e
r
u
g
l
i
o

-

2
0
0
7

2
.
7
.
i
i
.

O
b
s
e
r
v
e
r

l
e
s

r

s
u
l
t
a
t
s

d
e

s
i
m
u
l
a
t
i
o
n

:


U
n
e

f
o
i
s

l
a

s
i
m
u
l
a
t
i
o
n

l
a
n
c

e
,

l
e

t
r
a
c


d
e

c
o
u
r
b
e
s

s
u
i
t

g
r

c
e

a
u

m
o
d
u
l
e

P
R
O
B
E
.

E
n

v
o
i
c
i

l
a

b
a
r
r
e

d
e
s

t
a
c
h
e
s

:


F
i
g
u
r
e

2
.
2
1

:

B
a
r
r
e

d

o
u
t
i
l
s

d
u

m
o
d
u
l
e

P
R
O
B
E

Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2009

68
Dans le cas o un (des) marqueur(s) a (ont) t plac(s) sur le dessin , la courbe
s'affiche automatiquement. Dans le cas o cela na pas t fait ou pour afficher une nouvelle
courbe cliquer sur licne dajout de courbes ou aller dans le menu Trace >> Add Trace et
choisir le signal dsire dans la liste de droite de la Figure 2.22.



Figure 2.22 : Fentre dajout de rsultats sous forme graphique

A partir de cette mme fentre, on peut afficher toutes sortes de courbes. Les tensions et
les courants mais aussi des combinaisons mathmatiques de ces fonctions grce aux macros se
trouvant dans la partie gauche de la figure 2.22.
Pour fixer les caractristiques des axes abscisses et ordonnes, aller dans le menu Plot >>
Axis Settings. Pour l'axe X, on peut modifier l'chelle ou l'affichage (linaire ou logarithmique)
ou encore choisir la variable de l'axe X. Pour cela, cliquer Axis Variable et faire son choix. Pour
l'axe Y (ou les axes), on peut modifier l'chelle ou l'affichage (linaire ou en dB).
Dautre part, si l'on souhaite avoir deux courbes avec un seul axe Y, aller dans le menu Trace >>
Add Trace. Si l'on souhaite avoir deux courbes avec deux axes Y sur le mme axe Y, aller dans
le menu Plot >> Add Y Axis.
On pourra par la suite supprimer cet axe en allant dans le menu Plot >> Delete Y Axis).
Si l'on souhaite avoir deux figures distinctes, aller dans le menu Plot >> Add Plot to Window.
Puis ajouter la courbe voulue.
Sil y a plusieurs courbes (mode paramtrage), on peut passer d'une courbe l'autre cliquer-
gauche sur la lgende correspondante en bas gauche.
Enfin, on rappellera quil y a deux curseurs. On passe de l'un l'autre en cliquant la souris
droite ou gauche.
Les courbes peuvent tre imprimes ou sauvegardes laide respectivement de File >> Print et
de Tools >> Display Control. On peut aussi insrer les graphes (ou les schmas) dans un autre
document (Un document Word par exemple) laide de Tools >> Copy to Clipboard puis en
faisant Edition >> Coller (sous Word). Cependant limage est enregistre en point par point, ce
qui occupe beaucoup de place et il faut modifier la couleur du fond (noir par dfaut). On lui
prfrera gnralement la fonction Impression dcran.
Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2009

69

Pour illustrer lutilisation de tout cela, on veut tracer le diagramme de Nyquist (partie
imaginaire de la fonction de transfert en fonction de sa partie relle) du filtre ci-dessous.
Figure 2.23 : Exemple dun circuit triple RC

Aprs avoir dessin et simul le schma (AC Sweep), on observe la fentre Probe vide.
Ouvrons Trace >> Add et choisissons les fonctions R() et IMG() auxquelles on attribuera
largument V(Sf). Modifions laxe des abscisses et son chelle (Plot >> XAxis Settings ) pour
observer le diagramme de Nyquist.

Figure 2.24 : Exemple de rsultat obtenu avec PROBE

Pour viter davoir rpter ces diffrentes oprations chaque fois que lon effectue la
simulation, on peut les sauvegarder en ouvrant Windows >> Display Control : un fichier
dextension *.prb est cr. Donner un nom au fichier (par exemple le mme que celui du fichier
de simulation) et cliquer sur Save. Fermer Probe, supprimer la dernire cellule RC en conservant
Sf comme label de sortie. Sauver le nouveau schma sous un autre nom avant de lancer la
Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2009

70
simulation. Ouvrir ensuite Windows >> Display Control, cliquer sur Load, cliquer sur le nom
du fichier dextension *.prb sauv prcdemment puis sur Ouvrir. Cliquer nouveau sur le nom
du fichier pour quil apparaisse dans la fentre New Name, cliquer enfin sur Restore : le nouveau
trac de Nyquist apparat.

Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2009

71
2.8 Simuler partir dun fichier dentre .CIR :

Voici la procdure effectuer dans le cas o vous utilisez la version 8.0 de Microsim.
Dans le cas o vous utilisez une version plus rcente de PSpice, la procdure peut tre lgrement
diffrent mais elle est tout fait ralisable sur le mme principe (voir fin du paragraphe).
A partir de la fentre DesignLab Design Manager, cliquer sur longlet Run TextEdit (Cf.
figure 2.2, p. 47) de manire lancer lditeur de texte et saisir la description du circuit simuler
(ne pas oublier le .PROBE la fin de votre fichier .CIR). Par exemple : Courant dune diode en
fonction de la polarisation ses bornes pour deux tempratures


Figure 2.25 : Exemple dun circuit diode

ID vs VD pour 2 Temp
V1 1 0 DC 0.5
* on impose par dfaut une valeur V1 mais qui est modifie par la suite
R1 1 2 1
D1 2 0 MD
* Diode nomme D1 et faisant appel un modle nomm MD
.MODEL MD D IS=100pA n=1.679
* on change 2 des paramtres du modle de la diode
.DC V1 -1 1 5m
* Analyse DC : on fait varier la source de tension continue V1 de -1V +1V par pas de 5mV
.TEMP -15 +37
* Analyse DC se faisant pour deux tempratures : -15C et 37C
.PROBE
* Permet l'affichage sous le module PROBE
.END

Une fois la saisie effectuer, sauvegarder votre fichier avec un nom judicieux et aller de
nouveau dans la fentre DesignLab Design Manager. Cliquer sur licne Run PSPICE A/D et,
dans la nouvelle fentre apparaissant (nomme PSPICE A/D), charger le fichier .CIR que vous
venez que crer par File >> Open... La simulation de votre circuit est alors lance et la fentre ci-
dessous doit apparatre (un autre fentre apparat vous indiquant les ventuelles erreurs).

Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2009

72

Figure 2.26 : Fentre du module PspiceAD

Il faut maintenant visualiser les rsultats de votre simulation travers le module PROBE.
Pour cela, toujours partir de la fentre DesignLab Design Manager, cliquer sur licne Run
Probe et, dans la nouvelle fentre, faire File >> Open et charger le fichier de rsultat qui a pour
extension .DAT et qui porte le mme nom que celui que vous avez donn votre fichier .CIR.
Puis, faire Trace >> Add et slectionner dans la liste de gauche les variables (courants,
tensions ou autres) que vous dsirez observer.
Dans le cas o vous utiliser une version plus rcente de PSpice (9.2 par exemple) ce
moment l, tout ce fait partir du module PSpice A/D par licne Run PSpice dans le DesignLab
Design Manager. Lditeur de texte est inclut dans cette interface. Faire File >> New >> Text
File, crire votre fichier dentre, puis le sauvegarder lendroit quil faut avec lextension .CIR.
Par mesure de prcaution, faire View >> Circuit File (dans le cas o vous voulez ouvrir un
fichier dj existant, le fait de faire File >> Open charge le fichier en question mais ne le fait
pas ncessairement apparatre. Il est alors indispensable de faire la procdure que je viens de vous
proposer afin de pouvoir visualiser le fichier .CIR en question). Puis, lancer la simulation comme
suit : Simulation >> Run La simulation se lance alors et vous pouvez visualiser vos rsultats
en cliquant sur licne gauche View Simulation Results.



Figure 2.28 : Fentre de rsultat obtenu partir de PSpice AD (quivalent du module
PROBE)

Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2009

73
3 Les fonctions avances :

Cette partie concerne des aspects plus avancs de PSPICE auquel le concepteur se voit
confronter dans son travail de tous les jours. Pour le novice, il sera prfrable de passer
directement la partie 4 afin de bien matriser les concepts de base et revenir cette partie
ensuite.
Dautre part, il est noter que suivant la version de PSPICE utilise, il est possible que la
procdure dcrite dans ce qui suit pour la ralisation de certaines des fonctions soit lgrement
sur votre version que vous allez employer.

3.1. Cration dune macro-fonction :

Certaines fonctions, dont on se sert souvent, ne sont pas dfinies dans PROBE. Il est
possible dans ce cas, de crer des macro-fonctions.
Par exemple, le gain dun quadriple ntant pas dfini, il est commode dintroduire une
macro-fonction qui lui est ddie. Pour cela, ouvrir Trace >> Macros et dfinir le gain de la
manire suivante :



Figure 3.1 : Fentre des macros

Le choix des termes S, E et Gain est personnel. En revanche, DB() est associ au Marker
destin la mesure dune tension en dcibels. On sauvegardera la macro en cliquant sur Save
pour pouvoir en disposer dans toutes les applications ou sur Save To pour lassocier une seule
application. Les variables E et S seront remplaces par les variables de lapplication en cours lors
de lappel de la macro-fonction.
Par exemple, si lentre et la sortie dun filtre sappellent respectivement EF et SF, pour
en mesurer et afficher le gain, on ouvrira Trace >> Add et lon prcisera les arguments du gain
comme indiqu ci-dessous. Le gain du filtre sera trac ds que lon aura cliqu sur OK.

Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2009

74


Figure 3.2 : Fentre dajout de rsultat sous forma graphique, avec droite les macros
diponibles

Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2009

75
3.2. Les "Goal Functions" :

Les "Goal Functions" sont des fonctions prdfinies ou que l'on peut crer soit mme en
fonction de ses besoins.
Pour illustrer comment les employer, prenons un exemple : On se propose de mesurer le
temps de monte de signaux crs prcdemment. Aprs simulation, dans PROBE, pour accder
aux "Goal Functions", on ouvrira Trace >> Eval Goal Function. Dans Functions or Macros
slectionner Goal Functions. La liste des Goal Functions s'affiche droite dans la Figure 3.3.
Cliquer sur Risetime(1). Risetime() s'inscrit dans la fentre Trace Expression. Cliquer sur V(S)
puis sur OK.



Figure 3.3 : Fentre des Goal Functions

Aux courbes se superpose la fentre de la figure 3.4 qui donne la valeur numrique du temps de
monte du signal de sortie V(S) de 10% 90%. On pourra comparer ventuellement cette valeur
celle obtenue en utilisant les deux curseurs.
Cette fonction ne s'applique qu'aux signaux ne prsentant
pas de dpassement. Dans le cas contraire on utilisera la fonction
GenRise.

Avant de crer une "Goal Function", il serait bon de voir
comment elles sont constitues : Dans PROBE, ouvrir Trace >>
Goal Functions et choisir Risetime dans la liste puis cliquer sur
Edit (ou View car nous ne la modifierons pas). La fentre
dcrivant la fonction temps de monte s'ouvre :



Figure 3.4 : Exemple de
rsultat obtenu
Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2009

76



Figure 3.6 : Goal Functions disponibles


Figure 3.5 : Edition dune Goal Function

Tout ce qui est prcd d'un astrisque
est un commentaire. Les lignes utiles
sont donc la premire et les dernires.
Commenons par analyser l'avant
dernire ligne : il s'agit de rechercher la
valeur de x, x1 pour laquelle la valeur
instantane du signal reprsente 10% de
son amplitude sur une pente positive (p).
La dernire ligne est identique la
prcdente au pourcentage prs, quant
la premire, elle dfinit le temps de
monte comme tant la diffrence des
deux temps appels x1 et x2.
Cette "Goal Function", comme
les autres est sauvegarde dans le fichier
msim.prb dans le sous-rpertoire
Common. Ouvrons ce fichier avec un
diteur de texte quelconque (lditeur de
texte de DesignLab DesignManager ou
le bloc-notes, par exemple). Nous
constatons que les "goal functions" sont
classes par catgorie pour les retrouver
plus facilement.

Pour crer notre propre "Goal Function", il suffit d'crire un texte ASCII en respectant la
syntaxe impose. On peut lcrire directement dans lditeur de texte mais on prfrera la crer
dans PROBE.
Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2009

77
On se propose de crer une "Goal Function" capable de mesurer le temps de rponse 5%
d'un signal ne prsentant pas de dpassement que nous l'appellerons Tr5. Fermons lditeur de
texte et retournons PROBE. Ouvrir Trace >> Goal Functions. Cliquer sur New.

Figure 3.7 : Fentre pour la cration dune Goal Function

Figure 3.8 : Fentre ddition de la Goal function

Dans la fentre de la Figure 3.7, taper le nom de la Goal Function : Tr5 et slectionner :

use local file pour quelle soit attache uniquement au fichier actuel et sauvegarde dans
un fichier de mme nom et dextension prb ;
use global file pour quelle soit utilisable dans toute application ;
Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2009

78
other file pour choisir le nom du fichier.

Complter la fentre ddition de la fonction (cf. Figure 3.8). Les commentaires sont facultatifs
mais aident l'utilisateur comprendre la fonction ralise. Les commentaires prcds de #Desc#
apparaissent lorsque lon effectue une analyse de performances. Cliquer sur OK. La "Goal
Function" est maintenant disponible.
Toutefois, lorsqu'on cre une "Goal Function" proche d'une autre dans sa description, on
peut partir de la fonction et procder par copie et modification du texte. Ainsi pour crer Tr5, on
peut partir de Risetime. Pour cela :

dans PROBE ouvrir Trace >> Goal Functions ;
cliquer sur Copy ;
donner un nom la nouvelle "Goal Function" ;
cocher la case use local file.



Figure 3.9 : Fentre de copie dune Goal Function

Risetime est recopie sous le nom Tr5. Cliquer maintenant sur Tr5 et sur Edit pour modifier le
texte. Terminer en cliquant sur OK.
Crons maintenant une "Goal Function", que nous appellerons Tr5u, capable de mesurer
le temps de rponse 5% dun signal avec ou sans dpassement. On procdera de la mme
manire que prcdemment et lon compltera la fentre ddition de la manire suivante :

Tr5u(1) =x1
*
*#Desc#* Temps de rponse 5 % prs d'un signal avec ou sans dpassement
*#Desc#* L'argument de Tr5u doit tre gal : abs(V(S)/V(Sinfini)-1)
*
*#Arg#* abs(V(S)/V(Sinfini)-1)
*
{
1| Search backward/end/level(0.05) !1;
}

Vous pourrez tester ces diffrentes "Goal Functions" partir des circuits RC et RLC dcrits dans
le chapitre 4 et comparer les rsultats aux mesures effectues laide des curseurs.
Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2009

79
3.3. Analyse des performances :

Lorsque lon effectue une analyse paramtrique dun systme, on peut tudier linfluence
dun paramtre sur lune des caractristiques du systme : bande passante, temps de monte,
Pour cela, on utilise les "Goal Functions" qui existent ou que lon a cres pour loccasion.
Voyons par exemple linfluence de la rsistance du circuit RLC de la Figure 4.16 au
paragraphe 4.4 sur le temps de rponse 5% du circuit. Ouvrons Trace >> Analysis
Performance, cliquons sur Wizard et laissons nous guider par lassistant. Choisissons Tr5u
(cre dans le chapitre prcdent).


Figure 3.10 : Fentre didactique pour lanalyse des performances

Dans Name of trace to search, tapons abs(V(S)/10-1) et cliquons sur Next. Une premire
fentre donnant le temps de rponse 5% en fonction du temps saffiche. La dernire fentre
reprsente le temps de rponse en fonction de la rsistance R. On remarque quil passe par un
minimum.
En modifiant les valeurs de R, (en utilisant un balayage linaire par exemple), on peut
montrer que ce minimum a lieu pour un coefficient damortissement m 0,7 soit R m
L
C
= 2
335.
Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2009

80
3.4. Fichiers de commande PROBE :

Certaines commandes doivent tre rptes systmatiquement lorsquon travaille sous
PROBE. Par exemple, lors de tracs de diagrammes de Bode, si lon veut sparer le gain et
largument sur lcran il faut effectuer cinq commandes.
Pour saffranchir de ces tches fastidieuses, on peut enregistrer la squence de
commandes en ouvrant File >> Logs Command et la relancer ultrieurement en ouvrant File >>
Run Command.
A titre dexemple, prenons le circuit RC en rgime harmonique de la figure 80 au paragraphe
4.3 et lanons la simulation. Ensuite, sous PROBE, faire :

Faire File >> Logs Command et donner un nom au fichier de commande, par
exemple G_et_Phi ;
Faire Plot >> Add Plot pour ajouter un graphe ;
Faire Trace >> Add ;
Taper P(S) dans la boite de texte Trace Expression et cliquer sur OK ;
Dans lautre graphe, taper DB(S) dans la boite de texte Trace Expression et cliquer sur
OK ;
Ouvrir File >> Logs Command.

La squence de commande place entre la premire et la dernire ligne est maintenant
enregistre. Sortons de PROBE et relanons la simulation. Pour sparer les courbes de gain et
dargument, il suffit maintenant douvrir File >> Run Command et de choisir le fichier de
commande prcdemment enregistr.
Lavantage de cette mthode est quelle peut tre utilise avec dautres circuits simuls.
Quel que soit le circuit simul, si les grandeurs DB(S) et P(S) sont dfinies, le fait douvrir File
>> Run Command sparera les courbes de gain et dargument.
Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2009

81
3.5. Modifier le symbole dun composant :

Sous Schematics, le symbole d'origine de la rsistance (zig-zag au niveau international) a
t remplac par le symbole normalis en France (rectangle). Afin de corriger cela, voici la
procdure :

Dans Schematics ouvrir File >> Edit Library ou cliquer sur l'icne .

Rpondre OK la fentre qui s'ouvre
(On peut galement cocher la case :
"Don't show this dialog again" afin que
cette fentre ne s'ouvre plus dans le
futur). On se trouve alors dans l'diteur
de symbole.
Faire alors File >> Open ou cliquer sur
et choisir la bibliothque dans
laquelle se trouve le symbole. Dans le
cas prsent, on choisit la bibliothque
Analog et on clique sur Ouvrir. Ouvrir
Part >> Get ou cliquer sur licne
et choisir dans la liste R puis cliquer sur Edit.
Slectionner avec la souris le zigzag et appuyer sur la touche Suppr pour le supprimer.



Figure 3.12 : Fentre de ralisation des symboles

Slectionner Options >> Display Options et remplacer, le cas chant, la valeur actuelle de
Grid Spacing par 00.05 puis cliquer sur OK.



Figure 3.11
Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2009

82


Figure 3.13 : Fentre de dfinition laffichage


Cliquer sur Graphics >> Box ou bien cliquer sur l'icne . Un
crayon apparat, cliquer avec sa pointe dans un coin du rectangle
que l'on veut dessiner, puis dans le coin diagonalement oppos :
un rectangle est alors dessin. Cliquer sur l'icne (ou bien
ouvrir Part >> Save to Library).
On pourra faire de mme pour tous les autres symboles.

Figure 3.14 : Nouveau
symbole de la rsistance
Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2009

83
3.6. Importer des modles extrieurs :

Les modles peuvent tre fournis par les fabricants de composants. Pour viter d'utiliser
des bibliothques volumineuses, on commencera par crer un fichier d'extension .lib dans lequel
on placera les modles de composants dont nous avons besoin.
Ce fichier ASCII peut tre cr rapidement partir d'un diteur de texte, en utilisant le
"copier-coller" entre les documents fournis par le fabricant et notre fichier que l'on nommera ici
MaBibli.lib et que l'on ajoutera aux fichiers .lib existants (dans le sous-rpertoire LIB) .
On se propose d'ajouter deux modles :

celui d'une diode zener 9,1V de type 1N757 ;
celui d'un amplificateur oprationnel de type TL081.

Notre fichier MaBibli.lib aura donc l'allure ci-dessous (les commentaires tant prcds d'un
astrisque :

*****************************************************************
************************** MaBibli **************************
*****************************************************************




*****************************************************************
* *
* Diodes Zener *
* *
*****************************************************************
*****************************************************************
.model D1N757 D(Is=2.453f Rs=2.9 Ikf=0 N=1 Xti=3 Eg=1.11 Cjo=78p + M=.4399
Vj=.75 Fc=.5 Isr=1.762n Nr=2 Bv=9.1 Ibv=.48516
+ Nbv=.7022 Ibvl=1m Nbvl=.13785 Tbv1=604.396u)
* Motorola pid=1N757 case=DO-35
* 89-9-18 gjg
* Vz=9.1 @ 20mA, Zz=21 @ 1mA, Zz=7.25 @ 5mA, Zz=2.7 @ 20mA
*****************************************************************
Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2009

84

*******************************************************************
* *
* AOP *
* *
*****************************************************************
*****************************************************************

* TL081 operational amplifier "macromodel" subcircuit
* connections: non-inverting input
* | inverting input
* | | positive power supply
* | | | negative power supply
* | | | | output
* | | | | |
.subckt TL081 1 2 3 4 5
*
c1 11 12 3.498E-12
c2 6 7 15.00E-12
dc 5 53 dx
de 54 5 dx
dlp 90 91 dx
dln 92 90 dx
dp 4 3 dx
egnd1 98 0 3 0 0.500000
egnd2 99 98 4 0 0.500000
fb1 7 99 vb 4715000.000000
fb2 7 99 vc -5000000.000000
fb3 7 99 ve 5000000.000000
fb4 7 99 vlp 5000000.000000
fb5 7 99 vln -5000000.000000
ga 6 0 11 12 282.8E-6
gcm 0 6 10 99 8.942E-9
iss 3 10 dc 195.0E-6
hlim 90 0 vlim 1K
j1 11 2 10 jx
j2 12 1 10 jx
r2 6 9 100.0E3
rd1 4 11 3.536E3
rd2 4 12 3.536E3
ro1 8 5 150
ro2 7 99 150
rp 3 4 2.143E3
rss 10 99 1.026E6
vb 9 0 dc 0
vc 3 53 dc 2.200
ve 54 4 dc 2.200
vlim 7 8 dc 0
vlp 91 0 dc 25
vln 0 92 dc 25
Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2009

85
.model dx D(Is=800.0E-18)
.model jx PJF(Is=15.00E-12 Beta=270.1E-6 Vto=-1)
.ends

*****************************************************************

Pour pouvoir utiliser notre bibliothque il faut ouvrir Analysis >> Library and Include
Files et taper MaBibli.lib dans la fentre File Name puis cliquer sur Add Library* et OK :
notre nouvelle bibliothque est maintenant accessible toutes les applications.

3.6.i. Ajout du modle de la diode 1N757 :

a) Depuis Schematics ouvrir File >> Edit Library ou cliquer sur l'icne . On se trouve
alors dans l'diteur de symbole.
b) Ouvrir Part >> Copy, cliquer sur Select Lib et choisir une bibliothque dans laquelle un
modle de diode est dj existant (c'est le cas de la bibliothque Eval ou diode). Cliquer sur
Ouvrir. Cliquer, dans la fentre Part, sur la diode D1N750 (de la mme famille que la
1N757), dans la boite de dialogue Part.
Remplacer D1N750 par D1N757 dans la case New Part Name et cliquer sur OK, le symbole
de la diode 1N757 apparat alors l'cran.
c) Ouvrir Part >> Attributes (cf. Figure 3.16), cliquer successivement sur Part, Model et
Component en remplaant dans la fentre Value D1N750 par D1N757, et valider la
modification en cliquant sur Save Attr. Terminer en cliquant sur OK.



Figure 3.15 : Copie dun modle de diode

Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2009

86


Figure 3.16 : Attribut du modle de diode slectionn

d) Ouvrir File >> Save as, dans la fentre du Nom, taper MaBibli et cliquer sur Enregistrer
(rpondre "oui" la demande d'ajout aux bibliothques prsentes) : un fichier MaBibli.slb est
alors cr. Avec une version valuation de Pspice 7.1, il est compos ainsi (les versions plus
rcentes comme la version 9.2 donnent gnralement le mme rsultat) :

*version 7.1 1625318918
@index
symloc D1N757 0 490
*symbol D1N757
d zener diode
@type p
@attributes
a 0 u 0 0 0 0 hln 100 value=
a 0 sp 11 0 13 31 hln 100 PART=D1N757
a 0 sp 0 0 15 25 hln 100 MODEL=D1N757
a 0 s 0 0 15 25 hln 100 COMPONENT=1N757
a 0 s 9 0 15 0 hln 100 refdes=D?
a 1 sp 0 0 0 0 hln 100 template=D^@refdes %1 %2 @MODEL ?value/@value/
@pins
p 0 5 10 hln 100 1 n 0 10 h
p 0 25 10 hln 100 2 n 30 10 u
@graphics 30 20 0 10 10
v 0 10 5
10 15
;
v 0 10 15
20 10
;
v 0 20 10
10 5
Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2009

87
;
v 0 20 5
20 15
;
v 0 10 10
20 10
;
v 0 20 15
18 15
;

3.6.ii. Ajout du modle de lAOP TL081 :

Pour ajouter le modle associ au TL081, on part de l'AOP de type 741. Si l'on se place
dans l'diteur de symbole (depuis Schematics ouvrir File >> Edit Library) et que l'on ouvre la
bibliothque Eval (ouvrir File >> Open slectionner Eval ou opamp et cliquer sur Ouvrir), on
peut lire le contenu de cette bibliothque en ouvrant Part >> Get. On constate que l'AOP de type
741 se trouve deux endroits, tout d'abord sous la forme uA741 (AKO 741/OP) puis la fin de
la liste, 741/OP Le premier est une sorte de composant (A Kind Of Part : AKO Part) qui hrite
du composant de base mais auquel des attributs peuvent se substituer ou s'ajouter (un AKO ne
pouvant faire rfrence qu' un composant de base de sa propre bibliothque). Le second est un
composant de base (Base Part) qui contient des informations graphiques concernant le symbole
et le minimum d'attributs ncessaire son fonctionnement.
Pour ajouter plusieurs AOP ayant mme composant de base, on procde en deux tapes :

copie du composant de base ;
copie de (ou des) l'AKO.
Copie du composant de base (Base Part) :
a) Depuis Schematics ouvrir File >> Edit Library.
b) Faire File >> Open, cliquer sur MaBibli puis sur Ouvrir.
c) Faire Part >> Copy, cliquer sur Select Lib et choisir une bibliothque dans laquelle se trouve
le modle du 741. Cliquer sur Ouvrir. Cliquer, dans la fentre Part, sur 741/OP (Base Part),
dans la boite de dialogue Part. Remplacer 741/OP par A0P5, par exemple
19
, dans la case New
Part Name et cliquer sur OK. Le symbole de l'AOP apparat l'cran.
d) Faire Part >> Attributes, cliquer sur Part, taper le nom du modle : AOP5 et cliquer sur
Save Attr. Cliquer ensuite sur Model et procder de la mme faon. Terminer en cliquant sur
OK.
e) Faire File >> Save.
Ajout dun symbole AKO :
a) Faire File >> Open, cliquer sur MaBibli puis sur Ouvrir.
b) Faire Part >> New, dcrire brivement le composant (par exemple AOP standard), taper le
nom du composant : TL081 (c'est gnralement le nom du modle). Taper le nom de l'AKO
associ : AOP5 et cliquer sur OK.
c) Faire Part >> Attributes, cliquer sur Part, taper le nom du symbole : TL081, et cliquer sur
Save Attr. Cliquer ensuite sur Model et procder de la mme faon. Terminer en cliquant sur

19
AOP5 car ce type d'AOP possde 5 entres-sorties utilisables (voir .subckt TL081 ci-dessus).

Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2009

88
OK. Model doit avoir exactement le mme nom du composant dfini dans le fichier .lib (ici
MaBibli.lib), la suite de .SUBCKT.
d) Faire File >> Save.

Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2009

89
3.7. La macromodlisation :
L'objet principal de la macromodlisation est de remplacer un systme lectronique ou
une partie de ce systme (une fonction ou un dispositif actif) par un modle afin de rduire
significativement le temps requis par les nombreuses simulations lectriques effectues en phase
de conception. Pour ce faire, un macromodle doit rpondre deux exigences conflictuelles: il
doit tre structurellement le plus simple possible et en mme temps simuler le comportement du
circuit avec le maximum de prcision. Selon leur mode de construction et leur niveau
d'abstraction, on distingue essentiellement trois catgories de macromodles:

1- Les modles analytiques crits dans un language de programmation de type C ou un language
spcifique de type AHDL (Analog Hardware Description Language), VHDL-AMS ou SystemC ;
2- Les modles lectriques construits partir d'lments idaux linaires: sources contrles et
composants passifs ;
3- Les modles physico-lectriques construits partir d'lments idaux et d'un nombre rduit de
composants actifs physiques, essentiellement des diodes et des transistors MOS dcrits par un
modle non linaire de bas niveau, typiquement de niveau 1 SPICE.

Pour les deux dernires catgories de macromodles, implantables sur la plupart des
simulateurs lectriques standards de type SPICE, trois approches sont traditionnellement
utilises. La premire approche consiste partir du dispositif original et remplacer une
circuiterie ou une partie de circuiterie par une circuiterie plus simple constitue d'lments
idaux. La deuxime approche consiste raliser une macromodlisation comportementale au
sens o on cherche satisfaire un jeu de spcifications lectriques sans ncessairement recopier
la topologie du dispositif original, mais en prenant en compte les ventuelles interactions
lectriques avec l'environnement lectrique externe. Quant la troisime approche, on reste dans
le cadre d'une macromodlisation comportementale, mais sans recopie des interactions avec
l'environnement lectrique externe, dans ce cas on est dans le domaine de la macromodlisation
fonctionnelle.

3.7.i. Exemple de macromodlisation, les amplificateurs oprationnels :
En terme de thorie des rseaux, le amplificateur oprationnel (AOP) regroupe quatre
amplificateur idaux gain infini : l'amplificateur tension-tension (AOV), l'amplificateur
courant-courant (AOI), l'amplificateur tension-courant (AOT) et l'amplificateur courant-tension
(AOR).

- L'amplificateur oprationnel mode tension :
C'est l'amplificateur oprationnel le plus couramment utilis en macromodlisation, et la
plupart du temps on le dsigne simplement par l'acronyme AOP. Sa sortie basse impdance,
idalement nulle, l'isole des effets de charge, permettant une modlisation fonctionnelle des
principaux indices de performance de l'amplificateur oprationnel.

o L'AOV gain fini
Prise en compte du gain statique
La spcification prendre en compte est le gain en tension fini. Ce macromodle (Cf.
Figure X.1) correspond simplement une source de tension commande en tension Si le gain Ad
0

est trs grand, idalement infini, on obtient le modle AOP "idal" totalement indpendant de son
environnement. La tension VSMC permet de fixer la valeur du potentiel statique de mode
Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2009

90
commun pour la sortie. Cette valeur est gnralement choisie la moiti de la tension
d'alimentation des circuits, pour symtriser l'excursion de la tension en sortie de l'amplificateur.


Figure 3.17 : Macromodle dun AOV gain fini

Prise en compte de la rsistance de sortie
Une prise en compte d'une rsistance de sortie non nulle peut tre simplement ralise par
l'adjonction d'une rsistance en srie sur la sortie du dispositif (voir Figure 3.18).


Figure 3.18 : Macromodle dun AOV gain fini avec rsistance de sortie
Une autre macromodlisation du gain fini et de la rsistance de sortie peut galement tre
ralise partir du schma lectrique de la figure 3.19. La transformation de la source de tension
par une source de courant avec g
s
= 1/R
s
est avantageuse en terme de nombre de nuds lors de la
modlisation des ples de la fonction de transfert de l'amplificateur oprationnel. La tension de
sortie tant rfrence par rapport la tension de mode communVSMC avec V
s
= g
s
V
1
/g
s
=
(g
md
/g
s
)(V
EP
- V
EM
). Il suffit, par exemple, de poser g
md
= 1 et g
d
= 1/ A
d0
pour tablir la
correspondance entre les deux modes de macromodlisation.

Figure 3.19 : Autre macromodle dun AOV

Prise en compte du gain de mode commun
Si on considre le modle de la Figure 3.20, la tension de sortie tant rfrence par
rapport VSMC, avec V
s
= V
1
= (g
md
/g
s
)(V
EP
- V
EM
) + (g
mc
/g
d
)(V
EP
+V
EM
). Il suffit de poser g
mc

= (A
c0
/ A
d0
)g
md
. Pour obtenir avec le formalisme recherch : V
s
= A
d0
(V
EP
- V
EM
) + A
c0
(V
EP
+
V
EM
)/2. En terme de rapport de rjection de mode commun, c'est dire avec
RRMC = |A
d0
/A
c0
|.On posera par exemple : g
md
= 1, gd = 1/A
d0
et g
mc
= 1/RRMC.

Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2009

91

Figure 3.20 : Macromodlisation du gain en mode commun

Prise en compte de la tension de dcalage
Sachant que par dfinition, la tension de dcalage est la tension continue qu'il faut placer
l'entre de l'amplificateur oprationnel pour annuler les erreurs statiques, on vrifie directement
sur le schma de la Figure 3.21, que la tension de sortie tant rfrence par rapport VSMC, une
prise en compte de la tension de dcalage est ralise avec V
s
= A
d0
(V
EP
- V
EM
) E
D0
A
d0
.


Figure 3.21 : Macromodlisation de la tension de dcalge

Prise en compte de la limitation de l'excursion de sortie
La tension d'alimentation d'un amplificateur oprationnel tant videmment limite, la
tension d'excursion en sortie ne peut jamais tre suprieure une valeur V
smax
impose par
l'alimentation haute, et ne peut jamais tre infrieure une valeur V
smin
impose par
l'alimentation basse. La limitation de l'excursion de sortie est donc un phnomne non-linaire
qui peut tre pris en compte par un modle physico-lectrique construit partir d'une diode
"idale" de niveau 1 SPICE et d'une source de tension continue. En effet, une diode tant
modlisable analytiquement dans le sens direct (passant) par I
d
= I
s
(exp(V
d
/nU
T
)-1) et dans le
sens indirect (bloqu) par I
d
= 0. La tension thermique (UT ~ 26mV) et le courant de saturation I
s

tant essentiellement des paramtres technologiques, on constate que, de par la fonction
logarithmique la tension V
d
= nU
T
log((I
d
/I
s
) - 1) est principalement contrlable par son
coefficient d'mission n. Il suffit ainsi de choisir pour ce dernier une valeur nettement infrieure
sa valeur par dfaut de un (typiquement 0,001). Pour simuler un interrupteur command en
tension, on obtient ainsi le macromodle de la Figure 3.22.


Figure 3.22 : Modlisation de l'excursion maximum de sortie

o L'AOV un ple rel
Paramtres d'entre du modle
Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2009

92
Le dispositif est caractris par une rponse en frquence du premier ordre paramtre par
son gain fini A
d0
et sa frquence de transition F
T
(cf. Figure 3.23). C'est un modle petit signal.


Figure 3.23 : Macromodle dun AOV petit signal un ple

Paramtres de synthse du modle
La tension de sortie rfrence par rapport la tension de sortie mode commun VSMC
s'crivant
c
EM EP
d
d
EM EP
d
md
s
w
p
V V
A
p
g
C
V V
g
g
V
+

=
+

=
1 1
0
et F
C
tant la frquence de coupure de l'AOP
avec 1 /
0
=
d T C
A F F .On dtermine pour le modle un jeu possible de paramtres de
synthse avec g
d
= 1/A
d0
, g
md
= 1 et C =
T d
d
F A
A
2
1
1
0
0

.
o L'AOV deux ples rels
Paramtres d'entre du modle
Le dispositif est caractris par une rponse en frquence du deuxime ordre paramtre
par son gain fini A
d0
, sa frquence de transition F
T
et sa marge de phase correspondante MP (voir
Figure 3.24).


Figure 3.24 : Macromodle dun AOV petit signal deux ples

Paramtres de synthse du modle
La tension de sortie rfrence par rapport la tension de sortie de mode commun VSMC
s'crit
|
|

\
|
+
|
|

\
|
+

=
|
|

\
|
+
|
|

\
|
+

=
2 1
0
2 1
2
2
1
1
1 1 1 1
c c
EM EP
d
d d
EM EP
d
m
d
m
s
w
p
w
p
V V
A
p
g
C
p
g
C
V V
g
g
g
g
V .
A partir de l'expression de la marge de phase MP ~ arctg(w
2
/w
T
) et de la dfinition de la
frquence de transition F
T
, 1
1 1
2
2
2
2
1
2
0
=
|
|

\
|
+
|
|

\
|
+
c
T
c
T
d
w
w
w
w
A
et on dtermine la pulsation du ple
Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2009

93
dominant conduisant un jeu de paramtres de synthse donn par : F
2
= F
T
tg(MP), g
m1
= g
m2
=
g
d2
=1, C
1
=
2
2
2
0
1
1
2
F
F
A
F
g
T
d
T
d
+

, C
2
= g
d2
/(2F
T
tan(MP)).

Modlisation des capacits parasites d'entre
Invitablement, tout amplificateur oprationnel prsente sur ses deux entres une capacit
parasite structurelle. Ces deux capacits sont modlises partir du schma de la Figure 3.25. On
notera que celles-ci sont considres par rapport la masse petit signal et non pas entre les deux
entres, elles englobent ainsi la capacit d'entre diffrentielle (multiplie par un facteur 2 de part
le principe du demi-circuit) et d'ventuelles capacits parasites de mode commun.


Figure 3.25 : Macromodle dun AOV avec ses capacits dentre

Modlisation du slew-rate
Le slew rate SR (vitesse limite d'excursion) est un phnomne non-linaire qui peut tre
pris en compte par un modle physico-lectrique construit, comme la limitation de le tension de
sortie, partir de diodes "idales" de niveau 1 SPICE et de sources de tension continues comme
indiqu sur la Figure 3.26. Si on considre le premier circuit diodes idales, modlisant le slew-
rate positif, un courant I
X
< I
XMAX
implique la conduction de la diode D
2
qui agit idalement
comme un court-circuit, l'ensemble D
1
et V
MAX
est un limiteur de tension qui ne conduit que si
V
X
> V
sMAX
. Alors qu'un courant I
X
I
XMAX
implique la conduction de la diode D
1
, tablissant un
courant dans le condensateur de valeur I
C
= I
X1
I
D1
= I
MAX
, avec I
MAX
= C*SR, le courant I
C
est
constant, modlisant ainsi le phnomne du slew-rate. De mme, le circuit constitu des diodes
D
3
et D
4
modlise le slew-rate ngatif.


Figure 3.26 : Modlisation du Slew-rate

Modlisation du bruit
En terme de densit spectrale, un AOP bruit est modlisable par le schma de la Figure
3.27. Ainsi, une modlisation de l'amplificateur oprationnel bruit peut tre ralise si on sait
Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2009

94
gnrer partir d'un simulateur lectrique standard un courant de densit spectrale S
J
et une
tension de densit spectrale S
E
.

Figure 3.27 : Modlisation du schma quivalent dun AOP bruit

Modlisation du bruit thermique
Electriquement, le bruit thermique aux bornes d'une rsistance est modlisable partir
d'une source de courant alatoire de densit spectrale S
in
= 4kTG (o k est la constante de
Boltzmann, T la temprature et G la conductance) en parallle avec une rsistance sans bruit de
valeur R = 1/G. Ainsi, si on dsire gnrer une tension de bruit de densit spectrale S
vn
et un
courant de bruit de densit spectrale S
in
, on peut considrer le modle fonctionnel de la Figure
3.28 avec : R = 4kT/S
inref
, H =
inref vn
S S / ou F =
inref in
S S / .
On notera qu' la temprature ambiante une rsistance de 16.56k gnre une densit spectrale
de bruit S
in
de 10
-24
A/Hz, correspondant un courant efficace i
n
Hz pA/ 1 . Pour une
rsistance de 1k, on obtient v
n
Hz nV / 1 .

Figure 3.28 : Gnration dune tension et dun courant de bruit thermique

Modlisation du bruit en 1/f
Le bruit en 1/f est associ un composant actif. Ainsi, pour une diode, le niveau 1 SPICE
fournit un courant de bruit de densit spectrale : S
in
(f) = KF*I
D0
AF
/f + 2qI
D0
, o KF est le
coefficient du bruit, AF en est l'exposant, q est la charge de l'lectron et I
D0
est le courant de
polarisation de la diode. En se donnant AF = 1, on peut crire : S
in
(f) = 2q I
D0
(1+f
c
/f) avec f
c
=
KF/2q.
Pour un courant de polarisation de la diode dtermin par I
D0
= S
inref
/2q, le coefficient du bruit est
donn par la frquence de coupure du bruit en 1/f, avec KF = 2qf
c
. Ainsi, avec H =
inref vn
S S /
Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2009

95
et F =
inref in
S S / , la gnration d'une tension et d'un courant de bruit ayant une composante en
1/f peut tre assure fonctionnellement par le circuit de la Figure 3.29, le condensateur de blocage
C
b
de trs forte valeur (1F), permet le prlvement du courant de bruit de la diode (par une
sonde), sans perturber sa polarisation. On notera que, contrairement au modle "bruit thermique
rsistif", le modle "diode" ne permet pas la prise de la temprature lors du changement des
conditions de simulation.


Figure 3.29 : Gnration dune tension et dun courant en 1/f

L'amplificateur oprationnel sortie diffrentielle :
La prise en compte d'une sortie diffrentielle est ralise par le schma de la Figure 3.30,
avec : V
sp
= VSMC + VSMC
0
+ V
1
/2 et V
sm
= VSMC + VSMC
0
- V
1
/2. La sortie de mode
diffrentiel V
sd
= V
sp
- V
sm
est gale V
1
et la sortie de mode commun V
sp
= (V
sp
+V
sm
)/2 est
gale VSMC
0
+ VSMC. L'amplificateur oprationnel sortie diffrentielle ainsi macromodlis
est parfaitement quilibr, et la tension VSMC
0
est la tension de sortie rsiduelle de mode
commun.

Figure 3.30 : Modlisation dun amplificateur diffrentiel

Prise en compte des dispersions statistiques
Si la prise en compte des dispersions statistiques sur un paramtre primaire comme la
tension de dcalage est directe, il n'en est pas de mme pour les diffrentes spcifications
frquencielles qui sont formellement lies. Ainsi, une variation sur le gain statique doit conduire
une variation dans le sens inverse sur la frquence de coupure puisque les deux caractristiques
dpendent d'une mme conductance. De part le formalisme utilis pour la dtermination des
composants des macromodles un ple et deux ples, une prise en compte "comportementale"
statistique des principaux indices de performance frquenciels peut tre ralise directement sur
le paramtre g
d
du macromodle un ple et sur le paramtre g
d1
du macromodle deux ples.

Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2009

96
- L'amplificateur oprationnel de transconductance :
L'amplificateur oprationnel de transconductance (AOT pour Operational
Tranconductance Amplifier) est l'amplificateur oprationnel le plus couramment rencontr en
microlecronique. En effet, dans un environnement "haute impdance", la rsistance de sortie de
l'amplificateur peut tre galement grande et ainsi permettre une simplification notable de son
architecture. L'exemple typique, est lorsque l'environnement est de type capacits commutes,
c'est dire purement capacitif, o l'impdance de sortie du dispositif actif peut tre thoriquement
infinie. La prise en compte d'une sortie haute impdance permet de modliser deux
caractristiques importantes. D'une part un mode de fonctionnement particulier pour les circuits
capacits commutes, avec des discontinuits en rgime transitoire sur les fronts d'horloge.
D'autre part une dpendance fonctionnelle des principaux indices de performance avec
l'environnement extrieur principalement fixe par le nombre de nuds haute impdance prsent
par lamplificateur.

o L'OTA un nud haute impdance
L'OTA gain statique fini
L'OTA gain statique fini de la Figure 3.31 est simplement caractris par un gain A
d0
=
g
m
/(g
s
+ G
l
), prenant en compte la conductance de sortie g
s
et l'effet de charge statique procur
par G
l
.


Figure 3.31 : OTA gain statique fini

L'OTA un ple rel
Paramtres d'entre du modle
Le dispositif est caractris par une rponse en frquence du premier ordre paramtre par
son gain fini A
d0
, sa frquence de transition F
T
, sa conductance de charge G
l
et sa capacit de
charge C
l
(voir Figure 3.32).


Figure 3.32 : OTA ple rel

Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2009

97
Paramtres de synthse du modle
La tension de sortie rfrence par rapport la tension de sortie mode commun VSMC
s'crivant
c
EM EP
d
l s
l
EM EP
l s
m
s
w
p
V V
A
p
G g
C
V V
G g
g
V
+

=
+
+

+
=
1
1
0
et
1
2
0

=
d
T
c
A
f
f tant la frquence de
coupure de l'AOP, on dtermine pour le modle, un jeu de paramtres de synthse avec :
l l
d
T
s
G C
A
f
g

=
1
2
2
0

et ( )
l s d m
G g A g + =
0
.
On notera que pour une conductance de sortie G
l
diffrente de zro, la conductance de charge g
s

devant tre positive, il y a une contrainte sur la valeur basse de la frquence de coupure, c'est une
caractristique de l'OTA qui a pour vocation de travailler dans un environnement "fortement"
capacitif.

L'OTA deux ples rels
Paramtres d'entre du modle
L'amplificateur oprationnel est caractris par une rponse en frquence du deuxime
ordre paramtre par son gain fini A
d0
, sa frquence de transition F
T
, sa marge de phase MP, sa
conductance de charge G
l
et par sa capacit de charge C
l
(cf. Figure 3.33).

Figure 3.33 : OTA deux ples rels

Paramtres de synthse du modle
Comme pour l'AOV correspondant, le ple non dominant est fix par la marge de phase et
la frquence de transition avec w
2
= g
d2
/C
2
= w
T
tan(MP), alors que le gain statique est donn par
( )
l s ds
m m
d
G g g
g g
A
+
=
2
2 1
0
et que le ple dominant dpendant de la charge est donn par
2
2 0
1
1
w
w
A
w
C
G g
w
T
d
T
l
l s
+ =
+
= . On dtermine ainsi un jeu de paramtres de synthse avec
l
T
d
T
l s
G
w
w
A
w
C g + =
2
2
2
0
1 , ( )
l s d d m m
G g A g g g + = = =
0 2 2
et
) tan(
2
2
MP w
g
C
T
d
= .
On notera que d'une part, comme l'OTA un ple, pour une conductance de sortie G
l
diffrente
de zro, la conductance de charge g
s
devant tre positive, il y a une contrainte sur la valeur basse
de la frquence de coupure, et que d'autre part toute augmentation de la capacit de charge
produit une augmentation de la marge de phase. Ceci est une caractristique essentielle des
dispositifs actifs un nud haute impdance.

Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2009

98
Prise en compte des autres non-idalits
La modlisation du gain de mode commun, de la tension de dcalage, de l'excursion de
sortie, des capacits d'entres, du slew-rate et du bruit est identique celle de l'amplificateur
oprationnel mode tension. Quant aux dispersions statisques sur le gain statique et sur les indices
de performance frquenciels, elles peuvent tre simplement prises en compte (partiellement
comme le "comportement" l'exige) par la conductance de sortie g
s
.

Remarques : Les OTA deux nuds haute impdance sont principalement caractriss par le
fait que toute augmentation de la capacit de charge produit une diminution de la
marge de phase. Ceci est du la structure deux tages et l'action de la capacit
de compensation qui permet de gnrer le ple non dominant par l'tage de sortie.
Les caractristiques en frquence tant fortement dpendantes du type de
compensation interne, il est difficile de concevoir un macromodle gnrique.

- Transformateur parfait :
o Le transformateur idal
Le transformateur idal ou parfait est une conceptualisation du transformateur inductif
rel, valable pour tous les types d'analyse utiliss en simulation, l'alternatif, le transitoire et
surtout le statique. De part son jeu d'quations de fonctionnement constitu du couple V
2
= nV
1
et
I
2
= - I
1
/n. Il est modlisable par une source de tension et une source de courant contrles
comme indiqu sur la Figure 3.34.


Figure 3.34 : Symbole et modlisation dun transformateur idal

o Le transformateur diffrentiel parfait de tension
Lorsque l'on dsire caractriser un amplificateur diffrentiel, en termes de performances
lectriques, il est impratif de le caractriser pour ses proprits de mode diffrentiel et de mode
commun, mais galement en fonction de ses gains de conversion traduisant le couplage entre les
deux modes principaux. Pour ce faire, pratiquement on utilise le transformateur diffrentiel ou
"balun" de la Figure 3.35.
Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2009

99

Figure 3.35 : Symbole dun transformateur diffrentiel

Si on considre les quations fondamentales du transformateur idal, on peut crire pour le
transformateur diffrentiel V
D
= 2(V
1
V
C
) et V
D
= 2(V
C
V
2
). Soit V
D
= V
1
V
2
et V
C
= (V
1
+
V
2
)/2 ou V
1
= V
D
/2 + V
C
et V
2
= -V
D
/2 + V
C
. Le transformateur diffrentiel est donc un dispositif
bidirectionnel qui convertit deux tensions assymtriques V
1
et V
2
en une composante de mode
diffrentielle V
D
et une composante de mode commun V
C
, et inversement, qui convertit un couple
de tension de mode diffrentielle et de mode commun en un couple de tensions assymtriques. En
terme de macromodle comportementale, en condrant le modle du transformateur idal de la
Figure 3.34, en tension, on peut le reprsenter par le schma quivalent lectrique de la Figure
3.36.

Figure 3.36 : Macromodle dun transformateur differentiel de tension
En simulation, ce macromodle peut tre utilis pour effectuer directement, c'est dire sans
opration mathmatique sur les diffrents signaux, la mesure des principales fonctions de rseaux
des amplificateurs diffrentiels de tension.
Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2009

100

o Le transformateur diffrentiel parfait de courant
L'criture des courants aux accs montre que le dispositif prcdent n'est pas adapt pour
le transfert en courant. On vrifie facilement que la sonde diffrentielle de courant de la Figure
X.21 convertit deux courants asymtriques I
1
et I
2
en une composante de mode diffrentielle I
D
et
une composante de mode commun I
C
telles que I
D
= I
1
I
2
et I
C
= (I
1
+ i
2
)/2 et I
1
= I
D
/2 + I
C
et I
2

= -I
D
/2 + I
C
.


Figure 3.37 : La sonde diffrentielle de courant et sa reprsentation sources contrles
Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2009

101
4 Quelques exemples :

Voici quelques exemples de circuits simuls sous MicromSim avec une brve description
de la procdure suivre dans chacun des cas.

4.1. Pont rsistif :

On se propose de raliser le schma suivant de la figure 70 et de faire une analyse du point de
fonctionnement.


Figure 4.1 : Pont diviseur

Lancer l'diteur de schma Schematics et raliser le schma.
Rsistances ( R ) :
Cliquer sur l'icne (quivalent de Draw >> Get New Part ou CTRL + G). Cliquer
sur Libraries et choisir la bibliothque Analog.slb dans la fentre Library puis R dans la fentre
Part ou bien taper R dans la fentre PartName. Cliquer sur OK puis sur Close. Placer la
premire rsistance en cliquant sur le bouton gauche de la souris. Placer la seconde rsistance en
position horizontale pour l'instant. Pour quitter le symbole rsistance, cliquer sur le bouton droit
de la souris. Pour faire tourner la seconde rsistance, cliquer dessus, avec le bouton gauche, pour
la slectionner puis soit faire CTRL + R, soit ouvrir Edit >> Rotate.
Source de tension continue (VDC) :
Procder de la mme manire que pour les rsistances mais en choisissant, dans la
bibliothque source.slb, le composant VDC.
La masse (GND_ANALOG) :
Procder de la mme manire que pour les rsistances mais en choisissant, dans la
bibliothque ports.slb, le composant GND_ANALOG.

Remarques : - Vous pouvez utiliser avec profit les diffrents symboles de loupe . Celui-ci
permet d'afficher l'cran la totalit du schma tout en le centrant.
Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2009

102
- Pour dplacer un composant, on le slectionne en cliquant dessus avec le bouton
gauche, et on le dplace en maintenant le bouton enfonc.
- Pour supprimer un composant, aprs l'avoir slectionn :
Soit presser sur Suppr ;
Soit ouvrir Draw >> Delete.
- Pour redessiner le schma : soit cliquer sur l'icne, , soit faire CTRL + L ou
ouvrir View >> Redraw.
Relier les composants entre eux :
Cliquer sur l'icne (quivalent de Draw >> Wire ou Control W). Amener la pointe
du crayon sur l'extrmit suprieure de la source de tension, cliquer sur le bouton gauche et
dplacer la souris, sans maintenir le bouton enfonc, jusqu' l'extrmit gauche de la premire
rsistance, cliquer nouveau sur le bouton gauche. Cliquer maintenant sur l'extrmit droite de la
rsistance et procder de la mme manire pour la relier la seconde rsistance. Pour quitter le
mode fil, cliquer sur le bouton droit de la souris.
Attribuer des valeurs aux composants :
Actuellement, la tension continue de la source VDC (nomme V1 sur la figure 70) vaut
zro volt. Pour la modifier, cliquer deux fois sur sa valeur : une fentre Set Attribute Value
souvre. Remplacer 0V par 10V et cliquer sur OK. De la mme faon, on peut modifier les
valeurs des rsistances.
Placer des appareils de mesure :
Voltmtre continu :
Slectionner, dans la bibliothque Special.slb, l'lment VIEWPOINT. Placer le voltmtre
entre les deux rsistances.
Ampremtre continu :
Slectionner, dans la bibliothque Special.slb, l'lment IPROBE. Le placer en srie avec
R2. Terminer avec le fil reliant l'ampremtre la source.
Sauvegarder le travail en cliquant sur l'icne de disquette :

Paramtrer la simulation :
Slectionner l'icne (quivalent de Analysis >> SetUp). Cocher la case Bias
Point Detail pour calculer le point de repos. Cliquer sur Close.

Remarque : Le fait de cocher la case ajoute des points de calcul, mais le point de repos est
toujours calcul lorsquon effectue une simulation quelquonque.
Lancer la simulation :
Cliquer sur l'icne (quivalent de Analysis >> Simulate ou de F11). La fentre du
simulateur PSpice s'ouvre pendant la simulation. Fermer ventuellement cette fentre la fin de
la simulation.
Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2009

103
Visualiser les valeurs des tensions et intensits continues du schma :
Si dans le menu Analysis, si Display Results on Schmatic est Enable, les potentiels
des noeuds et les intensits des courants dans les composants peuvent safficher
automatiquement.
On peut se limiter laffichage des tensions en cliquant sur licne ou en validant
Enable Voltage Display dans Analysis >> Display Results on Schmatic. On peut aussi se
limiter laffichage des intensits en cliquant sur licne ou en validant Enable Current
Display dans Analysis >> Display Results on Schmatic. On peut, en cliquant sur un noeud
valider ou non laffichage de son potentiel en cliquant sur licne . On peut, en cliquant sur un
composant valider ou non laffichage de lintensit du courant qui le traverse en cliquant sur
licne .
Examiner la liste des nuds du circuit :
En ouvrant Analysis >> Examine Netlist, on obtient :

* Schematics Netlist *

R_R1 $N_0002 $N_0001 1k
V_V1 $N_0002 0 10V
R_R2 $N_0003 $N_0001 1k
v_V2 $N_0003 0 0

ce qui rend compte des diffrents objets utiliss et des liaisons entre eux.

Remarques : - Les numros des nuds sont prcds de $N.
- La masse est ici considre comme une source de tension de 0V.
Examiner le fichier de sortie :
En ouvrant Analysis >> Examine Output, on obtient des informations sur les diffrents
fichiers ncessaires la simulation et laffichage des rsultats. Cest galement dans ce fichier
que lon trouvera la liste des ventuelles erreurs.

Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2009

104
4.2. Circuit RC en rgime transitoire :

Dans le menu File cliquer sur New et dessiner le schma suivant :



Figure 4.2 : Circut RC

La source de tension VPULSE se trouve dans
la bibliothque Source.lsb. On attribuera les valeurs
suivantes la source : V1 = 0 ; V2 = 10 ; TD = 0 ;
TR = 0 ; TF = 0 ; PW = 1m ; PER = 2 m.
Placer des tiquettes (E et S) en cliquant sur un
fil et en ouvrant Edit >> Label (ou CTRL + E).
Les voltmtres s'obtiennent en cliquant sur
licne ou en ouvrant : Markers >> Mark
Voltage >> Level, ou encore en faisant CTRL + M.
On peut choisir la couleur de la trace en cliquant sur
Marker color. L'ampremtre sobtient en en cliquant
sur ou en ouvrant : Markers >> Mark Current
into Pin.
Paramtrer ensuite la simulation en cochant la
case Transient et en cliquant sur Transient dans la
figure 72. Choisir le pas d'affichage, 5s, en crivant
5u dans Print Step et la dure de la simulation, 2ms,
en crivant 2m dans Final Time. Cliquer sur OK,
puis sur Close.
Sauver le schma et lancer la simulation (F11).
Une fentre de rsultats (PROBE) s'ouvre :


Figure 4.3 : Paramtres de lanalyse
transitoire
Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2009

105


Figure 4.4 : Rsultat de lanalyse transitoire du filtre RC

Les courbes de tension sont affiches mais, attention, la courbe du courant n'est pas visible car
l'chelle de l'axe des ordonnes n'est pas adapte.
Des curseurs peuvent tre utiliss pour effectuer des mesures sur les courbes en cliquant
sur l'icne (quivalent de Tools >> Cursor >> Display ou CTRL + SHIFT + C). Deux
curseurs sont disponibles (l'un associ au bouton gauche, l'autre au bouton droit de la souris).
Cela permet d'obtenir les coordonnes de deux points et leur diffrence. On peut choisir la (ou
les) courbe(s) sur laquelle on effectue les mesures en cliquant sur le symbole de mme
couleur situ sous l'axe des abscisses (un carr vert pour V(E) ci-dessus) avec le bouton gauche
ou droit.
Quantifier, par exemple, le temps coul entre 0 et 63% de la valeur finale de la tension de
sortie. Le comparer la constante de temps du circuit.

Le menu Tools >> Cursor et les boutons de la barre d'outils offrent de nombreuses
options pour le curseur :
Pour visualiser le courant :

Ouvrir Plot >> Axis Settings ;
Cocher User Defined ;
Ecrire - 1mA et 1mA dans les deux fentres situes en dessous.

On peut galement liminer les courbes de tension en cliquant sur leur nom (en dessous de l'axe
des abscisses, gauche) et en appuyant sur Suppr. On les rtablira en ouvrant Trace >> Add ou
en cliquant sur et en slectionnant dans la liste les courbes prcdentes (V(E) et V(S)).
Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2009

106

Les mthodes ci-dessus ne permettent pas de visualiser simultanment lintensit du
courant et les tensions dentre et de sortie. Pour y parvenir, il est ncessaire de crer un second
axe vertical : Les trois traces tant prsentes, supprimons la trace correspondant lintensit du
courant (on peut galement supprimer la sonde de courant dans Schematics) :

Suprimer dabord I(C1) ;
Ouvrir Plot >> Add Y Axis : un second axe vertical (de numro 2) est ajout gauche de
la fentre ;
Ouvrir Plot >> Y Axis Settings, et complter la boite de dialogue ci-dessous ;
Slectionner dans Y Axis Number le premier axe et prciser dans Axis title quil sagit
dun axe de tensions en crivant U, terminer en cliquant sur OK.
Ouvrir Trace >> Add et choisir I(R1)


Figure 4.5 : Changement sur les axes des ordonnes
Remarques : - Les crans prcdents obtenus en mode VGA (640X480) ne permettaient pas de
visualiser lensemble des boutons de commande, en SVGA (800X600), ce nest
plus le cas.
- Les couleurs, en particulier la couleur de fond de lcran peut tre modifie en
ouvrant le fichier msim_evl.ini et en remplaant les paramtres de Probe display
colors notamment Background et Foreground.

On se propose maintenant de faire une analyse de Fourier des signaux prcdents, pour
cela, ouvrir Trace >> Fourier ou cliquer sur l'icne . Le spectre d'amplitude apparat
l'cran :

Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2009

107

Figure 4.6 : Rsultats de simulation



Figure 4.7 : FFT des rsultats de la Figure 4.6

Pour une meilleure observation, on pourra modifier l'chelle des abscisses en ouvrant Plot
>> XAxis Settings :

cocher la case User Defined ;
dfinir les frquences minimale et maximale d'observation (0 et 10 kHz dans la figure 77).

Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2009

108

Figure 4.8 : Changement de laxe des x

Le spectre a alors l'allure de la figure 4.9 ci-dessous :



Figure 4.9 : FFT largi sur laxe des x de la Figure 4.7

La largeur des raies des spectres est manifestement trop importante. Cela est d au fait que nous
n'avons observ qu'une priode du signal, la largeur d'une raie tant gale 2f (f = frquence des
signaux), soit ici 1kHz. Pour la diminuer, il faut donc augmenter la dure d'observation (Final
time). En faisant passer Final Time 20 ms, par exemple, la largeur de raie sera 10 fois plus
faible c'est dire 100 Hz. Le spectre du signal d'entre prend alors l'allure de la figure 4.10.

Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2009

109


Figure 4.10 : FFT avec une dure dobservation plus adapte

Avec les curseurs, on pourra vrifier la dcomposition spectrale du signal rectangulaire
(frquence et amplitude).
e t
V V
t t t ( ) sin( ) sin( ) sin( ) ... = + + + +

(
2 2
2
2
1
3
3
1
5
5


si V
2
est l'amplitude de e(t).
Le bouton d'icne permet de passer facilement au maximum suivant. Placer laide
de licne des tiquettes indiquant les coordonnes du curseur sur diffrentes raies du
spectre.
On peut revenir aux signaux en fonction du temps en ouvrant Trace >> End Fourier ou
en cliquant nouveau sur licne .

Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2009

110
4.3. Circuit RC en rgime sinusodal :

Remplacer la source prcdente par la source VAC. Fixer l'amplitude de la composante
alternative 10 V.



Figure 4.11

Pour obtenir la tension de sortie en dcibels choisir le voltmtre vdb dans Markers >>
Mark Advanced. La phase peut galement tre trace en choisissant vphase.
Paramtrer la simulation en cochant la case AC Sweep (cf. Figure 4.12) et en choisissant
les frquences minimale et maximale, le type de balayage ainsi que le nombre de points par
dcade comme indiqu dans la figure 82 (qui peut tre choisi plus faible).



Figure 4.12 : Type de simulation choisie


Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2009

111


Figure 4.13 : Paramtre de la simulation AC dsire

On obtient les tracs de Bode ci-dessous :



Figure 4.14 : Diagramme de Bode obtenu partir de lanalyse AC

L'chelle des ordonnes est commune l'amplitude (exprime en dB) et la phase du signal de
sortie (exprime en degrs).

Pour obtenir le gain du montage et sparer les deux courbes, on peut procder de la
manire suivante :

Ouvrir Window >> New : une nouvelle fentre s'ouvre ;
Ouvrir Trace >> Add et dans la fentre Trace Expression, crire VDB(S)-VDB(E)
puis cliquer sur OK : le gain du montage est reprsent dans la nouvelle fentre ;
Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2009

112
Ouvrir Window >> Tile Horizontal : les deux fentres sont places l'une au dessus de
l'autre ;
Cliquer sur la fentre infrieure puis sur VDB(S) et appuyer sur la touche Suppr pour
supprimer cette courbe.

Les deux fentres portent le nom du fichier enregistr. Pour le modifier, ouvrir Window >> Title
et proposer un nouveau titre, par exemple "Phase(degrs)" et cliquer sur OK. Procder de mme
pour le gain en slectionnant la courbe de gain.
Les deux fentres visualises simultanment ne permettent pas d'effectuer des mesures
prcises. Pour visualiser une seule des deux fentres il suffit de cliquer deux fois dessus. On
pourra, en s'aidant des curseurs mesurer la frquence de coupure -3dB, la phase cette
frquence et la pente de l'asymptote oblique du gain aux frquences trs suprieures la
frquence de coupure.



Figure 4.15 : Diagramme de Bode sur fentre spar

Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2009

113
4.4. Analyse paramtrique dun circuit RLC :

On se propose de faire varier une grandeur dans un circuit : la rsistance d'un circuit
rsonant RLC qui prendra successivement les valeurs 50, 100, 200, 400, 800.
Dessiner le schma suivant en gardant la mme source VPULSE que dans lexemple
prcdent :



Figure 4.16 : Circuit RLC

Cliquer sur la valeur de R1 pour remplacer sa valeur numrique par une variable (ici
Rvar) place entre accolades comme sur la Figure 4.17. Choisir, dans la bibliothque Special.slb,
l'lment PARAM. Une fois en place, cliquer sur PARAMETERS pour introduire les
paramtres. On compltera les cases Value de NAME1 et de Value1 (voir figure 4.17).



Figure 4.17 : Valeur {RVAR} attribue la rsistance R



Figure 4.18 : Dfinition de RVAR si lanalyse paramtrique nest pas effectu
Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2009

114
Dans Analysis >> Setup, cocher Transient et cliquer dessus. Compte tenu des valeurs
numriques de L et C on choisit une dure d'observation de 0,5ms.




Figure 4.19 : Dfinition de lanalyse transitoire simuler

Dans Analysis >> Setup, cocher Parametric et complter les cases comme indiqu ci-
dessous :



Figure 4.20 : Dfinition des valeurs que va prendre RVAR succssivement

Attention : Dans la Figure 4.20, la dernire valeur de la fentre Values est 800 (et non pas
80 !!).
Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2009

115

Lancer la simulation. La fentre Available Section s'ouvre : rpondre OK.



Figure 4.21 : Premiere fentre de rsultat de lanalyse paramtrique

Remarque : On peut supprimer une (ou des) courbe(s) l'affichage en cliquant sur la ligne la
concernant pour la dslectionner.



Figure 4.22 : Rsultat de lanalyse transitoire pour diffrentes valeur de R

On constate que le nombre de points de mesures est insuffisant, ce qui se traduit par un
aspect segment des courbes.
En cliquant sur le carr vert en dessous de l'axe des abscisses on obtient les caractristiques de la
courbe verte. Le nombre de points de calcul est gal 75 et 87 points sont affichs (cf. Figure
4.23).

Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2009

116


Figure 4.23 : Information sur lune des courbes affiches

Pour amliorer la prcision d'un calcul ou de l'affichage, on peut imposer un pas maximal de
calcul en ajoutant dans le paramtrage de Transient (Analysis >> SetUp du logiciel
Schematics) une valeur de Step Ceiling (pas plafond). En introduisant une valeur de 1s, on
obtient une nette amlioration.
Le nombre de points de calcul est pass 518 et le nombre de points affichs 522, ce qui
amliore la prcision des calculs et la qualit des courbes.



Figure 4.24 : Information sur lune des courbes affiches


Explication : - Durant une simulation analogique, le simulateur ajuste le pas interne de calcul
afin de maintenir une prcision suffisante mais en vitant les calculs inutiles
lorsque le signal prsente peu de variations. Par dfaut, le pas interne maximum est
choisi gal 2% de la dure totale (Final time). Cette valeur peut tre modifie en
spcifiant un autre pas maximum (Step Ceiling).
D'aprs la documentation de Pspice la valeur de Print Step n'a pas d'action sur le
fichier de Probe. L'exprience montre que ce n'est pas le cas. Pour s'en convaincre,
il suffit de modifier sa valeur actuelle et de la porter 100us par exemple.
En conclusion pour obtenir de bons rsultats, il est prfrable de choisir Step
Ceiling et Print Step faibles, par exemple gaux Final time/500
- Pour ajouter des commentaires (Text), des lignes (Line), des polygones ouverts
ou ferms (Poly-line), des flches (Arrow), des rectangles (Box), des cercles
(Circle), des ellipses (Ellipse), on ouvrira le menu Tools >> Label. Du texte peut
Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2009

117
galement tre ajout en cliquant sur l'icne . Toutefois, il est noter que
suivant la version employer, ces fonctions ne sont pas toujours possibles (Tools >>
Label non prsent sous Orcad PSpice 9.2 mais prsent sur Microsim PSpice 8).

Enfin, pour visualiser une ou plusieurs courbes paramtres, voici la procdure :

Cliquer sur V(S) en bas de lcran ;
Appuyer sur la touche Suppr du clavier : les courbes disparaissent ;
Ouvrir Trace >> Add ;
Spcifier V(S)@1 V(S)@5 dans Trace Expression pour observer les deux courbes
extrmes (correspondant Rmin et Rmax).


Figure 4.25 : Rsultat de lanalyse transitoire pour 2 valeurs particulire de R

Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2009

118
4.5. Simulation de composants actifs :

Les composants actifs ncessitent une ou plusieurs alimentations. Celles-ci (VDC)
peuvent tre relies directement aux bornes d'alimentation du composant mais cela surcharge le
schma inutilement. On prfre associer chaque alimentation une bulle (Bubble dans la
bibliothque Port.slb) et associer chacune d'elles une tiquette (+Vcc, par exemple) en cliquant
dessus. On fait de mme du ct du composant. Une liaison lectrique invisible est alors ralise.
Effectuer une simulation sur le montage ci-dessous en rglant l'amplitude de la tension
d'entre 2V et sa frquence 1kHz. Choisir correctement les paramtres de l'analyse transitoire.

Figure 4.26 : Amplificateur AOP

Les rsultats concident avec ce que l'on pouvait esprer : montage inverseur et saturation du
signal de sortie lorsque l'amplitude dpasse 14,6V.



Figure 4.27 : Rsultat de simulation de lanalyse temporelle
Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2009

119
Si l'on rgle le paramtre AC de la source Vsin 2V et que lon ralise une analyse harmonique,
on constate que la tension de sortie atteint une amplitude 20V en basse frquence : l'analyse
harmonique ne tient pas compte des tensions de saturation !



Figure 4.28 : Rsultat de lanalyse alternative petit signal

Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2009

120
4.6. Caractristiques de composants :

4.6.i. Diode Zener :

On se propose de tracer la caractristique Vz(Iz)
dune diode zener. Dessiner le schma de la Figure 4.29.
Dans Analysis >> Setup, cocher DCSweep puis cliquer sur
DCSweep.
Complter ensuite les cases de la fentre de la Figure 4.30.
Cocher :
- Current Source ;
- Decade.
Puis, remplir :
Name : I1 (nom de la source de courant) ;
Start Value : 10u (valeur minimale de
lintensit du courant) ;
End Value : 10m (valeur maximale de
lintensit du courant) ;
Pts/Decade : 20 (nombre de points par
dcade).

Lancer la simulation : on obtient la caractristique Vz
en fonction de Iz.

4.6.ii. Transistor effet de champ :
Caractristique I
DS
(V
GS
) :
Dessiner le schma ci-dessous. Les sources
sont du type VSRC.




Figure 4.29 : Circuit de dessin de la
caractristique statique dune diode


Figure 4.30 : Paramtres de simulation
utiliss



Figure 4.32 : Circuit de dessin de la caractristique statique dunTEC

Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2009

121

Caractristique I
DS
(V
DS
) :
Pour tracer le rseau de caractristiques I
DS
(V
DS
) pour diffrentes valeurs de V
GS
, il faut
effectuer deux balayages en tension : V
DS
et V
GS.




Figure 4.34 : Suite des paramtres de simulation utiliss



Figure 4.33 : Paramtres de simulation utiliss

Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2009

122
On procde en deux tapes :

Un balayage linaire de V
DS
, entre 0 et 10V, par pas de 0,1V ;
Un balayage linaire de V
GS
, entre 0 et -3V, par pas de -0,5V.

Pour cela on :

Ouvrira Analysis >> Setup ;
Cochera DC Sweep ;
Cliquera sur DC Sweep ;
Remplira la fentre DC Sweep ;
Cliquera sur Nested Sweep ;
Remplira la fentre Nested DC Sweep et cocher Enable Nested Sweep comme sur la
Figure 4.35;
Fermera les fentres en cliquant sur OK et Close.


Figure 4.35 : Suite des paramtres de simulation utiliss
Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2009

123


Figure 4.36 : Rsultat de simulation
Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2009

124
4.7. Calcul du bruit gnr par des composants :

On sintressera au bruit gnr par une diode zener polarise par une source de tension
continue de 10 V et une rsistance de 100 k.
Dessiner le schma ci-dessous o V1 est une source VAC avec DC = 10V.

Figure 4.37 : Circuit diode

Ouvrir Analysis >> Setup et cocher AC Sweep et cliquer sur AC Sweep. Puis, procder
aux rglages ci-contre.

Type de balayage : Decade
Pts/Decade : 101
Start Freq : 10
End Freq : 500k
Noise Enabled : coch
Output Voltage : V(S)
I/V : V1
Interval : ne pas remplir

Lancer la simulation.
Dans PROBE, ouvrir Trace >> Add et
slectionner V(ONOISE). On obtient laffichage de
la densit spectrale de tension de bruit en sortie (en
V/(Hz)
1/2
), en fonction de la frquence. On pourra
remarquer quen augmentant la valeur de R, la
tension de bruit augmente tandis que la frquence
de coupure diminue comme on pouvait sy attendre (la tension de bruit dans une rsistance tant
proportionnelle la racine carre de sa valeur et les capacits parasites de la diode associes la
rsistance formant un filtre passe-bas).
Pour plus de renseignements sur le calcul des densits spectrales de puissance et de
tension de bruit, vous pouvez obtenir laide en ligne en ouvrant : Help >> Search For Help On
et en recherchant AC Analysis ou encore en ouvrant Help >> Schematics Users Guide.


Figure 4.38 : Paramtre de simulation
Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2009

125
4.8. Simulation de composants numriques :

On se propose de raliser un compteur par 4 asynchrone laide de bascules JK (de type
74107, dont le fonctionnement normal a lieu quand CLR est ltat bas). Le changement dtats
se fait sur fronts montants. Les inverseurs sont de type 7404. La frquence dhorloge est de
10kHz.



Figure 4.39 : Circuit numrique simul

Configurer la simulation comme prsente dans les figures 4.40 et 4.41.



Figure 4.40 : Paramtre dinitialisation des composants numriques


Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2009

126


Figure 4.41 : Paramtres de simulation

Lancez ensuite la simulation et observez les signaux Q0 et Q1. Comme sur la figure 4.42,
on doit voir se raliser un compteur par 4 : soit Q0 Q1 prennent successivement les valeurs 00,
01, 10,11, ...



Figure 4.42 : Rsultat de simulation
Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2009

127
4.9. Simulations statistiques Mont-Carlo et Worst-case

Lorsquon construit un quipement en srie, les performances obtenues ainsi que les
points de polarisation des composants peuvent varier normment dun composant lautre
cause des dispersions des valeurs des lments. Si une grandeur est une fonction simple dune
autre (par exemple la tension de collecteur dun transistor bipolaire en fonction du de ce
dernier), il est facile de calculer la dispersion des valeurs de V
CE
si lon connat la loi de
rpartition des (par exemple, une loi gaussienne centre sur 200 avec une variance de 10, soit
5%). Par contre, lorsque le nombre dlments du circuit simuler croit et que la relation
mathmatique devient complexe, ce calcul peut se rvler trs difficile, voir mme impossible.
On fait alors appel des mthodes statiques telles que lanalyse Mont-Carlo et pire-cas (worst-
case).

4.9.i. Analyse Mont-Carlo :

Cette analyse consiste effectuer de nombreuses simulations du circuit complet en
prenant pour chacune des valeurs tires au hasard, compte tenu des lois de dispersion connues des
composants (ou plutt de leurs paramtres).
Aussi, avant tout lancement dune analyse Mont-Carlo, des tolrances doivent tre
introduites dans les modles utiliss lors de la simulation. Dans les versions DOS, pour une
rsistance, on introduit dans la netlist la ligne de commande suivante :

.MODEL RTYPE1 RES(R=1 DEV=10%)

o RTYPE1 = Nom dun type de rsistance,
RES = Nom du modle des rsistances en gnral,
DEV
20
= Dviation en %. Il est noter que, sans prcision, la rpartition est uniforme et
quen crivant DEV/GAUSS, la rpartition sera gaussienne (DEV est alors ).

Dans les versions Windows, la commande est semblable partir de la boite ouverte par Edit
Attibute.

Pour illustrer cela, prenons lexemple dun amplificateur HF transistor bipolaire de la
Figure 4.43 et tudions la simple influence de la valeur du condensateur daccord sur le gain.
Pour cela, activons dabord le condensateur C3 en cliquant dessus (il devient rouge) puis faire
Edit Attibute. La fentre de la Figure 4.44 souvre alors et introduisons la valeur 10% dans le
champ TOLERANCE, puis faire OK Il suffit ensuite de programmer lanalyse Mont-Carlo par
Analysis Setup Monte-Carlo.
Dans la fentre correspondant la Figure 4.45, choisir les options suivantes :
- Analysis = Mont-Carlo,
- MC Runs = 10, cest le nombre danalyse qui vont tre effectues avec des valeurs
alatoires (de 10 100),
- Output Var = V(out),
- Analyse Type = AC, mais toute autre analyse est possible,
- Function = Concerne les donnes qui seront crites dans le fichier .OUT (fichier texte).
Pour rduire le volume des rsultats, on peut ne noter, dans ce fichier, que lcart

20
Il existe une seconde commande LOT qui est lcart maximal de la valeur centrale dun lot de composants par
rapport la valeur nominale. Les deux carts sajoutent (val = val nom a DEV b LOT, o a et b sont les
coefficients alatoires compris entre 0 et 1 et o LOT et DEV sont exprims en %).
Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2009

128
maximal, avec la valeur nominale par YMAX, la valeur MAX ou MIN, ou bien combien de
fois un rsultat a t trouv suprieur une zone dfinie par Range Lo, Range Hi + RISE
ou infrieur cette zone par Range Lo, Range Hi + FALL.
- MC Options = Permet de choisir le nombre de courbes qui seront affiches lcran :
None pour aucune, All pour toutes, First n pour les n premires (o n est un entier positif),
Every n pour toutes les n, Runs pour celles qui seront prcises par leur numro sous
forme de liste dans la partie gauche adjointe.

Ensuite, il faut bien sur dfinir lanalyse que nous dsirons effectuer. Ici, il sagira dune
analyse AC, soit Analysis Setup AC sweep et dfinir, par exemple, une zone danalyse
allant de 100kHz 200kHz avec 101 points par dcade. Et, enfin lancer la simulation.
Vous pouvez voir le rsultat obtenu travers la Figure 4.46 par le module PROBE. On
notera que C3 influence de manire non-ngligeable la frquence o le gain est maximum mais
ninflue pas la valeur de celui-ci.


Figure 4.43 : Circuit transistor bipolaire


Figure 4.44 : Dfinition de la tolrance de
C3

Figure 4.45 : Rsultat de simulation

La mme mthode peut tre applique aux lments actifs. Etudions, par exemple,
linfluence du gain interne du transistor. Pour cela, supprimons dabord la tolrance de 10% sur la
capacit C3, puis slectionnons le transistor (qui doit apparatre en rouge) et faire Edit Model
Edit Instance Model (text). Dans la liste des paramtres qui apparat, il faut alors modifier la
ligne Bf = 255.6 par Bf = 255.6 DEV 10% et cliquer sur OK. Ainsi, une tolrance derreur de
10% a t introduite sur le bta du transistor. Il suffit alors (si ncessaire) de re-paramtrer
lanalyse comme prcdemment et lancer lanalyse afin de visualiser le rsultat (cf. Figure 4.46).
Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2009

129
On notera que Bf ninflue quassez peu sur le gain du montage (de part la prsence de la
rsistance dmetteur R11 sur la Figure 4.43).
Pour conclure, dans lexemple prcdent, un seul composant et un seul paramtre ntait
considr comme variable mais, gnralement, ce sont tous les composants dun circuit qui
ont des tolrances et il faut dfinir la tolrance de ces diffrents paramtres avant toute analyse.


Figure 4.46: Rsultat de simulation


4.9.ii. Analyse Worst-case :

Lanalyse Worst-case correspond une analyse du pire cas. La directive .WCASE permet
de raliser cette analyse de fonctionnement en permettant de dterminer (compte-tenu des
tolrances sur les diffrents composants utiliss) quel est le plus dfavorable par rapport au but
recherch.
Pour cela, PSPICE recherche dabord pour chaque composant dont les tolrances ont t
prcises quelle est la valeur possible la plus dfavorable, puis il effectue une dernire analyse
avec toutes les valeurs ainsi trouves. Il est remarquer que les rsultats trouvs sont (le plus
souvent) diffrents de ceux obtenus par une analyse type Mont-Carlo car, dans notre cas, les
valeurs les plus dfavorables ne sont pas ncessairement celles tires au sort par le logiciel durant
lanalyse Mont-Carlo.
Comme prcdemment, il faut pour effectuer cette analyse complter la fentre de
configuration de la simulation. Vous en avez un exemple la Figure 4.47. Dans celle-ci, on
trouve :

- Analysis = Worst case,
- Analyse Type = AC, DC ou TRAN,
- Output Var = V(out) par exemple,
- Function = Type dopration qui doit tre effectue sur la variable de sortie afin de rduire
les rsultats dune analyse une valeur unique dfinissant la diffrence par rapport la
valeur nominale : YMAX pour un cart maximal avec la valeur nominale, MAX pour une
valeur maximale durant chaque analyse, MIN pour une valeur minimale, RISE pour avoir
la premire occurrence de chaque analyse suprieure la valeur spcifie, FALL pour
avoir la premire occurrence de chaque analyse infrieure la valeur spcifie. Range Hi
et Range Lo pour restreindre lintervalle pour laquelle lopration fonction est value.
- WCase Options = Output All pour envoyer tous les rsultats ou List pour choisir une liste,
Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2009

130
- Vary = Utilisation des spcifications de tolrances DEV, LOT ou les deux (Both),
- Direction = HI ou LOW pour dfinir si le cas dfavorable est celui qui est la plus grand ou
le plus petit.

A titre dexemple, prenons le cas dun filtre rjecteur double T de la figure 4.48. Ici,
toutes les rsistances ont t cres par duplication (i.e., on dfinit la tolrance etc. dune
rsistance puis on ajoute les autres rsistances par copier-coller) avec une tolrance de 10%. Il en
est de mme pour les condensateurs. Dautre part, le pire cas correspond ici la valeur maximale
de la tension de sortie, do le fait davoir slectionn HI dans le champ Direction. Vous pouvez
voir le rsultat obtenu dans la figure 4.49.


Figure 4.47 : Fentre de paramtrage des
analyse Monte-Carlo et Worst-Case

Figure 4.48 : Circuit simul


Figure 4.49 : Rsultat de simulation




Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2009

131
5 Capture :

Comme Schematics, le module Capture dOrcad est utilis au dbut dun projet pour la
saisie du schma lectronique (analogique, numrique, logique) simuler. Comme le module est
trs proche de ce qui a t vu prcdemment, nous ninsisterons que sur certains points. Pour le
reste, il conviendra de maitriser le contenu des chapitres prcdents.

5.1 Cration dun nouveau projet :

Nous allons dabord ouvrir le module Capture. Ensuite, nous crons un nouveau projet en
cliquant sur File >> New >> Project ou en cliquant sur licne . La fentre de la figure 1
apparat :




Figure 5.1 : Fentre Capture pour la cration dun nouveau projet.

Nous choisissons, dans un premier temps, un nom pour notre projet
21
, par exemple astable.
Nous slectionnons ensuite Analog or Mixed-Signal Circuit Wizard afin de pouvoir raliser

21
Attention, les lettres accentues sont interdites.
Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2009

132
un circuit puis le simuler et/ou le router. Il faut ensuite choisir un rpertoire dans lequel tous les
fichiers relatifs au projet seront enregistrs
22
. Enfin, on valide cette tape en cliquant sur OK.
Lors de la premire utilisation du logiciel, Orcad demande les librairies inclure. Ceci
permet de choisir le(s) bibliothque(s) utiliser contenant les modles Pspice des composants.
Ces modles seront ncessaires pour la simulation
23
. Nanmoins, si on avait oubli des librairies,
il est possible den ajouter lors du placement des composants.
Une fentre demande alors si on souhaite utiliser une trame pour notre projet ou si on
prfre travailler sur un projet vierge. Nous choisirons cette dernire option avec Create a
blank project.

5.2 Travail partir dun projet existant :

Il est bien videmment possible de retravailler un projet enregistr par le pass. Pour
cela, il faut cliquer sur File >> Open/Project ou sur licne

5.3 Barre dicnes :

5-3.i. Icnes de gestion de projet :






22
On prfrera crer un nouveau rpertoire chaque projet, la quantit de fichiers gnrs pour un projet pouvant
tre relativement importante.
23
Attention car il peut exister des composants sans modle de simulation.
Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2009

133
5-3.ii. Icnes de placement des composants :



5.4 Gestion de projets :

Le gestionnaire de projet, comme son nom lindique est un lment central puisquil
permet de regrouper tous les fichiers relatifs au projet dans une arborescence. Dans un premier
temps, nous nous intressons la partie Design Ressources. Cest cette partie qui permet
davoir accs au schematic qui lui contient la (les) page(s). On remarquera que les actions
possibles ne sont pas les mmes si la page de conception est active ou si le gestionnaire de projet
est actif.

Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2009

134

Figure 5.2 : Fentre de gestion de projet.

5.5 Saisir un schma :

5-5.i. Gnralits :

Une fois ces quelques prparatifs effectus, nous pouvons passer la saisie du schma.
Pour dbuter, il faut que la page schma soit active. Si ce nest pas le cas par d2faut, il faut la
slectionner en cliquant sur licone du gestionnaire de projets .
Ensuite, il faut cliquer sur Design Ressources, Nom-de-projet.dsn, SCHEMATIC1 puis
PAGE 1.
Une fois la page active, nous nous intressons au placement. Toutes les fonctions
classiques telles que copy, paste, delete, undo sont accessibles via le menu Edit. Lors dune
copie de composant ou groupe de composants, les rfrences ne changent pas et le schma peut
alors contenir plusieurs composants de mme rfrence, par exemple R2. On veillera bien
renommer tous les composants de manire unique.
On trouve galement les fonctions de sauvegardes dans le menu File. Attention
nanmoins la nature de la sauvegarde, car seul les slections actives sont enregistres. Ainsi, il
faut bien veiller slectionner le gestionnaire de projets afin quil soit actif avant denregistrer.
On peut slectionner un composant lorsque licne de slection est actif. On
slectionne ensuite le ou les lment(s) souhaits en les entourant dans une mme zone.
Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2009

135
Comme dans la version Microsim, la cartouche en bas droite permet de mentionner le
nom de lauteur, le titre du document. On peut aussi modifier la taille du schma par Options >>
Schematic >> Page Properties.

5-5.ii. Placement des composants :

Nous allons prsent placer les composants grce aux icnes prcdemment prsents. Nous
cliquons sur licne Place Part qui ouvre la fentre suivante :


Figure 5.3 : Fentre de placement de slection des composants.

On slectionne toutes les librairies (en bas gauche sur limage) puis on tape le nom du
composant recherch, par exemple R pour une rsistance. On peut galement ajouter une
librairie si on en avait oubli une lors du choix initial. On choisit Add Library puis on
slectionne les librairies ajouter tel que sur la figure ci-dessous :

Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2009

136

Figure 5.4 : Fentre des librairies de composants disponibles.

5-5.iii Modifications des proprits des composants :

On peut changer la valeur dun composant en double-cliquant sur cette valeur. De plus,
les valeurs numriques doivent tre suivies des units sans espace et les chiffres dcimaux sont
crits avec des points et non des virgules. On peut crire les units F pour Farade, H pour Henry.
Seul le symbole nest pas reprsentable.
Un composant a une multitude de proprits : Part Reference, Value, PCB Footprint,
... On a accs ces proprits en double-cliquant sur le composant ou en slectionnant un groupe
de composant puis Edit/properties.


Figure 5.5 : Fentre des proprits des composants utiliss dans un schma simuler.

On slectionnera longlet Parts pour les composants. Les Nets sont les liaisons entre
composants et les Pins correspondent aux broches.
Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2009

137

5-5.iv Placement de liaisons :

- Liaisons simples : les fils
On clique sur licne Place Wire . Il ne faut pas confondre avec
licne Place Line qui place seulement des traits et non des liaisons
lectriques. Un point rouge apparat lorsque la liaison est possible.
Il ne faut jamais connecter 2 composants broche broche mais plutt
utiliser une liaison.
Parfois, on peut tre amen croiser des fils. Si on veut que tous les fils du
croisement soient relis ensemble, il est ncessaire de placer une jonction
; un point rose apparatra alors.
- Liaisons multiples : les bus
Pour regrouper plusieurs fils dans un bus, il faut mentionner pour chacun
deux un point dentre grce licne Place Bus Entry . Ensuite, on
rassemble les diffrents fils en cliquant sur licne Place Bus .


Figure 5.6 : Bus reli diffrentes liaisons.

On peut nommer des liaisons grce aux alias . Par convention, un bus sera nomme D[7..0]
avec D7 le bit de poids fort.

5-5.v Alimentation :

Les composants tant placs, les liaisons lectriques galement, il ne manque plus quune source
dalimentation et une masse pour que le schma puisse fonctionner. Pour cela, on slectionne
lalimentation laide de licne Place Power et la masse laide de Place Ground .
Il faut slectionner la librairie CAPSYM pour y avoir accs (cf. figure 5.7).
Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2009

138


Figure 5.7 : Librairie des alimentations.

Remarques : Il est possible de rajouter du texte et/ou des graphiques pour commenter le
schma. On utilise pour ce faire les icnes .


5-5.vi Impression :

En cliquant sur File >> Print Preview, la fentre suivante apparat :


Figure 5.8 : Fentre de configuration de limpression.

Une fois que la prvisualisation convient, lancer limpression via File >> Print.

Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2009

139
5.6 Projets structurs :

Lors de la ralisation de schmas volumineux, il peut tre intressant de scinder le schma (dun
mme projet) sur plusieurs pages. Orcad permet la division dun projet suivant 3 structures :
- la structure plat,
- la structure hirarchique ?
- la structure hirarchique complexe.

Un projet ralis laide dune structure plat est en fait la ralisation de plusieurs
schmas quon dispose cte cte. On insre des connecteurs Off Page pour relier les diffrents
sous-schmas. Tous les schmas sont dans le mme rpertoire, par exemple Dossier-schemas et
peuvent tre nomms page-schema1, page-schema2, ...
La connexion est ralise entre tous les connecteurs Off Page ayant le mme nom.


Figure 5.10 : Structure plat.

5.7 Schma type :

Un schma saisi sous Capture destin la simulation doit suivre certaines rgles qui sont
rsumes sur le schma suivant :

Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2009

140

Figure 5.11 : Schma type.

5.8 Profil de simulation :

Une fois le schma prt tre simul, il faut crer un prol de simulation en choisissant
divers paramtres :
le type danalyse (temporelle, frquentielle,...),
la dure de simulation, les plages de frquences,...
On slectionne Pspice >> New simulation Prole ou bien on clique sur licne . La fentre
suivante sache :


Figure 5.12 : Fentre de profil de simulation.

On choisit gnralement le mme nom que le projet. On rajoute ventuellement le type de
simulation que lon va effectuer. On a ensuite accs aux rglages des paramtres de simulation
(cf. figure 5.13).
Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2009

141

Figure 5.13 : Fentre de profil de simulation.

Il est possible de modier un prol de simulation. Sinon, on peut crer de nouveaux prols de
simulation en choisissant ventuellement loption Inherit from du modle dj cre.
Toutes les simulations cres se retrouvent dans le gestionnaire de projets sous Simulations
Proles.

5.9 Lancement de la simulation :

La simulation peut tre lance soit partir de Capture par Pspice >> Run, soit partir de
Pspice par Simulation >> Run. On peut galement utiliser licne (identique pour Capture et
Pspice) .

Sil y a des erreurs, elles sont mentionnes dans un chier log :
- Si on a une erreur du type "ERRORNode N0012 is oating", Il faut alors bien
vrier que la masse ait pour nom 0.
- Si on a une erreur du type "ERRORSubcircuit 7400A used by X U1A is
undened", il faut alors vrier que les librairies de modles soient bien
slectionnes (grce longlet Libraries du prol de simulation).
- Si on a un point vert sur le schma, cela signie que le composant ne comporte pas
les paramtres ncessaires de simulation (par exemple un connecteur, utilis en
vue du routage).
-

Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2009

142
5-9. i Analyse paramtrique :

Par rapport ce qui a t dit sur la simulation lors des prcdents chapitre, rien de
fondamental ne change avec Capture en dehors de lemploi de lanalyse paramtrique. En effet,
elle peut tre dlicate eectuer.
Dans le cas o lon souhaite faire une analyse paramtrique sur une rsistance. Les tapes
sont alors :

1. Donner comme valeur de paramtre un nom entre accolades, par exemple RVar.
2. Placer le symbole particulier PARAM disponible dans la librairie special.olb.
3. Editer les proprits de ce symbole (clic droit Edit properties) ou double clic dessus.
4. Ajouter une colonne New puis changer le nom de la proprit avec RVar.
5. Donner une valeur par dfaut, par exemple 1k.
6. Rendre visible cette proprit sur le schma avec Display en choisissant Name and value.
7. Revenir au schma.


Figure 5.14 : Fentre de simulation pour une analyse paramtrique.

Initialisation la simulation de circuits intgrs analogiques et numriques S.Feruglio 2009

143
6 Bibliographie :

[1] Cours de S. Monnin, 2007.
[2] Cours de J. Auvray, http://perso.orange.fr/avrj.cours/documents/PSPICE/PSP1.pdf
[3] http://missiontice.ac-
besancon.fr/sciences_physiques/physique_appliquee/simulation/didac/spice.htm
[4] http://www.geocities.com/pmissirliu/
[5] http://www.gel.usherbrooke.ca/pspice/index.html
[6] http://www.iufmrese.cict.fr/catalogue/2001/spice/simuler_en_elec.pdf
[7] Cours de A. Gosselin, 1997.
[8] Cours de G. Cambon.
[9] Cours de O. Romain, 2006.
[10] Cours de G. Vasilescu, 2000.
[11] http://www.engr.uky.edu/~cathey/pspice061301.html
[12] http://www.cadence.com
[13] http://comelec.enst.fr/oceane/doc/documents/envsimu/
[14] TP Orcad Master SIDS ISSI, A. MARION, UCBL, 2007.

S-ar putea să vă placă și