Sunteți pe pagina 1din 97

REPUBLIQUE ALGERIENNE DEMOCRATIQUE ET POPULAIRE MINISTERE DE L'ENSEIGNEMENT SUPERIEUR ET DE LA RECHERCHE SCIENTIFIQUE UNIVERSITE MENTOURI-CONSTANTINE

FACULTE DES SCIENCES DE L'INGENIEUR DEPARTEMENT D'ELECTRONIQUE N dordre : Srie : MEMOIRE Prsent pour obtenir le diplme de Magister En Dispositifs de llectronique intgre OPTION Semi-conducteur Par

Kaddour GHERFI

MODELISATION VHDL-AMS ET APPLICATION A LINTEGRATION DE PUISSANCE


Soutenu le : 23 / 03 / 2005

Devant le Jury : Prsident: Rapporteur : Mr. Mme. A. BENGHALIA S. LASSOUED Prof. Prof. Prof. Prof. M.C. Univ. Constantine Univ. Constantine Univ. Constantine Univ. Constantine Univ. Constantine

Mr. Med El hadi LATRECHE Examinateur : Mme. M. BENOUAKTA Mme. S. REBIAI

PDF created with pdfFactory Pro trial version www.pdffactory.com

Ddicaces

A mes parents A mes frres A mes surs A mes amis

PDF created with pdfFactory Pro trial version www.pdffactory.com

REMERCIMENTS
Grand merci Dieu.
Je tiens exprimer mes sincres remerciements Madame Sada LASSOUED, professeur luniversit de Constantine, pour sa disponibilit et son suivi srieux de ce travail. Je remercie tout particulirement, Monsieur Med El hadi LATRECHE, professeur luniversit de Constantine, pour les ides quil ma prodigues et pour la souplesse avec laquelle il ma orient. Jadresse ma gratitude Monsieur Abd El madjid BENGHALIA, professeur luniversit de Constantine, pour lhonneur quil me fait en acceptant de prsider la commission dexamen, ses encouragements ont toujours t dune aide prcieuse.
Je suis trs honor par la prsence mon jury de Madame Meriem BENOUAKTA, professeur

luniversit de Constantine, et Madame Sada REBIAI matre de confrence luniversit de Constantine. Je leurs adresse mes vifs remerciements. Enfin je remercie vivement tous les membres du laboratoire LHS pour leur aide, leur sympathie et leur soutien, en particulier Monsieur rdha BENACHOUR son aide et nos trs longues discussions furent pour moi une source dapprofondissement de mon travail.

PDF created with pdfFactory Pro trial version www.pdffactory.com

PDF created with pdfFactory Pro trial version www.pdffactory.com

Sommaire

Sommaire
Introduction gnrale.... CHAPITRE I
LE LANGAGE DE DESCRIPTION MATERIEL VHDL-AMS I Introduction... II Simulation des circuits lectroniques analogiques..... II.1 Formulation des quations pour simuler un circuit....... II.2 Rsolution des quations dun circuit....... a) Lanalyse temporelle (transient analysis)...... b) Lanalyse DC (direct current)........ c) Lanalyse AC (alternative current)........ II.3 Convergence et stabilit.... III Modlisation et simulation SPICE........ III.1 Limitations et contraintes......... III.1.1 Modlisation mixte..... III.1.2 Modlisation comportementale....... III.1.3 Transmission de donnes.... III.1.4 La transparence... IV Langage de description matriel HDL (Hardware Description ........... Langage) IV.1 Le langage VHDL ( Very high scale integrated circuit Hardware.Description........... Language) IV.1.1 Modlisation numrique par le VHDL... IV.2 Le langage VHDL-AMS (VHDL Analog and Mixed Signal)................................... IV.3 Utilisation des modles VHDL-AMS par un simulateur. IV.3.1 Compilation.... IV.3.2 Elaboration.. IV.3.3 Simulation... IV.3.4 Exploitation..... V Aperu de la modlisation VHDL-AMS en analogique.... V.1 Structure dun modle VHDL-AMS : ENTITY et ARCHITECTURE V.2 Les nouveaux objets par rapport au VHDL: QUANTITY et TERMINAL.. a) Origine de la QUANTITY. b) Dfinition de la QUANTITY. b.1) PORT (QUANTITY).... b.2) QUANTITY implicite.. b.3) QUANTITY ACROSS, THROUGH, et TERMINAL..... V.3 Dclaration implicite. V.4 Exemple de modlisation de quelque composants lmentaires... a) Rsistance discrte. b) Capacit discrte.... c) Inductance.. V.5 Test bench du circuit. V.6 Instructions simultanes.... 4 4 4 4 5 5 5 5 6 6 6 6 6 7 7 7 8 9 10 11 11 11 11 12 12 13 13 14 14 15 15 16 17 17 18 18 19 19 1

PDF created with pdfFactory Pro trial version www.pdffactory.com

Sommaire a) linstruction simultane simple.. b) linstruction simultane IF. VI Rsultat de simulation dun circuit RLC.. VII Les diffrents niveaux dabstraction... VII.1 Les modles fonctionnels... VII.2 Les modles comportementaux.. VII.3 Les modles physiques... VIII Conclusion.... 19 19 20 23 23 24 24 25

CHAPITRE II
MODELISATION ET SIMULATION DE COMPOSANTS DE PUISSANCE ET APPLICATION I Introduction... II le transistor MOS de puissance.. II.1 Schma quivalent et modle lectrique... II.1.1 Le modle SPICE niveau 1 du transistor MOS II.2 Simulation du transistor MOS sous SPICE-ORCAD II.3 Modlisation du transistor MOS par le VHDL-AMS et caractristiques statiques.. a) Code VHDL-AMS du modle SPICE niveau 1. b) Caractristiques lectriques... II.4 Modlisation du transistor MOS par MATLAB... III Application du transistor MOS de puissance en amplification radio-frquence.. III.1 Amplificateur radio-frquence. III.2 Rsultas de simulation. IV Le transistor IGBT(Insulated Gate Bipolar Transistor )................................................... IV.1 Historique. IV.2 Le schma quivalent... IV.3 Principe de fonctionnement. IV.4 Modlisation du transistor IGBT par le VHDL-AMS et caractristiques... IV.4.1 Code VHDL-AMS du modle fonctionnel de lIGBT... IV.4.2 Caractristiques lectriques IV.5 Modlisation du transistor IGBT par MATLAB. IV.6 Simulation du transistor IGBT sous SPICE-ORCAD. V Modlisation comportementale dun bras donduleur a base dIGBT... V.1 Principe de londuleur autonome.. V.2 Principe de londuleur de tension.. V 2.1 Onduleur de tension 2 interrupteurs.. V.3 Rsultats de simulation..... VI Conclusion... 26 26 26 27 29 30 30 31 31 33 33 34 36 36 37 39 40 40 41 42 43 44 44 44 44 45 47

PDF created with pdfFactory Pro trial version www.pdffactory.com

Sommaire

CHAPITRE III MODELISATION DUN ONDULEUR TRIPHASE


I Introduction... II Commande directe du couple (DTC) dune machine asyncrhone .... III La CNA et la CAN.... III.1 Le convertisseur numrique analogique CNA... III.2 Le convertisseur analogique numrique CAN... IV Londuleur de tension... IV.1 Principe de fonctionnement... IV.2. Code VHDL-AMS dun bras donduleur (modle comportementale). IV.3. Rsultas de simulation du modle comportemental de londuleur avec une charge RL quilibre V Elaboration du contrle direct du couple... V.1 Modle comportemental de londuleur dans la DTC et rsultats de simulation..... V.2 Modle moyen de londuleur de tension. V.3 Rsultat de simulation du modle moyen de londuleur dans la DTC V.4 Comparaison entre les deux rsultas du modle moyen et du modle comportementale. V.5 Modle physique de londuleur de tension. VI Conclusion... 48 49 52 52 53 53 54 55 56 57 57 58 60 61 64 65 66

Conclusion gnrale.. Bibliographie Annexe A Annexe B Annexe C

PDF created with pdfFactory Pro trial version www.pdffactory.com

Sommaire

PDF created with pdfFactory Pro trial version www.pdffactory.com

PDF created with pdfFactory Pro trial version www.pdffactory.com

Introduction gnrale

INTRODUCTION GENERALE
Du fait des dveloppements technologiques de ces dernires annes, sont aujourdhui intgr sur une seule puce des systmes lectroniques qui taient jusqu prsent raliss sous forme de cartes. Cette tendance lintgration et la miniaturisation des circuits est porte par le dveloppement explosif des applications multi-media, des tlcommunications . De tels systmes comportent un nombre toujours croissant de modules pouvant appartenir des domaines diffrents: des fonctions numriques prdominantes, qui sont bases sur des micro-processeurs ou microcontrleurs, des mmoires et des blocs DSP de traitement du signal (Digital Signal Processing), mais aussi des fonctions analogiques damplification et de filtrage qui se trouvent en particulier dans les circuits de conversion analogique/digitale (A/D) en entre et digitale/analogique (D/A) en sortie. Certaines technologies (BiCMOS-DMOS), permettent mme dintgrer des fonctions analogiques de puissance avec des circuits logiques. Pour pouvoir intgrer sur une seule puce des systmes toujours plus complexes comportant la fois des fonctions numriques et analogiques, lutilisation dune mthodologie de conception hirarchique est indispensable. Base sur la modlisation comportementale de chaque lment du circuit, avant tout choix darchitecture, une telle approche permet en effet de rduire les temps de simulation, de conception et damliorer la fiabilit du systme considr. Appliqu avec succs dans le domaine digital, ce paradigme doit maintenant tre tendu lanalogique. Cela est aujourdhui possible grce loffre rcente de puissants langages de modlisation comportementale analogique et mixte.[1] Des outils de qualit ont t mis au point pour les systmes digitaux (environnements VHDL, pour vrification et synthse, avec gnration de vecteurs de test, ), de la mme manire que pour les systmes analogiques (SPICE sous ses diffrentes versions avec leurs fonctions doptimisation, danalyse statistique ). Classiquement, la mise au point dun systme mixte se fait en sparant conception digitale et conception analogique, chacune des parties tant confie aux spcialistes du domaine. La seule solution pratique de test complet est le prototypage rapide, qui permet la validation en environnement raliste.

PDF created with pdfFactory Pro trial version www.pdffactory.com

Introduction gnrale L'avnement rcent d'outils bass sur le nouveau standard VHDL-AMS vise la modlisation du systme complet, aux divers niveaux d'abstraction, intgrant les diffrents domaines technologiques (lectrique, mcanique, thermique ...)[2]. Ce langage est le rsultat dun processus de standardisation effectu par un groupe de travail IEEE entre 1994 et 1999. Le point de dpart a t la premire rvision du langage VHDL, puis il a t rexamin tout les cinq ans afin dtre raffirm et de faire le point sur son utilisation, de corriger les dficiences possibles et dajouter ventuellement des nouvelles fonctionnalits. Vu cette occasion qui est relative au support de circuits et systmes analogiques et mixtes, il a t dcid de dvelopper une extension au langage VHDL tout en assurant son dveloppement normal [3]. Ainsi cr en 1999, le langage VHDL-AMS, ou plus formellement le standard IEEE1706.1, est un surensemble du langage VHDL qui hrite de toutes les caractristiques de ce dernier tout en ajoutant des fonctionnalits la modlisation et la simulation des circuits et systmes analogiques. Sur la base de ce langage, nous nous intressons aux techniques dintgration des systmes de commande, en particulier pour les machines courant alternatif (M.C.A). La plupart des travaux de recherche concernent principalement la stratgie de commande. Limplantation de la commande, plus particulirement son intgration sur circuits intgrs application spcifique (ASIC), est un domaine beaucoup plus rcent et peu rpandu. Pourtant, les ASICs prsentent de nombreux avantages lors de leur utilisation dans un environnement aussi contraignant que celui des systmes de commande. Citons, entre autres, laugmentation de la fiabilit de la commande, le gain de place ou la confidentialit de larchitecture. Par ailleurs, les performances dun algorithme de commande peuvent tre considrablement amliores lorsque ce dernier est transcrit sous forme darchitecture spcifique. Par exemple, le temps dexcution d un algorithme peut tre minimis ou les signaux de commande du convertisseur statique optimiss. De plus, lintgration de la commande est une tape importante dans lintgration de systmes de commande. En effet, la commande est toujours associe des lments dinterface (capteurs, lectronique de mise en forme, convertisseurs analogique-numrique, driver) qui la relie la chane dentranement lectrique.

PDF created with pdfFactory Pro trial version www.pdffactory.com

Introduction gnrale Lintgration de puissance est depuis une dizaine dannes un domaine en plein dveloppement. Cest ds le dbut de la conception que lapproche systme doit prvaloir, pour une meilleure scurit et pour rduire lencombrement et le cot [4]. Le but de notre travail sinscrit dans cette stratgie globale est qui concerne la modlisation comportementale dun systme de commande dune machine asynchrone. Notre mmoire est structur en trois chapitres : Dans le premier chapitre, nous prsentons une initiation dtaille au langage VHDL-AMS. Une mthode de modlisation par le biais de ce langage est prsente en considrant quelques composants lmentaires tel que la rsistance, linductance, la capacit, et la diode. Dans le second chapitre, nous nous intressons des composants actifs. Nous ralisons dans un premier temps, la modlisation du transistor MOS. Une application de cette tude concernera linsertion du TMOS modlis dans un circuit amplificateur de puissance Radio-frquence. Dans un second temps, la modlisation du transistor IGBT nous permet de nous intresser un bras donduleur base dIGBT. Enfin, dans le dernier chapitre nous avons ralis la modlisation comportementale et fonctionnelle dun onduleur triphas a base dIGBT. Pour la modlisation fonctionnelle, nous prsentons un modle moyen de londuleur connect une machine asynchrone. Les rsultats obtenus sont compars une modlisation comportementale.

PDF created with pdfFactory Pro trial version www.pdffactory.com

PDF created with pdfFactory Pro trial version www.pdffactory.com

Chapitre I

Le langage de description matrielle VHDL-AMS

I Introduction
Le modle dun systme est une reprsentation de son comportement laide de laquelle le simulateur comprend et procde des calculs. Il y a diffrentes faons de modliser le comportement dun systme. Le modle peut tre temps discret ou temps continu ou les deux en mme temps. De plus, ce comportement doit tre comprhensible par le simulateur [5]. En plus du simulateur de circuits SPICE, il est apparu sur le march international un langage de description matrielle : le VHDL-AMS qui rpond des besoins analogiques, numriques et mixtes. Dans ce chapitre, nous allons commencer par prsenter des notions gnrales concernant la modlisation et la simulation des composants semi-conducteurs en utilisant SPICE dans un premier temps, puis dans un deuxime temps le langage de description matrielle : VHDL-AMS. Nous prsenterons galement quelques rsultats de simulation donns par ces deux outils.

II Simulation des circuits lectroniques analogiques


Simuler un circuit laide dun programme de CAO revient donc remplacer son tude sur maquette par une tude sur ordinateur. Ceci implique que lon puisse schmatiser le systme ou ses lments par des modles les plus proches possibles de la ralit. Il faut ensuite formuler les quations du systme, puis les rsoudre par des moyens analytiques ou numriques. II.1 Formulation des quations pour simuler un circuit Les variables lectriques inconnues dans un rseau sont les tensions des nuds, les courants de branches, et les nergies stockes par les lments ractifs. Les quations rsolues par le programme danalyse sont formules partir de la description rseau. En fait, toute mthode de mise en quation revient dterminer le systme algbro-diffrentiel des variables lectriques, dduit des lois lmentaires dOhm et de Kirchhoff. II.2 Rsolution des quations dun circuit Les mthodes de rsolution des quations dun circuit analogique sont subdivises en trois niveaux : 1- Analyse dun circuit linaire en rgime statique. 2- Analyse dun circuit non-linaire en linarisant le circuit et en utilisant lanalyse du circuit linaire en rgime statique.

4 PDF created with pdfFactory Pro trial version www.pdffactory.com

Chapitre I

Le langage de description matrielle VHDL-AMS

3- Analyse dun circuit en rgime dynamique en convertissant le circuit considr en un circuit indpendant du temps [6]. Quelle que soit la mthode utilise pour mettre en quations le rseau tudi, lanalyse en continu et en transitoire conduit un systme dquations diffrentielles non linaires. La simulation analogique est beaucoup plus complexe que la simulation logique et requiert ainsi plus de ressources (temps de calcul, mmoire). De plus, elle implique la rsolution dquations diffrentielles et algbriques linaires et non linaires. Les solutions sont des tensions entre les nuds du circuit et les courants dans les branches du circuit. Trois types danalyse peuvent tre considres : a) Lanalyse temporelle (transient analysis) : Cette analyse calcule les rponses temporelles du circuit (tensions et courants en fonction du temps) relativement un ensemble de stimulis (excitations). b) Lanalyse DC (direct current) : Elle calcule ltat du circuit pour un ensemble de stimulis (excitations) fixs aprs un temps infiniment long (steady state). Lanalyse DC est utile pour calculer le point de repos, ou la polarisation du circuit, la fonction de transfert, la rsistance dentre et de sortie du circuit, les sensibilits de variables de sortie en fonction des paramtres du circuit c) Lanalyse AC (alternative current) : Calcule les rponses frquentielles du circuit en rgime petits signaux. Un signal alternatif est alors appliqu, autour du point de repos du circuit. Lanalyse AC est utile pour calculer les fonctions de transfert (par exemple le gain en tension du circuit) en fonction de la frquence et des conditions de polarisation du circuit. Elle est aussi utile pour analyser linfluence du bruit ainsi que la dtermination des caractristiques de distorsion du circuit [7]. II.3 Convergence et stabilit Les problmes de convergence peuvent tre inhrents au circuit tudi dans le cas dun circuit ayant plusieurs tats dquilibre (ex. bascule) ou nayant pas dtat dquilibre (ex. oscillateur) ou bien inhrents lalgorithme utilis. Des problmes de dbordement peuvent galement apparatre dans le cas des caractristiques non linaires de forte croissance (ex. exponentielle). La stabilit dun algorithme dintgration (ex : analyse en transitoire) est lie la valeur du pas dintgration. Une bonne stabilit et une faible erreur locale sont en gnral obtenues grce un pas suffisamment petit. Cependant, pour que le calcul se droule rapidement, il faut utiliser un pas relativement grand. Afin dobtenir le meilleur compromis prcision/rapidit de calcul, le programme doit, chaque tape, ajuster le pas une valeur optimale. Dans ce but, il a t

5 PDF created with pdfFactory Pro trial version www.pdffactory.com

Chapitre I

Le langage de description matrielle VHDL-AMS

ncessaire de dvelopper des algorithmes dintgration de stabilit maximale et a pas dit autoadaptatif.

III Modlisation et simulation SPICE


SPICE ( Simulation Program with Integrated Circuit Emphasis), est un simulateur des circuits lectriques pour des analyses en continu DC non-linaires, temporelles non-linaires TRAN, et frquentielles AC linaires et paramtriques. Pour lanalyse DC, SPICE dtermine le point de fonctionnement en mettant les capacits en circuit ouvert et les inductances en court-circuit. SPICE procde par itrations numriques pour rsoudre les quations non-linaires. Dans lanalyse temporelle, les conditions initiales sont dtermines automatiquement par lanalyse DC, SPICE fait le calcul pour chaque nud du circuit en fonction du temps. Cest une analyse de grands signaux o il ny a pas de restriction sur lamplitude du signal dentre. En ce qui concerne lanalyse frquentielle AC, SPICE fait le calcul des valeurs complexes des tensions de chaque nud du circuit considr [6]. III.1 Limitations et contraintes Le simulateur SPICE est considr en ralit comme un standard pour lanalyse des circuits. Trs vite il est devenu loutil le plus efficace daide la conception. Pourtant, il comporte des limitations dans certains domaines : III.1.1 Modlisation mixte : Le simulateur SPICE est temps continu, donc le modle conu doit tre temps continu. SPICE ne peut pas supporter les reprsentations discrtes, et en consquence, il nest pas adapt pour la modlisation mixte,(temps continu et discret la fois) sauf au moyen dune macro-modlisation lourde. III.1.2 Modlisation comportementale : La plupart du temps, cest un avantage, dune part, en terme de temps dexcution et de mmoire demande et dautre part, pour simuler une partie dun circuit dont le niveau de structure est trs dtaill (structurel) ou moins dtaill (comportemental). SPICE dcrit explicitement le structurel et dcrit le comportemental implicitement pour un modle analogique, en consquence, le temps dexcution est trs long et il est trs gourmand en mmoire pour le stockage des dtails des composants internes. III.1.3 Transmission de donnes : SPICE ne supporte que des systmes conservatifs comme par exemple les circuits lectriques qui obissent aux lois de Kirchoff (loi des noeuds et loi des mailles). En ce qui concerne les flots de donnes (non-conservatifs), une des faons de les reprsenter utilise le temps discret, et cette reprsentation nest pas supporte par SPICE. 6 PDF created with pdfFactory Pro trial version www.pdffactory.com

Chapitre I

Le langage de description matrielle VHDL-AMS

III.1.4 La transparence : Il est souvent ncessaire de connatre le dtail primitif du modle qui nest pas explicit par le langage, pour pouvoir effectuer une reprsentation prcise du systme. Les modles labors sous SPCIE sont en gnral assez complexes et lutilisateur ne peut alors pas contrler les quations primitives considres [5].

IV Langage de description matriel HDL (Hardware Description Langage)


Contrairement un langage informatique, le langage HDL ne vise pas forcment une excution. Il sert dcrire du matriel, comme son nom lindique, avec pour objectifs la spcification, la modlisation, la simulation, la documentation, la synthse logique, la preuve formelle ou lextraction (LVS : layout versus schematic), Dans un langage informatique, linformation est transporte par des variables dusage dynamique alors que dans un HDL, lon dfinit des signaux qui servent de faon statique modliser des quipotentielles. Linformation associe ces signaux peut tre date et cest lhistoire de celle-ci qui permet de construire les chronogrammes. Les variables, avec un langage informatique, sont traites par des procdures (sous-programmes ou fonctions) qui sont appels, excuts et oublies. La mmoire ncessaire (avec les variables) est alloue dynamiquement. Dans un HDL, linformation est transforme par des composants qui sont utiliss de faon statique et relie par des signaux. Ils permettent de modliser des composants matriels et nont aucune raison de disparatre. Dans le modle HDL le traitement du temps fait partie intgrante de la smantique des modles[8].. IV.1 Le langage VHDL ( Very high scale integrated circuit Hardware Description Language) Le langage VHDL est un standard IEEE (IEEE 1076-1993) pour la modlisation, la simulation et la synthse des systmes matriels logiques (HDL - Hardware Description Language). Il est aujourdhui trs largement utilis et est support par tous les environnements daide la conception de circuits et de systmes lectroniques (EDA - Electronic Design Automation) [7]. Le VHDL est un puissant langage de description des circuits d'lectronique numrique. Avec le VHDL, il est possible de simuler et de synthtiser des circuits numriques pour diffrentes technologies. Toutes entits dj cres sont archivables dans une librairie pour tre modifies ou rutilises plus tard. Le gros avantage en matire de productivit est lorsque une librairie comporte beaucoup de composants simples prts tre intgrs des systmes plus complexes[9].

7 PDF created with pdfFactory Pro trial version www.pdffactory.com

Chapitre I IV.1.1 Modlisation numrique par le VHDL Exemple dun dcodeur 2 vers 4.

Le langage de description matrielle VHDL-AMS

Un dcodeur est un circuit combinatoire qui a N entres et 2N sorties, pour notre exemple on a un dcodeur 2 - 4 (2 entres et 4 sorties). Le dcodeur que nous avons prsent est ralise avec des portes inverseur et AND (voir la figure I.1), son code sous VHDL est reprsent sur lencadr I.1.
1 IN0 U1 2 INV IN1 1 U3 2 1 2 1 2 1 2 1 2 U2 3 AND U4 3 AND U5 3 AND U6 3 AND D2 D1 D0

IN0 IN1 D0 D1 D2 D3
0 0 1 1 0 1 0 1 1 0 0 0 0 0 1 0 0 1 0 0 0 0 0 1

INV

D3

Tableau I.1: Table de vrit.

Figure I.1: Dcodeur2/4.


ENTITY dcodeur IS --Dfinition de entr sorties END ENTITY dcodeur; ARCHITECTURE description OF dcodeur IS BEGIN IN0 <= not(IN0) after 1.0 ms ; IN1 <= not(IN1) after 2.0 ms ; D0 <= (not(IN1) and not(IN0)); D1 <= (not(IN1) and IN0); D2 <= (IN1 and not(IN0)); D3 <= (IN1 and IN0); END description;

Encadr I.1: Code VHDL dun dcodeur

8 PDF created with pdfFactory Pro trial version www.pdffactory.com

Chapitre I

Le langage de description matrielle VHDL-AMS

Tension

a) : Signaux dentres.

Temps (ms)

Tension

b) : Signaux de sorties.

Temps (ms)

Figure I.2: Rsultats de simulation dun dcodeur 2/4.

IV.2 Le langage VHDL-AMS (VHDL Analog and M ixed Signal) Le langage VHDL-AMS est galement un standard IEEE (IEEE 1076.1-1999). Il a t dvelopp comme une extension du langage VHDL pour permettre la modlisation et la simulation des circuits et des systmes analogiques et mixtes logiques-analogiques [7]. Le VHDL-AMS est un langage de description hirarchique qui permet de simuler des systmes continus et mixtes (cest un standard dont lapparition rendu possible le couplage du niveau HDL (multi-abstraction) entre les domaines analogiques et les domaines numriques en terme de modlisation). Le langage VHDL-AMS permet la description et la modlisation des systmes conservatifs continus et mixtes (continus/discontinus) aussi bien que non-conservatifs continus et mixtes (continus/discontinus). Les algorithmes de simulation ne font pas partie du langage. Il

9 PDF created with pdfFactory Pro trial version www.pdffactory.com

Chapitre I

Le langage de description matrielle VHDL-AMS

permet la modlisation sur trois niveaux : comportemental, fonctionnel et physique. Cette modlisation peut tre applique dans diffrents domaines lectriques et non lectriques (multitechnologie : thermique, mcanique, hydraulique,.., etc.). Les modles crits en VHDL-AMS autorisent tous les types danalyse : DC, transitoire, petits signaux,.etc. Les circuits analogiques modliss sont dcrits par des systmes dquations ordinaires diffrentielles algbriques (par rapport au temps): EDA(Equations ordinaires Diffrentielles Algbriques). Il supporte les transformations de Laplace et en Z. Le VHDL-AMS sert dcrire des systmes mixtes continus/discrets. Le caractre continu de ces systmes est dcrit par des EDAs o le temps est considr variable indpendante. La norme VHDL-AMS ne dcrit pas dalgorithme de rsolution des EDAs, mais fournit une notation pour ces EDAs, il suffit que VHDL-AMS dcrive le type de systme dEDA dcrit par le modle. Le VHDL-AMS ne fait que caractriser les rsultats que doit obtenir lalgorithme de la solution appel solveur analogique. Dans le domaine numrique, les inconnues des EDAs signaux et variables, obtiennent leurs valeurs par affectation squentielle. Mais dans le domaine analogique les inconnues des EDAs sont des fonctions analytiques du temps, c--d individuellement continues avec un nombre fini de discontinuits. Le solveur trouve des solutions pour toutes les inconnues en fonction du temps en convertissant dabord, pour des valeurs prcises du temps, la partie diffrentielle des EDAs en quations aux diffrences en utilisant des mthodes adquates, puis, en rsolvant simultanment les quations aux diffrences [6]. Remarque : Synchronisation des noyaux : Pour la simulation mixte, il faut que les noyaux numrique et analogique puissent se synchroniser. Dans la plupart des applications, chaque noyau gre sa propre horloge mais doit conserver des liens avec lautre pour assurer la cohrence de lvaluation. Laction du noyau numrique sur le noyau analogique, correspond un vnement sur un signal. Elle doit pouvoir provoquer une valuation analogique en utilisant linstruction BREAK ON (s). Laction du noyau analogique sur le noyau numrique est ralise en fabriquant un vnement partir dune quantit avec linstruction QABOVE(ref). IV.3 Utilisation des modles VHDL-AMS par un simulateur Lutilisation des modles VHDL-AMS par un simulateur passe par trois tapes principales : la compilation, llaboration et la simulation. Il est important de comprendre ce qui diffrencie les oprations effectues par les tapes de compilation et dlaboration. La rutilisation par le support de la gnricit est largement favorise par la sparation de ces deux oprations.

10 PDF created with pdfFactory Pro trial version www.pdffactory.com

Chapitre I IV.3.1 Compilation : Analyse syntaxique et smantique.

Le langage de description matrielle VHDL-AMS

Unit de conception primaire avant lunit de conception secondaire. Stockage en bibliothque si russite de la compilation. IV.3.2 Elaboration :

Rassemble les modles utiliss (propritaires ou IP(intellectual property)) dfinis dans la configuration.

Vrifie les associations, fixe les gnriques, cre les data-structures. Interconnects les process et faire les jeux dquations simultanes. Initialise les horloges. Initialise les objets. IV.3.3 Simulation :

La plupart du temps deux noyaux de simulation sont utilise afin de : Vrifier les contraintes dynamiques de valeur. Rapporter les REPORT et piloter le simulateur avec le SEVERITY. Vrifier les boucles infinies des process, contrler la convergence. Tenir compte des BREAK. IV.3.4 Exploitation : Trac des chronogrammes, trac des x(y). Auto-test des modles.

Remarque : Critre de solvabilit : La simulation analogique revient rsoudre un systme dquations chaque pas de temps. Il faut sassurer qu tout moment le modle contient autant dquations que dinconnues. Le concepteur doit alors sassurer que le modle remplit le critre de solvabilit impos par la norme. Le nombre dquations simultanes (SS) doit tre gal au nombre de quantits THROUGH (QT) augment du nombre de quantits FREE (QF) et du nombre de quantits dinterface en mode OUT (Qout). SS = QT + QF + Qout Attention : Ce critre nassure pas la convergence qui est une caractristique dynamique du rseau dquations coupls aux techniques danalyse numriques mises en place. En thorie ce critre est suffisant mais pas localement ncessaire, il est de ce fait assez contraignant [8].

11 PDF created with pdfFactory Pro trial version www.pdffactory.com

Chapitre I

Le langage de description matrielle VHDL-AMS

V Aperu de la modlisation VHDL-AMS en analogique


Le langage VHDL-AMS est donc un langage inspir de VHDL pour permettre lextension lanalogique et au mixte. Les algorithmes de simulation ne font pas partie du langage. On pourra alors dcrire des modles mixtes mais aussi multi-technologiques (thermiques, mcaniques, hydrauliques, etc.). Dans ce contexte, lobjectif de notre travail est alors de permettre rapidement de dvelopper des modles sous VHDL-AMS. Ceci ncessite donc une certaine connaissance du langage. Cette partie dcrit ce qui nous semble fondamental pour aborder la modlisation VHDL-AMS dans le domaine analogique. V.1 Structure dun modle VHDL-AMS : ENTITY et ARCHITECTURE La modlisation en VHDL-AMS de tout composant pris au sens large du terme, se fait au moyen de deux types dobjets : lENTITY et lARCHITECTURE. - lENTITY dcrit la vue extrieure du composant. Nous pouvons comparer lENTITY a une boite noire o seules les entrs-sorties du composant sont visibles. Lors de lcriture dune ENTITY, nous ne dclarons que linterface avec le monde extrieur grce aux mots PORT et GENERIC. GENERIC : regroupe la dclaration des paramtres du composant. PORT : dcrit linterface avec lenvironnement extrieur par lintermdiaire des nuds externes. Exemple de syntaxe : ENTITY nom_de_lentit IS GENERIC (GENERIC_dclarations); PORT (PORT_dclarations); END [ENTITY] nom_de_lentit - lARCHITECTURE reprsente une des descriptions possibles de la fonction du modle. Une ARCHITECTURE se rfre toujours une unique ENTITY et contient la description de la fonction ralise par lENTITY. Ainsi, si la boite noire est lENTITY, cest lARCHITECTURE qui va dicter le comportement de cette boite noire. Pour une ENTITY donne ralisant une fonction prcise, il peut y avoir autant dARCHITECTURE que de manires de dcrire la fonction raliser. LARCHITECTURE contient donc les quations de la fonction et les dclarations de toutes les variables dites locales, cest--dire qui nont pas de raison dexister hors de lARCHITECTURE.

12 PDF created with pdfFactory Pro trial version www.pdffactory.com

Chapitre I Exemple de syntaxe :

Le langage de description matrielle VHDL-AMS ARCHITECTURE nom_de_larchitecture OF nom_de_lentit IS dclaration_des_variables BEGIN dclaration_des_quations END [ARCHITECTURE] nom_de_larchitecture GENERIC

ARCHITECTURE 1

ARCHITECTURE 2

ENTITY
Figure I.3: Structure dun modle VHDL-AMS.

Il faut bien comprendre ici que lon peut avoir autant darchitectures ralisant une fonction (celle de lentit) que lon peut trouver de manires de dcrire cette fonction. Une fois le concept dENTITY et dARCHITECTURE assimil, il faut maintenant se pencher sur les moyens mis disposition par VHDL-AMS pour remplir et faire fonctionner notre boite noire. V.2 Les nouveaux objets par rapport au VHDL : QUANTITY et TERMINAL a) Origine de la QUANTITY Le VHDL-AMS sert dcrire des systmes mixtes continus/discrets. Le caractre continu de ces systmes est dcrit par des EDA (Equations ordinaires Diffrentielles Algbriques) avec le temps comme variable indpendante. Ces EDAs peuvent scrire sous la forme : F(x,dx/dt,t) = 0 vecteur dexpressions x : vecteur dinconnues t : temps

13 PDF created with pdfFactory Pro trial version www.pdffactory.com

ARCHITECTURE N

PORT

Chapitre I

Le langage de description matrielle VHDL-AMS

Les solutions analogiques des EDAs nobissent pas la mme mthode de rsolution que le VHDL-AMS. Celui-ci introduit alors une nouvelle classe dobjets porteurs de valeurs : la QUANTITY, qui va reprsenter les inconnues des EDAs dans le domaine analogique. Dans le paragraphe suivant nous allons voir quelques proprits et rgles de syntaxe sappliquant aux QUANTITY. b) Dfinition de la QUANTITY La QUANTITY est donc un objet, dont la valeur temps prcis est solution des EDAs. Pour ce faire, les QUANTITY doivent avoir des sous-lments scalaires de type virgule flottante pour approximer les nombres rels solutions des EDAs. Un objet QUANTITY peut se trouver dans une expression partout ou une valeur de ce type est permise. Il existe des QUANTITY scalaires et dautre composites. Les caractristiques dune QUANTITY composite sont simplement lagrgation des caractristiques des sous-lments scalaires. Syntaxe : QUANTITY identifier_liste : real; -- la dclaration de deux quantits dites libres Exemple : Syntaxe : QUANTITY q1,q2 : real; QUANTITY identifier_liste : real := flot; -- la dclaration dune quantit dite libre Exemple : QUANTITY q1 : real := 1.0; Dans ce cas lexpression reprsente une valeur initiale de la quantit dclare. En labsence de la valeur initiale, une valeur par dfaut est applique. Chaque quantit de type scalaire et chaque sous-lment scalaire dune quantit composite est une quantit scalaire. b.1) PORT (QUANTITY) Mais les QUANTITYs peuvent aussi tre dclares comme lments dinterface dans une liste de PORT. Et ce moment l, il sappelle un PORT QUANTITY (terme analogue celui employ en VHDL, le port signal). Exemple de syntaxe : ENTITY exemple IS PORT (QUANTITY x1 PORT (QUANTITY x2 END ENTITY exemple; : IN real);

: OUT real);

14 PDF created with pdfFactory Pro trial version www.pdffactory.com

Chapitre I b.2) QUANTITY implicite

Le langage de description matrielle VHDL-AMS

Pour chaque quantit Q dclare nous avons accs des quantits implicites telles que : - QDot : la drive de Q en fonction du temps. - QInteg : lintgrale de temps de la quantit Q du temps zro au temps prsent. b.3) QUANTITY ACROSS, THROUGH, et TERMINAL Un langage de type VHDL-AMS permet de dcrire des modles comportementaux. On tire de cette opportunit des avantages qui permettent dtendre la notion danalogie la notion de multi-technologie. Les domaines technologiques pouvant tre apprhends sont trs varis. Un domaine peut tre caractris/spcifi par les deux objets VHDL-AMS suivants : ACROSS et THROUGH. Lanalogie avec le domaine lectrique permet de comprendre aisment leur signification. En effet, dans le domaine lectrique ACROSS est la tension aux bornes dune branche et THROUGH est le courant circulant entre les bornes de cette branche. Le tableau I.2 prsente une liste (non exhaustive) de domaines technologiques et de leurs caractristiques. Certains domaines peuvent paratre inattendus, comme le domaine financier. Avec VHDL-AMS, on a la possibilit de crer dautre domaines condition de trouver leurs objets caractristiques ACROSS et THROUGH associs, ainsi que les quations spcifiant la relation entre ces deux objets et reprsentant le comportement du modle (tableau I.2). DOMAINE Electrique Thermique Mcanique linaire Mcanique rotative Magntisme Hydraulique Financier Radiatif ACROSS Tension (V) Temprature (C) Position (m) Vitesse angulaire (radian/s) Force magntomotrice (n*A) Pression (Pa) Dette (DA*mois) Dose (rad) THROUGH Courant (A) Puissance (W) Force (N) Moment (N*m) Flux (Wb) Dbit (l/s) Monnaie (DA) (Photo) courant (A)

Tableau I.2: Quelques domaines dapplication.

Les QUANTITYs de branchement reprsentent les inconnues dans les quations qui dcrivent les systmes conservatifs. On a deux types de QUANTITY de branchement :

15 PDF created with pdfFactory Pro trial version www.pdffactory.com

Chapitre I

Le langage de description matrielle VHDL-AMS

- le type ACROSS (aux bornes, grandeur deffort). - le type THROUGH ( travers, grandeur de flux). Les types ACROSS reprsentent les effets de type potentiel comme la tension dans le domaine lectrique, la pression dans le domaine hydraulique. Les types THROUGH reprsentent le courant dans le domaine lectrique, le flux dans le domaine magntique. Une QUANTITY de branchement est dclare par rfrence deux TERMINALs. Le TERMINAL est le deuxime nouvel objet introduit par le VHDL-AMS. Un TERMINAL est dclar comme tant de nature simple ou composite dont les sous-lments sont de nature simple. Chaque nature reprsente une discipline physique : lectrique, thermique, etc. Exemple : SUBTYPE voltage IS real; SUBTYPE current IS real; NATURE electrical IS voltage ACROSS; current THROUGH; TERMINAL t1, t2, electrical; -- t1 et t2 sont les TERMINALs. -- ici voltage et current sont dclars comme sous-type, electrical comme nature.

QUANTITY v across i1 , i2 , through t1 to t2; -- v est la tension entre ces deux TERMINALs et i1, i2 sont deux branches parallles reprsentants le courant. Nous venons de voir que la dclaration des QUANTITYs de branchements se fait par la dclaration de deux TERMINALs t1 et t2 avec t1 en TERMINAL positif et t2 en TERMINAL ngatif. La direction dune branche va de + vers -, direction du courant positif. Un TERMINAL peut tre dclar partout ou un signal est dclar en VHDL. Il peut aussi tre un lment dinterface comme nous lavons vu avec les quantits. Dans ces conditions, on parle de PORT TERMINAL. Exemple : PORT (TERMINAL anode, cathode : electrical ); Lassociation de PORT TERMINAL est utilise dans la construction de nuds dans des descriptions hirarchises, comme le PORT SIGNAL en VHDL. V.3 Dclaration implicite La dclaration dune nature N cre un TERMINAL de rfrence pour tous les TERMINALs de cette mme nature. Le TERMINAL de rfrence de la nature N est not Nrfrence. Par

16 PDF created with pdfFactory Pro trial version www.pdffactory.com

Chapitre I

Le langage de description matrielle VHDL-AMS

exemple pour la nature lectrique, les TERMINALs lectriques sont la masse comme TERMINAL de rfrence. La cration dun TERMINAL T engendre deux QUANTITYs implicites : La QUANTITY de rfrence Trfrence, qui est un ACROSS avec T et Nrfrence en TERMINALs + et -. La QUANTITY de contribution Tcontribution, qui est un THROUGH de valeur gale toutes les QUANTITY THROUGH incidentes T (avec le signe qui convient). Si T apparat comme effectif dans lassociation de PORT alors les quantits de contribution correspondantes sont ajouter la somme. V.4 Exemple de modlisation de quelques composants lmentaires Par diffrents exemples nous allons montrer les premires applications des nouveaux objets introduits ainsi que leurs utilisations dans leurs contextes [6].

a) Rsistance discrte : iR (t)= uR (t)/R uR (t) =R iR (t) n1 iR

R n2 uR

ENTITY resistor IS GENERIC (rsistance : real := 1.0); PORT (TERMINAL n1, n2 : electrical); END resistor ARCHITECTURE behav OF resistor IS QUANTITY r_e across r_i through n1 to n2; BEGIN r_i == r_e/rsistance; END behav; -- Calcul classique du courant travers une rsistance. -- On dclare lentit dune simple rsistance, on est oblig de dclarer une valeur par dfaut.

17 PDF created with pdfFactory Pro trial version www.pdffactory.com

Chapitre I b) Capacit discrte :

Le langage de description matrielle VHDL-AMS

iC(t) = C.(d/dt)uC(t) uC(t) = (1/C) iC(t)dt + Uc0 n1 iC

C n2

uC

ENTITY capacitor IS GENERIC (capacit : real := 10.0e-9); PORT (TERMINAL n1, n2 : electrical); END capacitor; ARCHITECTURE behav OF capacitor IS QUANTITY c_e across c_i through n1 to n2; BEGIN c_i == capacit*c_eDot; END behav; -- Calcul classique du courant travers une capacit. -- On dclare lentit dune simple capacit, on est oblig de dclarer une valeur par dfaut.

c) Inductance : iL = (1/L)uL(t)dt uL(t) = L.(di/dt) n1 iL L n2 uL

ENTITY inductor IS GENERIC (L : real := 1.0); PORT (TERMINAL n1, n2 : electrical); END inductor; -- valeur par dfaut obligatoire.

18 PDF created with pdfFactory Pro trial version www.pdffactory.com

Chapitre I ARCHITECTURE behav OF inductor IS

Le langage de description matrielle VHDL-AMS

QUANTITY L_e across L_i through n1 to n2; BEGIN L_i == (L_eInteg)/L; END behav; -- utilisation de la QUANTITY implicite QInteg.

V.5 Test bench du circuit Le processus danalyse permet dextraire ou de vrifier les proprits dun systme. La simulation est un type danalyse dynamique pour lequel le modle est soumis un ensemble de stimulis. Ceci gnre un certain nombre de rponses qui permettent dextraire des proprits du modle est donc du systme simul. Un modle excutable dfinit une procdure de calcul qui a pour effet de (simuler) un ensemble de proprits d'un systme. C'est un simulateur, usuellement ralis sous la forme d'un programme logiciel, qui calcule des rponses des stimulis appliqus de l'extrieur du modle. Un modle de test (test bench) encapsule le gnrateur de stimulis, le modle tester et le traitement des rponses [7]. V.6 Instructions simultanes Les instructions simultanes sont utilises pour indiquer des quations diffrentielles et algbriques. Elles sont permises dans tout le corps des instructions dun bloc. a) linstruction simultane simple Nous avons dj rencontr de telles instructions dans les exemples du paragraphe prcdent Exemple de syntaxe. Ces instructions sont reprsentes par le symbole : = . Syntaxe : expression == expression; b) linstruction simultane IF Exemple de syntaxe : IF condition USE partie_simultane ELSIF condition USE partie_simultane ELSE partie_simultane END USE 19 PDF created with pdfFactory Pro trial version www.pdffactory.com -- Plusieurs structures ELSIF peuvent senchaner sans aucune restriction.

Chapitre I

Le langage de description matrielle VHDL-AMS

Les expressions explicites de linstruction IF sont celles de la partie_simultane qui doit tre effectue selon les rsultats des conditions [6].

VI Rsultat de simulation dun circuit RLC


Il existe plusieurs types de circuits RL, RC, RLC. A titre dexemple nous prsentons le rsultat de simulation dun circuit RLC srie (figure I.4), les rsultats de modlisation sous VHDL-AMS sont prsents sur la figure I.5.(a), et sous PSPICE sont prsents sur la figure I.5.(b). Les valeurs numriques sont les mmes pour les deux applications: R = 1K L = 10 nH C = 1 nF
V_in

n1

n2

n3

Figure I.4: Circuit RLC srie.

Le circuit RLC considr est dfinie sous SPICE par la netliste de lencadr I.2, et sous VHDLAMS avec le code reprsent sur lencadr I.3.

* source RLC R_R N00824 N005950 1k L_L N005950 N006171 10nH V_V1 N00824 0 +SIN 1 10v 500 hz 0 0 0 C_C N006171 0 1n

Encadr I.2: La netliste de SPICE qui reprsente le circuit de la figure I.4.

20 PDF created with pdfFactory Pro trial version www.pdffactory.com

Chapitre I
--dfinition de source de tension ENTITY source is END; ARCHITECTURE behavioral OF voltg is END; -- dfinition de la rsistance ENTITY resistor is END resistor ARCHITECTURE behav of resistor is END behav; -- dfinition de la capacit ENTITY capacitor is END capacitor; ARCHITECTURE behav of capacitor is END behav; -- definition de linductance ENTITY inductor is END inductor; ARCHITECTURE behav of inductor is ... END behav; --TEST BENCH entity circuit is end; architecture behavioral of circuit is terminal n1,n2,n3: ELECTRICAL; begin vsrc: entity voltg (behavioral) port map (n1, electrical_ground); r1: entity resistor (behavioral) port map (n1, n2); l1: entity inductor (behavioral) port map (n2, n3); c1: entity capacitor (behavioral) port map (n3, electrical_ground); end;

Le langage de description matrielle VHDL-AMS

Encadr I.3: Code VHDL-AMS du circuit RLC SERIE de la figue I.4.

Pour la description en langage VHDL-AMS de ce circuit, nous utilisons les principes de la conception hirarchique partir des composants lmentaires rsistance, capacit et inductance. Par ailleurs, la dfinition des paramtres R, C et L comme gnrique (instruction generic), cest dire fixs seulement lors de la simulation dans un composant de hirarchie suprieure, permet dobtenir une description gnrique et rutilisable.

21 PDF created with pdfFactory Pro trial version www.pdffactory.com

Chapitre I
Courant (uA)

Le langage de description matrielle VHDL-AMS

Tension (V)

Temps (ms)

Figure I.5.(a): Rsultat de simulation par VHDL-AMS dun circuit RLC srie.

40uA

Courant (uA)

0A

-40uA

0s

I(C1)

1.0ms

2.0ms

3.0ms

4.0ms

5.0ms

10V

Tension (V)

0V

-10V

0s

V(C1:1)

1.0ms

2.0ms

3.0ms

4.0ms

5.0ms

Temps (ms)

Figure I.5.(b) : Rsultat de simulation par PSPICE dun circuit RLC srie.

A partir de ces figures nous pouvons constater que le VHDL-AMS est capable de nous fournir des resultats comparable ceux donns par SPICE.

22 PDF created with pdfFactory Pro trial version www.pdffactory.com

Chapitre I

Le langage de description matrielle VHDL-AMS

VII Les diffrents niveaux dabstraction


Grce la modlisation VHDL-AMS, il est possible dutiliser trois types de modlisation VHDL-AMS : modlisation fonctionnelle, comportementale, et physique. Nous appliquons ces types de modlisation pour le circuit redresseur suivant :
D

V R

Figure I.6: Circuit de redressement.

VII.1 Les modles fonctionnels Ceux-ci ne sont pas spcifiques une technologie particulire. Ils remplissent en quelque sorte une fonction mathmatique, valable pour toute technologie; cest le schma bloc de lautomaticien. Ces modles peuvent tre considrs comme des utilitaires, mais nous verrons galement quils peuvent servir de base la spcification fonctionnelle [6]. Lencadr I.4, reprsente titre dexemple, le code VHDL-AMS pour une diode travaillant en redressement mono-alternance (figure I.7).

ENTITY Diode IS END; ARCHITECTURE functionally OF Diode IS constant iss : real := 192.1e-12; --paramtres de la doide constant rs1 : real := 0.1; constant n : real := 1.0; constant vt : real := 0.0258; terminal n1,n2: ELECTRICAL; quantity v_in across i_out through n1 TO electrical_ground; quantity u_D across i_D through n1 TO n2; --diode quantity u_r1000 across i_r1000 through n2 TO electrical_ground; BEGIN v_in==1000.0 * sin (314.0 * now * 10.0); -- The sinusoidal voltage source --equation. i_r1000 == u_r1000/0.001; -- resistor i_D == iss*(exp((u_D - rs1*i_D)/(n * vt)) - 1.0); -- diode END;

Encadr I.4: Code VHDL-AMS du modle fonctionnel de la diode de la figure I.6.

23 PDF created with pdfFactory Pro trial version www.pdffactory.com

Chapitre I VII.2 Les modles comportementaux

Le langage de description matrielle VHDL-AMS

Ces modles dcrivent le comportement du composant par un bloc comportemental. Le rle du composant dans un circuit donn comme le redressement dans notre exemple. Cest en effet dans un modle comportemental qui sera dcrit des phnomnes de nature diverse mais ayant de fortes interactions: Interactions numriques- analogiques dans le domaine lectrique. Interactions multi-technologiques dont llectricit[6]. ltude est base sur lanalogie avec

ENTITY Diode IS END; ARCHITECTURE behavioral OF Diode IS terminal n1,n2: electrical; quantity v_in across i_out through n1 TO electrical_ground; quantity u_D across i_D through n1 TO n2; quantity u_r1000 across i_r1000 through n2 TO electrical_ground; BEGIN v_in==1000.0 * sin (314.0 * now * 10.0); -- The sinusoidal voltage source equation. i_r1000 == u_r1000/0.001; -- resistor if v_in > 0.00 use -- diode u_D == 0.0; else i_D == 0.0; end use; END;

Encadr I.5: Code VHDL-AMS du modle comportemental de la diode de la figure I.6.

VII.3 Les modles physiques Ils se rapprochent des modles de dispositifs de type SPICE, Ces modles issus de la description de la physique du systme sont analogues aux modles phnomnologiques. Mais malheureusement ces modles ncessitent la connaissance de tous les paramtres internes et externes du composant. Ceci reste une limite srieuse pour ce type de modles [6].

24 PDF created with pdfFactory Pro trial version www.pdffactory.com

Chapitre I v(R) comportemental


1 0

Le langage de description matrielle VHDL-AMS v(R) fonctionnel

Tension (V)

-5

-1 0

v(in)tension dentr
0 .0 0 0 0 .0 0 1 0 .0 0 2 0 .0 0 3 0 .0 0 4 0 .0 0 5

Temps (S)

Figure I.7: Simulation comportementale et fonctionnelle de la diode en redressement.

Nous remarquons que lamplitude du signal redress dans le cas de la modlisation comportementale est plus suprieure que celui de la modlisation fonctionnelle. Cette diffrence est de la chute de tension qui existe aux bornes de la rsistance interne de la diode. En effet, dans la modlisation comportementale nous avons considr la diode comme un interrupteur parfait donc de rsistance interne nulle.

VIII Conclusion
Dans ce chapitre nous avons prsent deux types de modlisation : SPICE et VHDL-AMS. Nous nous apercevons que SPICE ne peut pas rpondre aux besoins de la multi-technologie et la multiabstraction dune manire simple. Nous portons notre choix pour le reste de notre travail sur le langage VHDL-AMS. Nous avons alors prsent des exemples de simulation de composants discrets sous ce mme langage. La diffrence entre les niveaux dabstraction (fonctionnel et comportemental) existant est illustre sur lexemple de la diode en redressement.

25 PDF created with pdfFactory Pro trial version www.pdffactory.com

PDF created with pdfFactory Pro trial version www.pdffactory.com

Chapitre II

Modlisation et simulation de composants de puissance et application

I Introduction
Il y a quelques annes, le concepteur circuit tait confront des circuits simples constitus dun nombre restreint de portes logiques et de composants actifs. Actuellement, la densit dintgration est telle quil a fallu dvelopper un ensemble de couches dabstractions afin de simplifier le processus de synthse [10]. Ainsi dans ce chapitre nous dcrivons quelques modles de composants de puissances tels que la diode, le transistor MOS et lIGBT. Le but est de crer une bibliothque de composants de puissance rutilisable, dans notre application envisage : lintgration de puissance (chapitre 3). Une application du modle du TMOS en amplificateur Radio frquence est galement prsente ainsi qune application du transistor IGBT en onduleur monophas.

II le transistor MOS de puissance


Le modle SPICE du TMOS que nous considrons ici, sera modlis sous diffrents langages (SPICE, VHDL-AMS, MATLAB) afin de justifier le choix du VHDL-AMS comme un outil pour notre objectif dintgration de puissance. II.1 Schma quivalent et modle lectrique La plupart des modles de dispositifs MOS prsentent le modle bas sur le schma lectrique quivalent suivant :

Grille

CGS RS Source

CGB

CGD RD Drain

IDS DBS CBS IBS DBD IBD CBD

Bulk ou Substrat
Figure II.1: Schma lectrique dun transistor MOS.

26 PDF created with pdfFactory Pro trial version www.pdffactory.com

Chapitre II

Modlisation et simulation de composants de puissance et application

Le schma de la figure II.1 est valable pour les dispositifs canal N, pour le transistor MOS canal P, on inverse la polarisation. Ce modle comprend : Une source de courant statique drain-source IDS, qui modlise le courant circulant dans le canal de conduction, 3 capacits relatives la grille CGD, CGS, CGB, qui modlisent les interactions entre charge de grille/charge de canal ct drain, charge de grille/charge de canal ct source et charge de grille/charge de substrat, 2 capacits relatives aux jonctions de substrat CBS et CBD, 2 rsistances daccs ct source RS et drain RD, Pour les caractristiques DC (en rgime statique) nous considrons en plus 2 jonctions de substrat formant 2 diodes polarises en inverse qui fournissent les courants IBS et IBD. Si la source et le substrat sont polariss au mme potentiel, il est vident que ces lments ninterviennent pas. II.1.1 Le modle SPICE niveau 1 du transistor MOS Dans tout ce qui suit, les modles sont bass sur le schma de la figure II.1. Le modle SPICE du transistor MOS niveau 1 a t propos par C.T Sah. et les quations du modle ont t ensuite modifies pour tre implantes dans le simulateur SPICE par H.Shichman et D.Hodges [11],[12]. La caractristique IDS est modlise dans les trois rgions du domaine de fonctionnement du transistor : -La premire rgion de faible inversion : VGS pVTH On suppose quil nexiste pas de canal de conduction, do : I DS =0 . Lorsque VGS fVTH , on distingue alors deux autres rgions o lexpression de la caractristique IDS est une expression linaire (ou quadratique) de VGS; nous les appellerons donc rgions linaires (ou de saturation). -La rgion linaire : VGS VTH et VDS VDsat avec VDsat =VGS V TH W KP I DS = (1 + LAMBDA VDS ) VDS (2 (VGS VTH ) VDS ) L 2 -La rgion de saturation : VGS VTH et VDS VDsat W KP 2 I DS = (1 + LAMBDA VDS ) (VGS VTH ) L 2 27 PDF created with pdfFactory Pro trial version www.pdffactory.com

Chapitre II

Modlisation et simulation de composants de puissance et application

Le modle prsent prcdemment est le plus simple ;7 paramtres lectriques suffisent pour caractriser le comportement lectrique (Tableau II.1).

Symbole du paramtre

Dfinition du paramtre Paramtres du Processus de fabrication

Unit

L W TOX LD

Longueur de canal Largeur de canal Epaisseur de loxyde sous la grille Rduction de longueur de canal par rapport la valeur dessine Dopage du substrat Paramtres lectriques

M M M M AT/cm3

NSUB

UO VTO LAMBDA KP CGSO CGDO CGBO

Mobilit des porteurs Tension de seuil polarisation de substrat nulle Modulation de la longueur de canal La transconductance Capacit grille source polarisation nulle Capacit grille drain polarisation nulle Capacit grille substrat polarisation nulle

cm2/(V.s) Volts Volts-1 A/Volts2 F/m F/m F/m

Tableau II.1: Les paramtres MOS du SPICE niveau 1 [12].

Il existe dautres modles SPICE comme le modle niveau 2,3. Le modle niveau 2 se distingue du modle prcdent par des expressions diffrentes du courant IDS et des capacits CGS, CGD et CGB. Ceux-ci prennent en compte des phnomnes plus fins (effet du canal troit, canal court, limitation de vitesse des porteurs.). Le modle niveau 3 a par contre, des expressions des paramtres technologiques plus complexe par apport au modle niveau 1 et niveau 2. Pour notre part, le modle du MOS niveau 1 est suffisant dans notre application dintgration de puissance.

28 PDF created with pdfFactory Pro trial version www.pdffactory.com

Chapitre II

Modlisation et simulation de composants de puissance et application

II.2 Simulation du transistor MOS sous SPICE-ORCAD Cette simulation consiste dcrie le comportement du composant par lutilisation de primitives du simulateur. Pour cela nous avons choisi comme exemple le transistor de puissance lIRF150 dont les paramtres sont regroups dans lannexe A. Le transistor a t polaris par deux sources de tension DC (continue) Vgs et Vds. Lencadr II.1 prsente la netliste simulant le comportement de lIRF150. .lib "nom.lib" *Analysis directives: .DC LIN V_Vds 0 10 0.001 + LIN V_Vgs 6 9 1 .PROBE V(*) I(*) W(*) D(*) NOISE(*) .INC ".\m-SCHEMATIC1.net" * source M V_Vds N12459 0 M_M4 N12459 N12499 0 0 IRF150 V_Vgs N12499 0 .END
Encadr II.1: Netliste SPICE du modle MOS.

La figure II.2(a) reprsente la caractristique du courant drain-source (ids) en fonction de la tension drain-source (vds) pour des tensions grille-source (vgs) constantes.
60 50

vgs=9V vgs=8V vgs=7V vgs=6V


0 2 4 6 8 10

ids(A)

40
30 20 10 0

vds(V)
Figure II.2(a): Caractristique ids = f(vds, vgs= cst).

La figure II.2(b) reprsente quand elle la caractristique du courant drain-source (ids) en fonction de la tension grille-source avec vds constante.

29 PDF created with pdfFactory Pro trial version www.pdffactory.com

Chapitre II
80

Modlisation et simulation de composants de puissance et application

60

vds=10V

ids(A)

40

20

0 0 2 4 6 8 10

vgs(V)
Figure II.2(b): Caractristique ids = f(vgs, vds= cst).

II.3 Modlisation du transistor MOS par le VHDL-AMS et caractristiques statiques a) Code VHDL-AMS du modle SPICE niveau 1 La modlisation VHDL-AMS du transistor MOS de puissance est multi-abstraction entre le comportemental et le fonctionnel. Dans cette simulation, le modle comportemental-fonctionnel du MOS est dcrit en langage VHDL-AMS (encadr II.2)
entity MOS is end ; architecture bihavioral of MOS is constant cgd : real := 0.5037e-9; constant cgs : real := 2.7081e-9; constant rd : real := 1.031e-3; constant rs : real := 1.624e-3; constant W : real := 0.3; constant L : real := 2.0e-6; constant kp : real := 20.53e-6; constant lamda : real := 0.0; terminal g,d,n1,n2,n3,n4 : electrical; quantity vds across ids through electrical_ground to n3; quantity vgs across igs through g to electrical_ground; quantity id through n1 to n2; quantity urd across ird through n1 to d; quantity urs across irs through electrical_ground to n2; quantity urds across irds through n1 to n2; quantity ur_ds across ir_ds through n3 to d; quantity urg across irg through g to n4; quantity ucgd across icgd through n1 to n4; quantity ucgs across icgs through n2 to n4; begin urd == rd*ird; urs == rs*irs; ucgd == icgd'integ/cgd; ucgs == icgs'integ/cgs; if (vgs-vt)<0.0 use id == 0.0; elsif (vds >= 0.0)and( vds <= (vgs-vt))use id==(W/L)*(Kp/2.0)*(1.0+(lamda*vds))*vds *(2.0*(vgs-vt)-vds); elsif (vds >(vgs-vt))use id == (W/L)*(Kp/2.0)*(1.0+(lamda*vds))* ((vgs-vt)*(vgs-vt)); end use; end architecture bihavioral;

Encadr II.2: Code VHDL-AMS de la modlisation du transistor MOS de puissance.

30 PDF created with pdfFactory Pro trial version www.pdffactory.com

Chapitre II b) Caractristiques lectriques

Modlisation et simulation de composants de puissance et application

Le transistor MOS de puissance que nous considrons dans cette tude est le mme que prcdemment. Les rsultats de simulation du code de lencadr II.2 sont prsents sur la figure II.3(a) et II.3(b).

60

vgs=9V
50 40 30 20 10 0 0 2 4 6 8 10

ids(A)

vgs=8V vgs=7V vgs=6V

vds(V)
Figure II.3(a): Caractristique ids = f(vds) sous VHDL-AMS.

80

60

vds=10V

ids(A)

40

20

0 0 2 4 6 8 10

vgs(V)
Figure II.3(b): Caractristique ids = f(vgs, vds= cst)sous VHDL-AMS.

II.4 Modlisation du transistor MOS par MATLAB Dans cette partie nous prsentons la simulation du transistor IRF150 avec le mme stimulus. La figure II.4(a) reprsente la caractristique du courant drain-source (ids) en fonction de la tension drain-source (vds) des tensions grille-source (vgs) constantes.

31 PDF created with pdfFactory Pro trial version www.pdffactory.com

Chapitre II
60 50 40

Modlisation et simulation de composants de puissance et application

vgs=9V vgs=8V vgs=7V

ids(A)

30 20 10 0 0

vgs=6V
1 2 3 4 5 6 7 8 9 10

vds(V)
Figure II.4(a): Caractristique ids = f(vds, vgs= cst).

La figure II.4(b) reprsente quand elle, la caractristique du courant drain-source (ids) en fonction de la tension grille-source avec vds constante.
80 70 60

ids(A)

vds=10V

50 40 30 20 10 0 0 1 2 3 4 5 6 7 8 9 10

vgs(V)
Figure II.4(b): Caractristique ids = f(vgs, vds= cst).

Bien que les outils utiliss soient diffrents, la mthode de modlisation et les rsultats obtenus sont tout fait comparables. En rajoutant ceci lavantage considrable que prsente le VHDL-AMS par rapport SPICE ou MATLAB et qui rside dans la transportabilit du modle labor dun simulateur un autre. Il suffit de le considrer comme Package. Cette principale conclusion nous permet de considrer dornavant le langage VHDL-AMS comme outil pour notre objectif dintgration de puissance.

32 PDF created with pdfFactory Pro trial version www.pdffactory.com

Chapitre II

Modlisation et simulation de composants de puissance et application

III Application du transistor MOS de puissance en amplification radio frquence


Le choix du type de transistor pour lamplification de puissance haute frquence soriente de plus en plus vers celui des transistors MOS; en effet ils prsentent moins de variations de valeurs dimpdance dentre et de sortie que les transistors bipolaires. Ils sont galement suprieurs, au niveau de la stabilit thermique. Ceci explique en grande partie leur utilisation de plus en plus frquente dans les domaines damplification de puissance [13]. La finalit des amplificateurs est la commande dun actionneur (haut-parleur, moteur, inductance) sans dformation du signal appliqu en entre. Dans ltude dun amplificateur de puissance, il faudra souvent faire des compromis entre la recherche de la qualit de la reproduction et des considrations conomiques (cot, rendement). Une premire application du modle que nous considrons ici est ltude dun amplificateur radio-frquence. III.1 Amplificateur radio-frquence Lamplificateur considr est base du transistor MOS de puissance SXP1301. (Tableau II.2).

KP(A/V2)

VT0(V)

CGD(pf)

CGS(pf)

CDS(pf)

1.02

5.46

22

17

115

Tableau II.2: Principales caractristiques du SXP1301

Les performances lectriques de lamplificateur sont mises en vidence grce une analyse temporelle sous VHDL-AMS. La polarisation continue du TMOS permet de choisir le point de fonctionnement du transistor (vgs = 10V, vds = 30V). Zs Amplificateur e, f Igs Vgs Zin Vds Zout Ids Zl

Figure II.5: Schma bloc dun amplificateur radio frquence.

33 PDF created with pdfFactory Pro trial version www.pdffactory.com

Chapitre II

Modlisation et simulation de composants de puissance et application

La figure II.5 prsente la configuration de lamplificateur radio-frquence. Zs et Zl sont les impdances de source et de charge. Elles sont dtermines pour une amplification avec un transfert maximal de puissance entre : i) ii) Dune part, le gnrateur source et lentre du composant, cest dire sa grille, Dautre part, le drain du composant et la charge en sortie. Un effet fondamental rentre en jeu ici ; cest ladaptation dimpdance. Nous avons optimis les valeurs qui permettent de raliser cette adaptation (Tableau II.3)[13].

Impdance de source Zs RS() 0.07 LS(nH) 0.201

Impdance de charge Zl RL() 20 LL(nH) 0.335

Tableau II.3: Impdances de source et de drain ralisant ladaptation dimpdance.

Le support de base de cette tude est donc le modle du transistor MOS tabli prcdemment. Lanalyse propose est effectue dans le domaine temporel, modlis laide du langage de description comportemental VHDL-AMS. III.2 Rsultats de simulation Les simulations sont effectues sous lenvironnement hAMSter de SIMEC. Ltage de lamplification est aliment par un signal radio frquence sinusodal dune frquence de 950 Mhz et une amplitude de 1V [14]. Lamplification de puissance de ltage est mise en vidence par lobtention dun gain en tension de 10, une frquence de 950Mhz. (Figure II.6(b)) La tension de sortie Vs 10V pour une tension dentre Ve 1V Les simulations sont effectues pour une priode dchantillonnage (min step size =10ps et max step size = 10ps) avec des itrations de 20 avec une erreur de 0.1us, les figures (II.6.a,b) prsente le rsultat de simulation sur 20ns. Utilisant la mthode dEuler comme mthode dintgrateur et la mthode de Newton Raphson pour la linarisation.

34 PDF created with pdfFactory Pro trial version www.pdffactory.com

Chapitre II

Modlisation et simulation de composants de puissance et application

Tension(V)

Temps(ns)

Figure II.6(a): La tension a lentr de lamplificateur radio frquence (multiplier par 10) .

Rgime transitoire

Tension(V)

Temps(ns)

Figure II.6(b): La tension a la sortie de lamplificateur radio frquence.

35 PDF created with pdfFactory Pro trial version www.pdffactory.com

Chapitre II

Modlisation et simulation de composants de puissance et application

IV Le transistor IGBT(Insulated Gate Bipolar Transistor)


IV.1 Historique Un interrupteur idal doit avoir les caractristiques suivantes: impdance nulle ltat ferm et infinie ltat ouvert. De plus, la puissance consomme et le temps de commutation sont considrs nuls. On peut donc affirmer quun interrupteur idal nexiste pas aujourdhui et nexistera pas davantage demain. Les deux plus clbres composants lectroniques ralisant la fonction interrupteur sont: le transistor bipolaire et le transistor MOS. Le premier prsente comme avantages une faible chute de tension ltat passant (appeler : tension de dchet), et le pouvoir de commuter de forts courants, mais ncessite une puissance de commande non ngligeable et sa frquence de travail est relativement basse. Le TMOS quant lui, connu pour des frquences de travail plus leves et une puissance de commande presque nulle, est limit par sa chute de tension qui est importante pour des dispositifs mettant en jeu des hautes tensions (quelques centaines de Volts). Depuis la fin des annes 70, sest dveloppe lide dintgrer sur une mme puce un transistor MOS et un transistor bipolaire afin de profiter des avantages de chacun des deux dispositifs en vitant au mieux leurs inconvnients. Cet assemblage a donn naissance une varit de dispositifs: - IGT (Insulated Gate Transistor) [15]. - GEMFET (Gain Enhaced MOSFET). - COMFET (Conductivity Modulated FET) [16]. Tous ont permis daboutir vers ce quon appelle aujourdhui lIGBT (Insulated Gate Bipolar Transistor). LIGBT de part ses caractristiques est un composant avantageux pour les applications utilisant la commutation. Sa suprmatie dans le domaine de la moyenne puissance nest plus conteste et il est de plus en plus utilis dans les applications forte puissance faisant concurrence au GTO (Gate Turn Off). Enfin, en faible puissance les IGBTs vont galement se dvelopper pour concurrencer les MOSFETs dans certains domaines. La figure II.7 reprsente lenveloppe des applications de lIGBT, en frquence et en puissance, et ses ventuelles volutions, et compare ses performances ceux des autres dispositifs [17].

36 PDF created with pdfFactory Pro trial version www.pdffactory.com

Chapitre II

Modlisation et simulation de composants de puissance et application

104
Puissance en (kW)

Enveloppe des applications GTO

103 102 101 0.1 1 10


Frquence en (kHz)

BJT

IGBT

MOSFET

100

Figure II.7: Domaine dapplication de lIGBT et des autres dispositifs de commutation concurrents.

IV.2 Le schma quivalent La figure II.8 reprsente le circuit quivalent du transistor IGBT [18]. La grille de la structure MOS permet de crer un canal en surface entre la couche n++ et la couche N-. La jonction Pn tant normalement polarise en inverse, un effet JFET apparat alors du fait de l'existence des zones de charge d'espace. Par la suite, nous ngligerons cet effet qui est minime [19] et nous ne le reprsenterons plus dans le schma quivalent. La prsence de la couche P+ (anode) implique l'existence d'un transistor PNP ayant pour base la couche N paisse et peu dope et pour jonction collecteur-base la jonction N-P+ normalement polarise en inverse. Les trois couches n++PNconstituent une structure de transistor bipolaire NPN provoquant avec le PN-P+ un effet thyristor parasite. Afin d'liminer les effets de cet lment parasite, un contact en surface est ralis technologiquement entre les couches n++ et P par la cathode, court-circuitant ainsi la jonction metteur-base du transistor NPN.

37 PDF created with pdfFactory Pro trial version www.pdffactory.com

Chapitre II

Modlisation et simulation de composants de puissance et application

SiO2 K n++ P+ Rp
NPN MOS

JFET

RnPNP

N- pi P+ A

Figure II.8: Structure de lIGBT avec son schma quivalent dduit dune demicellule.

La rsistance Rp correspond au puits P situ en dessous de la couche n++. Sa valeur doit tre rendue la plus faible possible afin dviter le dclenchement du thyristor parasite. La rsistance RN-, symbolisant la couche pitaxie N-, est module par linjection de porteurs minoritaires (trous) depuis la couche P+ (Anode). Sa valeur est alors rendue trs faible compare celle dun MOS de puissance dun calibre quivalent. RN- ne constitue plus quune rsistance daccs la base du transistor PNP. En tenant compte des simplifications technologiques prcises ci-dessus, le schma quivalent dun IGBT se rduit alors celui de la figure II.9 [20]. L'effet thyristor apparat quand la tension aux bornes de Rp atteint la tension Vbi (seuil de la jonction base metteur du NPN). Dans ce cas, cette jonction est polarise en direct et le transistor NPN est conducteur, ce qui entrane le dclenchement de l'effet thyristor. Dans les IGBTs modernes, cette rsistance est rendue suffisamment faible pour que le thyristor ne soit plus dclench dans le domaine de fonctionnement garanti par le constructeur. Le transistor NPN n'a alors plus d'influence sur le fonctionnement de lIGBT dans ce domaine et le schma quivalent se rduit alors un transistor bipolaire PNP command par un MOSFET dans une configuration pseudo-darlington. La figure II.10 symbolise alors le fonctionnement normal de lIGBT.

38 PDF created with pdfFactory Pro trial version www.pdffactory.com

Chapitre II

Modlisation et simulation de composants de puissance et application

A
RN-

A
PNP

PNP

G
NPN

Rp

MOSFET

K
Figure II.9: Circuit quivalent dun IGBT incluant le transistor bipolaire NPN parasite.

K
Figure II.10 : Circuit quivalent simplifi.

IV.3 Principe de fonctionnement En fonctionnement normal, la cathode dun IGBT canal n (Figure II.8) est relie la masse et une tension positive est applique sur la grille pour crer une couche dinversion dans le puits P sous llectrode de la grille formant ainsi un canal type n. Pour une tension danode suffisamment grande, des lectrons sont injects depuis la source n++ vers la rgion N- travers le canal cr, et des trous sont injects dans la rgion N- depuis le substrat P+ (anode). A
IAK Imos = Ib E PNP

B C IC

MOSFET S

K
Figure II.11: Circuit quivalent montrant le sens des diffrents courants dans lIGBT.

Le courant dlectrons, transitant par le canal est le courant drain-source (Imos) du MOSFET, il reprsente galement le courant de base du transistor PNP (Ib) (Figure II.11). Le courant de trous

39 PDF created with pdfFactory Pro trial version www.pdffactory.com

Chapitre II

Modlisation et simulation de composants de puissance et application

constitue le courant du collecteur du transistor PNP (Ic). Le courant total de lIGBT (IAK) est la somme des courants de trous et dlectrons. I AK = I mos + I c = Ib + Ic (2.1)

Lquation (2.1) peut se rcrire en introduisant le gain en courant, = Ic / Ib , du transistor bipolaire PNP: I AK =( +1)Ib =( +1)Imos (2.2)

Le courant Imos peut tre explicit en utilisant comme premire approximation lquation simplifie gouvernant le fonctionnement du MOSFET en rgime de conduction ohmique: I mos = Z Cox VGS Vth VDS VDS L 2 Avec : VDS =VAK VEB ou Z : largeur du canal; L : longueur du canal; Cox : capacit doxyde sous grille forme la surface de grille; Vth : tension de seuil; : mobilit effective.

(2.3) (2.4)

Comme on peut le constater sur le circuit quivalent (Figure II.10), la chute de tension aux bornes de lIGBT, VAK, peut tre modlise par la somme des deux composantes. La premire lie la chute de potentiel dans la jonction P+N- (base-metteur du PNP). La seconde correspond la chute de tension dans le canal du MOSFET. Ainsi, contrairement au MOSFET de puissance, la chute de tension aux bornes dun IGBT en polarisation directe ne peut pas descendre en dessous de la tension de seuil de la diode, Vbi. Si cette limitation peut tre un inconvnient en basse tension, elle devient vite ngligeable pour des tensions leves. La prsence de la couche P+ dans le cas de lIGBT permet de moduler sa conductivit et ainsi rduire la tension de dchet. Labsence de cette couche sur le transistor MOS de puissance amne une chute de potentiel plus importante aux bornes de la couche pitaxie N-.[21] IV.4 Modlisation du transistor IGBT par le VHDL-AMS et caractristiques IV.4.1 Code VHDL-AMS du modle fonctionnel de lIGBT Le prsent code dcrit le modle fonctionnel de lIGBT. Celui-ci est bas sur lutilisation de lquation (2.1). Nous avons choisi comme exemple dIGBT lIRG4RC10K (cf annexe A).

40 PDF created with pdfFactory Pro trial version www.pdffactory.com

Chapitre II lencadr II.3

Modlisation et simulation de composants de puissance et application

Le modle fonctionnel de lIGBT est dcrit en VHDL-AMS dont le code est reprsent sur

entity igbt is port (terminal p, m: electrical); end entity igbt; architecture behavioral of igbt is quantity vak : real; quantity ids : real; quantity vgk : real; constant w : real:= 100.0e-6; constant l : real:= 100.0e-6; constant kp : real:= 0.580427; constant lamda : real:= 0.0; constant vbe : real:= 0.6; constant beta : real:= 7.20054; begin vak==1000.0*now; vgk==15.0; if vak < vbe use ids == 0.0; elsif (vak >= vbe)and( vak <= (vgk-6.5))use ids == (beta+1.0)*(w/l)*(kp/2.0)*(1.0+(lamda* (vak-vbe)))*(vak-vbe)*(2.0*(vgk-6.5)-(vak-vbe)); elsif (vak >(vgk-6.5))use ids == (beta+1.0)*(w/l)*(kp/2.0)*(1.0+(lamda* (vak-vbe)))*((vgk-6.5)*(vgk-6.5)); else ids == 0.0; end use; end;

Encadr II.3: Code VHDL-AMS de la modlisation fonctionnel du transistor IGBT.

IV.4.2 Caractristiques lectriques La figure II.12 montre un rseau de caractristiques courant-tension IAK=f(VAK, VGK) obtenu pour lIGBT IRG4RC10K.On peut constater, que le courant dans lIGBT reste pratiquement nul tant que la tension VAK est infrieure Vbi. En effet, daprs les quations (2.2) et (2.3) le courant IAK napparat que si VDS > 0, ce qui correspond a (quation 2.4) des tensions VAK > VEB.

41 PDF created with pdfFactory Pro trial version www.pdffactory.com

Chapitre II

Modlisation et simulation de composants de puissance et application

180 160 140

vgk=15V vgk=14V vgk=13V vgk=12V

iak(A)

120 100 80 60 40 20 0 0 2 4 6

10

vak(V)
Figure II.12: Caractristique IAK = f(VAK) sous VHDLAMS

IV.5 Modlisation du transistor IGBT par MATLAB Dans cette partie nous prsentons la simulation de lIGBT IRG4RC10K avec le mme stimulus que prcdemment. La figure II.13 reprsente la caractristique du courant IAK en fonction de la tension VAK des tensions VGK constantes.
180 160 140 120

vgk=15V vgk=14V vgk=13V vgk=12V

iak(A)

100 80 60 40 20 0 0 1 2 3 4 5 6 7 8 9 10

vak(V)
Figure II.13: Caractristique IAK = f(VAK) sous MATLAB.

42 PDF created with pdfFactory Pro trial version www.pdffactory.com

Chapitre II

Modlisation et simulation de composants de puissance et application

IV.6 Simulation du transistor IGBT sous SPICE-ORCAD La simulation de lIGBT sous SPICE-ORCAD se fait suivant la netliste prsent dans lencadr II.4. Les caractristiques lectriques obtenues alors pour lIGBT IXGH40N60 NIG (cf annexeA) sont prsentes sur la figure II.14.

.lib "nom.lib" *Analysis directives: .DC LIN V_vds 0 20 0.5 + LIN V_vgs 6 9 1 .PROBE V(*) I(*) W(*) D(*) NOISE(*) .INC ".\igbt-SCHEMATIC1.net" * source IGBT Z_Z1 N00707 N00689 0 IXGH40N60 V_vds N00707 0 12 V_vgs N00689 0 8 .END
Encadr II.4: Netliste SPICE du modle IGBT.

500

vgk=8V vgk=7V iak(A)


250

vgk=6V

vgk=5V
0 0 2 4 6 8 10

vak(V)
Figure II.14 : Caractristique IAK = f(VAK) sous SPICE

Les mmes conclusions que celles relatives au MOS sont considrer ici les caractristiques obtenues sous VHDL-AMS et SPICE sont comparables, mais pour des raisons de transportabilit, nous considrons dornavant VHDL-AMS.

43 PDF created with pdfFactory Pro trial version www.pdffactory.com

Chapitre II

Modlisation et simulation de composants de puissance et application

V Modlisation comportementale dun bras donduleur a base dIGBT


Cette application consiste modliser le comportement dun bras donduleur base dIGBT. V.1 Principe de londuleur autonome Un onduleur et un convertisseur statique permettant lchange dnergie entre une grandeur continue et une grandeur alternative. Symbole : son symbole est reprsent sur la figure suivante : Il est dit autonome car il impose sa propre frquence la charge. V.2 Principe de londuleur de tension Celui-ci est reprsent sur la figure II.15. On ferme alternativement les deux interrupteurs K1 et K2 de faon imposer une tension alternative (ou de forme carre) la charge. De plus la commande est symtrique. V 2.1 Onduleur de tension 2 interrupteurs Les interrupteurs K1 et K2 sont remplacs par deux transistors T1 et T2. En pratique, on rajoute deux diodes en antiparallle aux transistors pour permettre la circulation du courant lorsquil est ngatif. Dans le cas dune charge inductive, les diodes D1 et D2 permettent de renvoyer lnergie vers lalimentation (figure II.16). K1 K1 E E E K2
Figure II.15 : Principe de londuleur de tension.

T1 D1 E uch D2 T2 K2
Figure II.16 : Onduleur 2 interrupteurs sur charge RL.

Uch charge

La figure II.16 reprsente le bras donduleur que nous considrons, il prsente une charge RL. Les tensions dentres E sont continues et de valeur 300V pour chacune delles (figure II.17.(a)). les deux transistors sont commands par une horloge (CLK). (figure II.17.(b)), Les valeurs de la charge RL sont fixes comme suit : [22]

44 PDF created with pdfFactory Pro trial version www.pdffactory.com

Chapitre II R = 1 , L =0.1mH

Modlisation et simulation de composants de puissance et application

Lanalyse propose est effectue dans le domaine temporel, modlis laide du langage de description comportemental VHDL-AMS. V.3 Rsultats de simulation Les simulations sont effectues toujours sous lenvironnement hAMSter de SIMEC. Les simulations sont effectues pour une priode dchantillonnage (min step size =10us, max step size = 10ms) avec une itration de 20 avec un erreur de 10ms. Les figures (II.17a,b),(II.18),(II.19,a,b) prsentent le rsultat de simulation sur 5ms. Utilisant la mthode dEuler comme mthode dintgrateur et la mthode Newton Raphson pour la linarisation. Le signal de commande est donc un signal dhorloge valuant entre 0 et 1 (figure II.17.(b)). Il permet davoir le signal de sortie reprsent sur la figure II.18. Dans ce cas l, la forme du courant est diffrente de celle de la tension. En effet si la tension bascule presque instantanment suit la commande, le courant quand lui varie progressivement. Laugmentation des temps de monte et de descende (retard) est due essentiellement la prsence de linductance. En effet, le courant travers une inductance ne peut subir de discontinuits. Nous avons constat quil y a un change dnergie entre la source et la charge dans les deux sens. En effet, une partie de lnergie fournie la charge est stocke dans linductance puis restitue la source : on dit quil y a rcupration dnergie. La figure II.19 prsente le cas dun bras donduleur avec une charge rsistive (R = 1 ), nous avons remarqu que le courant suit la forme de la tension cest a dire quil ne prsente pas de retard comme dans le cas dune charge RL.

Tension(V)

Temps(ms)

45 PDF created with pdfFactory Pro trial version www.pdffactory.com

Chapitre II
Tension(V)

Modlisation et simulation de composants de puissance et application

Temps(ms)

Figure II.17(a): Signaux dentrer vin1 et vin2 dans le cas dun onduleur.

Temps(ms)

Figure II.17(b) : Signal de commande.

Tension (V)

Courant (A)

Temps(ms)

Figure II.18: Evolution de la tension et du courant dans la charge RL.

46 PDF created with pdfFactory Pro trial version www.pdffactory.com

Chapitre II

Modlisation et simulation de composants de puissance et application

Tension(V)

Temps(ms)

Figure II.19(a) : Evolution de la tension au borne de la charge R..

Courant(A)

Temps(ms)

Figure II.19(b) : Le courant a travers la charge R..

VI Conclusion
A partir des rsultats obtenus dans ce chapitre concernant la modlisation par le VHDL-AMS de lIGBT, du TMOS, et des diffrentes applications considres, nous pouvons conclure que ce langage est un outil efficace pour la modlisation de composants et de circuits lectroniques. De plus, il prsente des avantages certains par apport SPICE et MATLAB comme la bonne lisibilit ainsi que sa tolrance de la gnricit cest dire le fait de pouvoir exprimer un modle avec des paramtres qui ne sont connus qau moment de lutilisation effective.

47 PDF created with pdfFactory Pro trial version www.pdffactory.com

PDF created with pdfFactory Pro trial version www.pdffactory.com

Chapitre III

Modlisation dun onduleur triphas

I Introduction
Dans ce chapitre, nous prsentons un environnement de conception et de simulation analogique/numrique dcrit par le langage VHDL-AMS. Nous montrons alors comment modliser une implantation dun systme sur ASIC (Application Specific Integrated Circuit), en tenant compte de son environnement global. Notre concepte cest lexploitation de la modlisation analogique/numrique et la simulation de lenvironnement de notre application, dit conception/simulation mixte [10], nous utilisons les lments de la bibliothque de composants analogiques ddis aux systmes lectriques de commande que nous avons tudi dans le deuxime chapitre. Cette bibliothque englobe les principaux composants constituant un tel systme. A partir de ces composants nous modlisons lintgration du systme de commande dune machine asynchrone. En parallle aux volutions constantes sur les outils informatiques (Softwer et/ou Hardwer) et les technologies des circuits intgrs spcifiques, lintgration des composants de puissance se dveloppe de plus en plus dans la volont daccrotre la fiabilit des systmes, den simplifier le montage et la maintenance tout en rduisant le cot [4]. Pour le schma synoptique global de la figure III.1, il sagit de dvelopper une approche technique et technologique permettant lintgration sur un composant unique des blocs de commande (bloc numrique), des circuits dinterfaage (bloc analogique) et de londuleur de tension (bloc de puissance). Lintgration globale dun systme de commande de machines alternatives peut savrer trs complexe au vu des lments de natures diffrentes le constituant (numrique et analogique). Pour regrouper ces lments sur une mme puce de silicium, une mthodologie de conception mixte, analogique et numrique, doit tre dveloppe.

48 PDF created with pdfFactory Pro trial version www.pdffactory.com

Chapitre III

Modlisation dun onduleur triphas

Bloc de commande

DC

AC

Machine AS

Bloc de puissance

Une puce

Intgration sur une puce unique Simulation de londuleur de tension (bloc de puissance) par les deux modles moyen et comportemental

Capteurs et CANs Bloc analogique

Figure III.1: Synoptique de la structure de commande intgrer.

II Commande directe du couple (DTC) dune machine asyncrhone


Le Contrle Direct du Couple, ou Commande Directe du Couple, souvent note DTC pour labrviation anglaise Direct Torque Control, est une mthode de rglage du couple de la machine asyncrohne, base sur lestimation, la rgulation et lorientation du flux statorique partir de mesures effectues au niveau du stator de la machine. Elle se rapproche de la mthode dite du contrle vectoriel flux statorique orient. Elle a t introduite au Japon par Takahashi et en Allemagne par Depenbrock, il y a pr de 15 ans [22]. La figure III.2, prsente la structure gnrale de lalgorithme du Contrle Direct du Couple. Dans le cas de la structure DTC base sur lorientation du flux statorique, le dcouplage entre le flux et le couple est obtenu en laborant, partir dune table de slection, des vecteurs tension de sortie de londuleur. A chaque priode de contrle, le flux et le couple sont compars leurs valeurs de rfrence [23]. La position vectorielle du flux est estime dans le repre statorique fixe S(, ). Celle-ci permet de slectionner les commutations adquates des bras de londuleur de tension (cf. Annexe B). Les conditions de contrle dynamique du couple de la machine asynchrone peuvent tre mises en vidence, par le modle vectoriel de la machine. Pour cela, on reprendra les expressions vectorielles de la machine. On utilise le modle vectoriel ramen au stator dans un rfrentiel est li au stator (S).

49 PDF created with pdfFactory Pro trial version www.pdffactory.com

Chapitre III VS = RS.IS + Ou : VS : Vecteur tension statorique dlivr par londuleur. RS : Rsistance statorique. IS : Vecteur courant statorique. d S dt

Modlisation dun onduleur triphas (3.1)

S : Vecteur flux statorique.


VR = 0 = RR . IR + Ou : VR : Vecteur tension rotorique. RR : Rsistance rotorique. : Pulsation mcanique. d R - j. .R. dt (3.2)

R : Vecteur flux rotorique.


A partir de ces derniers, on peut tirer : R IR= 1 Lm s L R L R LS Lquation (3.1) devient alors : d R + 1 j . R= Lm 1 S . R dt L s . R Ou : :coefficient de dispersion. = 1L2 m LS LR (3.3)

(3.4)

R : Constant du temps rotorique. Lm : Mutuelle inductance cyclique entre stator et rotor. LR : Inductance cyclique rotorique. LS : Inductance cyclique statorique. Ces relations montrent que : - On peut contrler le vecteur S a partir du vecteur VS (en module et en position a une chute de tension RS.IS prs). - Le flux. R suit les variations de S avec une constante de temps .R. Le principe de la commande DTC se base sur le calcul instantan du flux et du couple de la machine asynchrone dans un rfrentiel statorique triphas. En utilisant la transformation de

50 PDF created with pdfFactory Pro trial version www.pdffactory.com

Chapitre III

Modlisation dun onduleur triphas

Concordia (cf. Annexe B), un contrle dans le repere biphas d,q, du flux et du couple, devient possible : En intgrant (3.1) dans lintervalle [0,t]

d = (V R I )dt
t t S S S S 0 0

(3.5) (3.6)

elm=Pp.(s.Iss.Is )

Lquation (3.6) montre bien la commande possible du couple de la machine partir des grandeurs courant et flux statorique. Les simulations que nous effectueurons sont bas sur cette quation (3.6).

Figure III.2: Structure gnrale du Contrle Direct du Couple.

51 PDF created with pdfFactory Pro trial version www.pdffactory.com

Chapitre III

Modlisation dun onduleur triphas

Daprs les expressions (3.5) et (3.6), nous pouvons observer que les contrles du flux statorique et du couple lectromagntique sont raliss par une slection approprie du vecteur de tension VS. Le choix du vecteur est effectu chaque priode de calcul Te (temps dun cycle de calcul entre lacquisition des donnes et lenvoi dun vecteur tension) .VS est dlivr par un onduleur de tension dont ltat des interrupteurs est contrl par 3 grandeurs boolennes de commande, (Sa, Sb, Sc). VS= 2 U0[Sa+Sb e 3
j2 3

+Sc e

j4 3

(3.7)

Les combinaisons des 3 grandeurs (Sa,Sb,Sc) permettent de gnrer 8 positions du vecteurs VS dont 2 correspondent au vecteur nul (Sa,Sb,Sc) = (1,1,1) ou (0,0,0), (cf. figure III.3).

Figure III.3 : Elaboration des vecteurs VS (Sa,Sb,Sc) partir de londuleur de tension.

III La CNA et la CAN


III.1 Le convertisseur numrique analogique CNA Malgr la prdominance actuelle du domaine numrique la base, les signaux ont toujours une nature analogique. Le domaine analogique va donc toujours exister au moins en amont de toute chane de traitement. Parfois, on a aussi besoin dun signal analogique en sortie de cette chane de traitement : il faudra alors reconvertir les donnes numriques en signaux analogiques. Le passage dun type de donne lautre se fera par des convertisseurs, composants mixtes , qui vont traiter des signaux logiques en entre et des tensions analogiques en sortie.

52 PDF created with pdfFactory Pro trial version www.pdffactory.com

Chapitre III III.2 Le convertisseur analogique numrique CAN

Modlisation dun onduleur triphas

Le gros avantage apport par la numrisation des signaux est la possibilit de stockage, de transformation et de restitution des donnes sans quelles ne soient altres. Le passage dun type de donne lautre se fera par des convertisseurs, composants mixtes , qui vont manipuler des tensions analogiques en entre et des signaux logiques en sortie [10]. La Figure III.4 prsente le fonctionnement comportementale dun CAN simple qui faire convertie un signal analogique pour le niveau de 5V en un signal numrique, cest a dire que la sortie est gale 1 lorsque lentre est suprieure 5V est gale 0 lorsque lentre est infrieure a 5V.

Tension (V)

Temps(ms)

Figure III.4: Rsultat de simulation comportemental dun CAN

IV Londuleur de tension
Londuleur de tension est un convertisseur statique dnergie qui transforme une tension DC constante en une tension AC polyphase de frquence et damplitude variables. Larchitecture du convertisseur statique de puissance polyphas se compose de plusieurs bras. Chaque bras comporte un ensemble dinterrupteurs de puissance. Ces interrupteurs dcoupent la tension DC dentre en un signal idalement carr de largeur variable. Diverses topologies existent mais le cas le plus frquent est londuleur triphas trois bras. La structure la plus simple dun onduleur de tension triphass est reprsent sur la figure III.5 qui est form de six interrupteurs commandables, chacun mont avec une diode en tte bche [24]. Llment de base de londuleur, est linterrupteur de puissance, le choix de ce dernier est effectu en fonction de la puissance commute et de la frquence de commutation. Parmis les

53 PDF created with pdfFactory Pro trial version www.pdffactory.com

Chapitre III lIGBT (Insulated Gate Bipolar Transistor). IV.1 Principe de fonctionnement

Modlisation dun onduleur triphas

composants les plus utiliss, nous citons le MOS de puissance,le GTO(Gate Turn Over) et

La commutation dans londuleur de tension correspond aux passages de ltat passant ltat bloqu et rciproquement de ces interrupteurs selon une commande appropri, et chaque forme donde son propre ordre de commutation. Les interrupteurs sont dclenchs des temps correspondants au sixime de priode dans lordre suivant : T1, T6, T2, T4, T3, T5. Le dblocage de T1, pour t = 0 spare A de N et le relie M ; le dblocage de T4, pour t = T/2 fait linverse. Les autres groupes reproduisent le mme fonctionnement avec des retards de un tiers et deux tiers de priode plus tard [24].

M T1 A T4 N
Figure III.5 : Structure dun onduleur de tension triphas.

D1

T2 B

D2

T3 C

D3

D4

T5

D5

T6

D6

Dans cette tude, nous modlisons le comportement dun onduleur de tension triphas constitu de trois cellules de commutation et une charge inductive en toile quilibre correspond la machine induction (figure III.6). Nous avons aliment le circuit avec une source de tension point milieu et nous avons visualis la tension et le courant dans la charge.

54 PDF created with pdfFactory Pro trial version www.pdffactory.com

Chapitre III

Modlisation dun onduleur triphas

SA

SB

SC

MAS A B

SA

SB

SC

Figure III.6 : Onduleur de tension triphas avec une charge RL quilibre en toile.

IV.2. Code VHDL-AMS dun bras donduleur (modle comportementale)


terminal a, n1,n2,m1,m2: electrical; -------------------diode1-----------------------------quantity vd1 across id1 through n1 to m1 ; quantity vdr1 across idr1 through m1 to a ; -------------------diode2-----------------------------quantity vd2 across id2 through a to m2 ; quantity vdr2 across idr2 through m2 to n2 ; ------------------igbt1--------------------------------quantity vds1 across ids1 through n1 to a ; ------------------igbt2--------------------------------quantity vds2 across ids2 through a to n2 ; begin if (clk1 = '1') use --igbt1 vds1 == rson*ids1; else ids1 == 0.0; end use; break on clk1; if (clk1 = '0') use igbt2 vds2 == rson*ids2; else ids2 == 0.0; end use; break on clk1; -------------------diode1-----------------------------if vds1'above(-1.1) use id1 == 0.0; else id1 == (vds1 + 1.1) / rdon; end use; -------------------diode2-----------------------------if vds2'above(-1.1) use id2 == 0.0; else id2 == (vds2 + 1.1) / rdon; end use;

55 PDF created with pdfFactory Pro trial version www.pdffactory.com

Chapitre III

Modlisation dun onduleur triphas

IV.3. Rsultats de simulation du modle comportemental de londuleur avec une charge RL quilibre Paramtres de la simulation : Sources de tension point milieu : Vin1 = Vin2 = 300V. Pas dchantillonnage : min step size =10us, max step size = 1ms. Erreur = 1ms. Nombre ditrations : 20. R = 1 , L = 0.0001H Lintgration des quations du modle est effectue par la mthode dEuler et une linarisation par la mthode de Newton Raphson.

Tension (V)

Temps (ms) Tension (V)

Temps (ms) Tension (V)

Temps (ms)

Figure III.7.a : Les signaux de commande des trois bras donduleur dcal lun par rapport lautre de 2/3.

56 PDF created with pdfFactory Pro trial version www.pdffactory.com

Chapitre III

Modlisation dun onduleur triphas

Tension(V)

Courant(A)

Figure III.7.b : Tension et courant dans la charge RL

Temps(ms)

La forme du courant est diffrente de celle de la tension parce que le courant travers une inductance ne peut subir de discontinuit. En effet si la tension peut changer subitement de valeur, le courant, du fait de linductance du circuit, va varier progressivement.

V Elaboration du contrle direct du couple


On prsente dans ce qui suit les rsultats de simulation obtenus partir du modle comportemental et celui du modle moyen de londuleur connect la machine asynchrone et modlis par le VHDL-AMS, illustrant le comportement de la structure de contrle direct du couple dune machine asynchrone. Lcart du contrleur hystrsis impos au contrleur de couple et de =2Nm. La valeur de rfrence du flux est dans ce cas gale 0.8Wb, avec un contrleur hystrsis dcart : = 0.03Wb. V.1 Modle comportemental de londuleur dans la DTC et rsultats de simulation : Dans cette tude nous avons tabli une modlisation comportementale dun onduleur de tension triphas point milieu (figure III.6), et associ un algorithme DTC, en visualisant lvolution du
couple lectromagntique Celm vis--vis le couple de rfrence Cref.

Les simulations sont effectues pour une priode dchantillonnage (min step size =100us, max step size = 100us) avec 200 itration et une erreur de 0.1ms, la figures III.8, prsente le rsultat de simulation sur 0.2s du couple lectromagntique pour trois chelons de consigne (20Nm, 40Nm et 10Nm) et un flux fix une valeur de rfrence de 0.8Wb.

57 PDF created with pdfFactory Pro trial version www.pdffactory.com

Chapitre III

Modlisation dun onduleur triphas

Couple (Nm)

Temps(ms)

Figure III.8 : Evolution du couple lectromagntique Celm selon le couple de rfrence Cref.

V.2 Modle moyen de londuleur de tension La plupart des algorithmes de contrle reposent sur le modle idal de londuleur de tension, cest dire sans pertes, avec des temps de commutation nuls et sans temps mort. En fait, londuleur est modlis la plupart du temps par un simple gain (unitaire). Dans cette partie nous avons prsent un autre modle de londuleur de tension, dit "modle moyen", qui repose sur une modlisation moyenne dtat [25],[26]. Cette mthodologie repose sur une approche mathmatique et fait appel aux reprsentations par les graphes de liens et les rseaux de Petri [25]. Toutefois le fait de moyenner ltat ne permet pas de distinguer les variables lentes des variables rapides. Il convient danalyser le montage de londuleur de manire aboutir un modle de cellules lmentaires, pour cette raison nous mettons deux principales hypothses : Hypothse 1 : les condensateurs de dcouplage sont suffisamment efficaces pour fournir la totalit de lnergie pendant les phases de commutation sans que la tension leur borne ne varie de manire significative. Hypothse 2 : le courant dans la charge varie trs lentement par rapport la frquence de commutation. Il peut tre considr constant durant ces phases. Nous pouvons conclure quaucun des bras de londuleur nest influenc par les commutations des deux autres. a. Identification des paramtres du modle moyen Les seules caractristiques ncessaires sont les caractristiques statiques du constructeur, et lallure des retards virtuels en fonction des conditions courant/tension [25], de la temprature et

58 PDF created with pdfFactory Pro trial version www.pdffactory.com

Chapitre III

Modlisation dun onduleur triphas

des valeurs des inductances parasites de chaque composant semi-conducteur. Ces deux paramtres sont fixs par le rgime nominal. Pour dfinir les caractristiques statiques des IGBTs et des diodes Vce(Iout ) et Vd (Iout) nous avons utilis les approximations suivants [25] : Vce (Iout) = UTT . ln (1 + Iout ) + RT.Iout. IST Vd (Iout) = UTD . ln (1 + Iout ) + RD.Iout. ISD Pour les paramtres du modle, nous renvoyons le lecteur en Annexe C. Les retards virtuels permettent de prendre en compte la dynamique de la tension, celle-ci dpend des caractristiques des composants et des impdances de cblage de londuleur. Les retards virtuels T.V et T.I peuvent tre dtermins partir dune simulation fine du comportement du convertisseur (lments actifs, passifs, selfs de liaison), ou par la mesure des courant/tension propres chaque composant en commutation. On peut considrer les retards virtuels comme constants. Lapproximation est dautant plus justifie que le temps mort est important devant les retards virtuels [26]. b. Algorithme du modle moyen dun bras donduleur Nous allons donc tablir le modle moyen dun bras donduleur de la figure III.9. (3.8) (3.9)

Figure III.9: Le bras donduleur modliser .

-Etape 1:Identification des variables dentre et sortie au bloc de commutation (bras) : La source de tension E :impose la tension Vin. 59 PDF created with pdfFactory Pro trial version www.pdffactory.com

Chapitre III La source de courant I :impose le courant Iout.

Modlisation dun onduleur triphas

Ce sont nos deux variables dentres. Le modle doit donc fournir la valeur de Iin et Vout. -Etape 2: Squences de commutation : En fait, dans ce cas le bloc de commutation correspond tous les composants actifs et passifs situs entre les deux sources idales Vin et Iout. La squence de commande des interrupteurs est la suivante (notation binaire). S={ T1T2,T1T2,T1T2,T1T2 } (3.10)

-Etape 3:Calcul de la valeur moyenne des variables de sortie du bloc de commutation: Il nous faut maintenant calculer lintgrale de chaque variable de sortie sur une priode de dcoupage, en utilisant la notion de retard virtuel. Nous pouvons nous ramener une formulation explicite de ces intgrales : Pour I positif : 1 Vout = ( 1 + T.V ).[ Vin - Vce(Iout)] + [ 1- ( 1 + T.V )].[- Vd (Iout)] T T T T 1 Iin = ( 1 + T.I ).Iout T T T Pour I ngatif : 1 Vout = [ 1- ( 2 + T.V )].[ Vin + Vd(Iout)] + ( 2 + T.V ).[ Vce (Iout)] T T T T 1 Iin = [ 1- ( 2 + T.I )].Iout T T T (3.13) (3.14) (3.11) (3.12)

1 et 2 reprsentent les rapports cycliques de la commande rapproche appliqu sur les IGBT 1 et 2. Ils sexpriment par rapport au temps mort m et la commande de bras par : 1 = m 2 = 1 m avec : T 1 + T 2 + 2.T. m = T (3.15) (3.16) (3.17)

Donc ce modle tient compte des paramtres parasites (rsistances de cblage, inductances de cblage) qui ont une influence sur la dynamique de la tension, ce qui permet davoir une approche fonctionnelle lintgration de puissance. V.3 Rsultat de simulation du modle moyen de londuleur dans la DTC les simulations sont effectues pour une priode dchantillonnage (min step size =100us, max step size = 100us) avec 200 itration et une erreur de 0.1ms, la figure III.10, prsente le rsultat 60 PDF created with pdfFactory Pro trial version www.pdffactory.com

Chapitre III

Modlisation dun onduleur triphas

de simulation sur 0.2s du couple lectromagntique pour trois chelons de consigne (20Nm, 40Nm et 10Nm) et un flux fix une valeur de rfrence de 0.8Wb. Le temps mort est fix 6s. cette valeur suprieur la valeur ncessaire (environs 3s) nous permet dapprcier les effets du aux temps morts.

Couple(Nm)

Temps(ms)

Figure III.10 : Evolution du couple lectromagntique Celm selon le couple de rfrence Cref.

Les deux figures III.8 et III.10 montrent la rponse du couple lectromagntique pour une consigne de trois chelons. On peut noter les trs bonnes performances de contrle du couple qui suit prcisment sa rfrence. V.4 Comparaison entre les deux rsultats du modle moyen et du modle comportemental Dans cette section nous avons essay de comparer les deux modles comportemental et fonctionnel, cest a dire un systme de commande dune machine asynchrone avec un modle comportemental de londuleur de tension et le mme systme avec le modle moyen ou fonctionnel du mme onduleur. La figure (III.11) prsente les allures du couple lectromagntique des deux modles (fonctionnel et comportemental) et la rfrence de ce couple.

61 PDF created with pdfFactory Pro trial version www.pdffactory.com

Chapitre III

Modlisation dun onduleur triphas

Partie1
7 0 6 0 5 0 4 0 3 0 2 0 1 0 0 0 ,0 0

Partie2

Couple (Nm)

Partie3

0 ,0 5

0 ,1 0

0 ,1 5

0 ,2 0

Temps(s)

Figure III.11 : Evolution du couple lectromagntique Celm de deux modles selon le couple de rfrence Cref.

Rfrence Modle moyen ou fonctionnel Modle comportemental

Pour mieux visualiser la diffrence entre les deux modles en essaye de faire une zoom des trois partie de ces courbes.
7 0

Couple (Nm)

6 0 5 0 4 0 3 0 2 0 1 0 0 0 , 0 00 , 0 10 , 0 20 , 0 30 , 0 40 , 0 50 , 0 60 , 0 70 , 0 80 , 0 90 , 1 0

Temps(s)

Partie1

62 PDF created with pdfFactory Pro trial version www.pdffactory.com

Chapitre III

Modlisation dun onduleur triphas

Couple (Nm)

7 0 6 0 5 0 4 0 3 0 2 0 1 0 0 0 ,1 0

0 ,1 1

0 ,1 2

0 ,1 3

0 ,1 4

0 ,1 5

Temps(s)

Partie2

Couple (Nm)

7 0 6 0 5 0 4 0 3 0 2 0 1 0 0 0 ,1 5

0 ,1 6

0 ,1 7

0 ,1 8

0 ,1 9

0 ,2 0

Temps(s)

Partie3 A partir de ces rsultats on peut remarquer que les rsultats des deux modles (comportemental et moyen) ont des rponses de couple lectromagntique qui suivent la rfrence de la charge. Les perturbations montres par le modle moyen sont moins importantes que celles visualises pour le modle comportemental du fait de sa simplicit, et sa manire implicite de reprsenter quelques phnomnes physiques (inductance de cblage, rsistance de cblage). Il peut donner une ide moyenne de comportement ( comportement moyen : cest de moyenner les phnomnes transitoires, pertes etc.), donc on peut considrer ce modle comme une tape intermdiaire avant le dveloppement dun modle physique des composants du systme.

63 PDF created with pdfFactory Pro trial version www.pdffactory.com

Chapitre III V.5 Modle physique de londuleur de tension

Modlisation dun onduleur triphas

Il aurait t intressant de faire galement la modlisation physique de londuleur de tension base de transistors IGBT. Pour cela, il faut disposer au pralable des paramtres physiques et technologiques de ce type de composants afin de modliser sous VHDL-AMS le schma quivalent du transistor IGBT (figure III.12) et lincorporer dans londuleur. Nous pensons cette alternative comme perspective future de notre travail. La mthode propos pour prsent le schma de la figure III.12 est lanalyse des caractristiques du composant en definissant des domaines de fonctionnement dans lesquel le comportement MOSFET peut tre dissoci du comportement bipolaire. Ces domaines de fonctionement sont aproxims par des segments de droite. Le modle complet provient dune combinaison du modle statique ainsi obtenu avec les modles de capacits (linaires ou non linaires) entre les lectrodes [27].

C
E1 J2 L1 r2 K2 RonD4 Vseuil Rsense1 K1 Rsense2 L2 Cr RonDE RoffDE Rge Et Je RonD3 RoffD3 Ke J12 RoffD4 RonD1 RoffD1 J1 R1

G
Cge

Cce

RonD2 RoffD2

K12

E MOSFET Transistor Bipolaire


Figure III.12 : Modle complet dapproximation linaire de lIGBT.

64 PDF created with pdfFactory Pro trial version www.pdffactory.com

Chapitre III

Modlisation dun onduleur triphas

VI Conclusion
Dans ce chapitre nous avons prsent la structure gnrale de la commande a intgr puis nous avons dfini quelques blocs de cette commande tels que les convertisseurs (CAN et CNA), la DTC et londuleur. Dans un premier temps nous sommes intresss la modlisation comportementale dun onduleur a six transistor IGBT command par trois signaux dcals de 2/3 sous VHDL-AMS. Aprs nous avons donn les rsultats de simulations (couple lectromagntique) dun modle comportemental de londuleur introduit dans la DTC et connect la machine. Ensuite nous avons donn des rsultats de simulation du modle moyen de londuleur considr connect a la machine asynchrone, ont permet de visualiser lallure du couple lectromagntique, Enfin nous avons fait une comparaison entre les deux rsultats de simulation (couple lectromagntique) du modle moyen et du modle comportemental de londuleur.

65 PDF created with pdfFactory Pro trial version www.pdffactory.com

PDF created with pdfFactory Pro trial version www.pdffactory.com

Conclusion gnrale

CONCLUSION GENERALE
Les circuits intgrs contiennent des systmes toujours plus complexes comportant la fois des fonctions numriques et analogiques. De plus, laugmentation du nombre de composants par puce qui croit dune faon exponentielle avec le temps (quelques milliers de transistors quelques millions), fait que lutilisation dune mthodologie de conception hirarchique soit indispensable. Cette mthodologie est base sur la modlisation comportementale de chaque composant du circuit considr, il faut choisir donc un outil de modlisation afin de rduire le temps de simulation, de conception et damliorer la fiabilit dans les deux domaines analogique et numrique. Cela est aujourdhui possible grce loffre rcente de puissants langages de modlisation comportementale analogique et mixte. L'avnement rcent d'outils bass sur le nouveau standard VHDL-AMS vise la modlisation du systme complet, divers niveaux d'abstraction, (comportementale, fonctionnelle et physique) intgrant les diffrents domaines technologiques (lectrique, mcanique, thermique ...). Leur but est la validation du produit fini dans les conditions extrmes de fonctionnement. La premire phase de ce travail a port sur une initiation au nouveau langage de description matriel : le langage VHDL-AMS. Pour cela, nous avons commenc par prsenter des exemples de modlisation dlments passifs tels que la rsistance, la self inductance et la capacit, puis nous nous sommes intresss des lments actifs tels que la diode, et finalement des lments numriques tels que le dcodeur. Nous avons galement, prsent les diffrents niveaux de modlisation tel que la modlisation comportementale, fonctionnelle et physique. Pour montrer la porte de ce langage nous avons compar les rsultats obtenus avec une simulation par le logiciel SPICE. Dans le deuxime chapitre nous avons abord une modlisation de composants actifs : Une modlisation comportementale dun transistor MOS de puissance : lIRF150 de la bibliothque SPICE. Une application du modle labor a t de le considrer dans un circuit amplificateur radio frquence de puissance. Une modlisation fonctionnelle du transistor IGBT seul puis intgr dans un onduleur autonome a base dIGBT. Pour cela, nous avons considr une modlisation comportementale. Dans les deux cas, les rsultats obtenus ont t conforts par une comparaison avec des simulations SPICE et MATLAB.

66 PDF created with pdfFactory Pro trial version www.pdffactory.com

Conclusion gnrale Lavantage quoffre VHDL-AMS par rapport ces deux logiciels rside dans la transportabilit du modle labor dun simulateur un autre. Il suffit de le considrer comme un Package. La phase finale de notre travail concerne une application lintgration dun systme de commande de puissance, qui tait notre but principal. Ainsi, nous avons commenc par la modlisation comportementale dun onduleur a trois bras contenant six transistors IGBT, puis nous avons prsent une modlisation fonctionnelle de londuleur considr dans la DTC. Nous avons commenc par considrer un modle comportemental, puis dans un second cas un modle fonctionnel (dit modle moyen) de londuleur. Dans les deux cas, londuleur est connect une machine asynchrone. La comparaison des deux modles a permis de fixer les avantages et les limites prsents par chacun; notamment par rapport aux temps de monte, de descente et les fluctuations autour du signal rfrence. Comme perspective notre travail, il serait intressant daller vers la modlisation physique de londuleur connect la machine asynchrone. Cette perspective permettra daller vers ce quon appelle lintgration dun systme de commande de puissance ou lintgration de puissance sur une seule puce c'est--dire la conception dun ASIC (circuit intgr a application spcifique).

67 PDF created with pdfFactory Pro trial version www.pdffactory.com

RESUME
La conception de systmes embarqus passe par diffrents niveaux de modlisation (fonctionnelle, comportementale et physique). Pour cela, il est indispensable de faire appel des langages de modlisation multi-technologique tel que le VHDL et le VHDL-AMS.
Le langage VHDL-AMS est un standard IEEE de mme que le VHDL, il a t dvelopp comme une extension du VHDL pour donner la possibilit de faire la modlisation et la simulation de circuits analogiques et mixtes logiques-analogiques.

Notre travail a permis de faire une description matrielle par le VHDL-AMS pour la conception de modles analogiques et mixtes. Nous avons dvelopp dune part, une bibliothque de composants adapte la modlisation des circuits de puissance. La bibliothque est constitue dlment passifs tel que rsistance, self et capacit, et dlments actifs tels que la diode, le transistor MOS, transistor IGBT,,etc. Cette bibliothque facilite la tche de conception dun ASIC qui tient compte de la partie numrique de la commande, de la partie analogique, du conditionnement des signaux et de la partie puissance. Dautre part, une partie de notre travail concerne ltude dun onduleur triphas base dIGBT. Les rsultats permettent de mettre en vidence lapport de la modlisation comportementale par rapport une modlisation fonctionnelle et linverse.

Mots Clefs :
VHDL-AMS, DTC, Modlisation comportementale, Onduleur.

PDF created with pdfFactory Pro trial version www.pdffactory.com

Abstract:
The design of the integrated systems passes by various levels of modelling (functional, behavioural and physical). Thus, it is essential to use multi-technological modelling languages such as VHDL and VHDL-AMS. VHDL-AMS language is an IEEE standard just as VHDL. VHDL-AMS is an extension of the VHDL, its main purpose is to give the possibility of modelling and simulating analogical and mixed logic-analogical circuits. Our work has made it possible to make a material description by the VHDL-AMS for the design of analogical and mixed models. An one hand, we developed a library of components adapted for the modelling of the power circuits. The library constitute of passive elements such as resistance, coil and capacity, and of active elements such as diode, transistor MOS, transistor IGBTetc. This library facilitates the task of design of ASIC which takes accout of the digital part of the commande, the analogical part, the conditioning of the signals and the power part. On the other hand, part of our work relates to the study of a three-phase inverter containing IGBT. The results obtained helped us to highlight the contribution of behavioural modelling compared to a functional modelling as well as the reverse.

Key Words:
VHDL-AMS, DTC, Behavioural Modelling, Inverter.

PDF created with pdfFactory Pro trial version www.pdffactory.com

PDF created with pdfFactory Pro trial version www.pdffactory.com

Bibliographie
[1] F. Lmery, Modlisation Comportementale des Circuits Analogiques et Mixtes, Thse de doctorat, Institut National Polytechnique De Grenoble, 20 dcembre 1995. A.-M. Trullemans-Anckaert, Mthodologie de Validation de Systmes Mixtes, en Environnement Raliste, Actes du Colloque international sur Techniques et Technologies Fondamentales de la Nouvelle Economie2002 (TTFNE2002), Albena, Bulgaria, 15-18 sept, pp 197-202. 2002. Y. Herv, VHDL-AMS Applications et Enjeux Industriels, Cours et exercices corrigs 2eme et 3eme cycles coles dingnieurs de paris, 2002. R. Benachour, Contribution a Lintegration des Systemes de Commande de Machines a Courant Alternatifs, Mmoire de magister, Facult des sciences de lingnieur, Institut de llectronique, Universit de Constantine, 2002. S. Jemmali, Contribution lElaboration de Mthodologies et dOutils daide la Conception de Systmes Multi-Technologiques, Thse de doctorat, Ecole Nationale Suprieure des Tlcommunications de Paris, novembre 2003. O. Alali, Modlisation VHDL-AMS Analogique et Simulation SPICE, Thse de doctorat, Ecole Nationale Suprieure des Tlcommunications de Paris, novembre 1998. A. Vachoux, Modlisation de Systmes Analogiques et Mixte introduction a VHDLAMS, Notes de Cours Option 2me cycle, Ecole Polytechnique Fdrale de Lausanne, Et 2003. Y. Herv, VHDL-AMS Applications et Enjeux Industriels, Cours et exercices corrigs 2eme et 3eme cycles coles dingnieurs de paris, 2002. www-ensps.u-strasbg.fr/CoursEN/option3A/vhdlamsb.htm. Y. Kebbati, Dveloppement dune Mthodologie de Conception Matriel Base de Modules Gnriques VHDL/VHDL-AMS en Vue dune Intgration de Systmes de Commande Electriques, Thse de doctorat, Ecole Doctorale Sciences Pour lIngnieur, Universit Luis Pasteur Strasbourg, Dcembre 2002. H. Shichman, D.A. Hodges, Modeling and Simulation of Insulated-Gate Field-Effect Transistor Switching Circuits, IEEE Journal Solid-State Circuits, Volume: 3 Issue: 3 , Sep 1968, page(s):285 .289. D. P. Foty, MOSFET modeling with SPICE, Principe and Practice, 653 pages, Prentice Hall PTR, New Jersey, USA, 1997. [2]

[3]

[4]

[5]

[6]

[7]

[8]

[9] [10]

[11]

[12]

PDF created with pdfFactory Pro trial version www.pdffactory.com

[13]

S. Latreche, Le Transistor Mtal-Oxide-Semiconducteur a Canal Vertical en Rgime Non Linaire dAmplification Haute frquence de Puissance, Thse de doctorat 3eme cycle, Universit de Paul Sabatier Toulouse, 1985. K. Kassmi, Transistor Vdmos pour Amplification de Puissance en Bande UHF, Thse de doctorat, Universit Paul Sabatier de Toulouse, 1993. B. J. BALIGA, M. S. ADLER, R. P. LOVE, P. V. GRAY, and M. D.ZOMMER The Insulated Gate Transistor, a New Three-Terminal- MOS-controlled Bipolar Power Device, IEEE Trans. Electron Devices, vol. ED-31, pp. 821-828, 1984. J. P. RUSSEL and al. The COMFET- A New High Conductance MOS Gated Device, IEEE Electron Device Lett, vol EDL-4, pp.63-65, Mar. 1983. R. Neale, The Lastest IGBTs set to Seriously Damage the Health of GTOs, Electronic Engineering; pp 31-34, December 1995. H. Yilmaz, K. Omyang, M. Chang, J. Benjamin and R. Van Dell Recent Advances in Insulated Gate Bipolar Transistor Technology, IEEE Trans on Industry Applications, Vol 26 No5, pp 831-834, Sep/Oct 1990. J.P Charte Elments de Physique sur le Composant de Puissance IGBT, Sminaire technique SEE sur le composant IGBT, 22 sept 1989. B. K. BOSE Evaluation of Modern Power Semiconductor Devices and Future Trends of Converters, IEEE Trans on Industry Application, Vol 28 n 2, pp 403-414, march/april 1992, O. Elmazria, Caractrisation et Simulation de l'IGBT Dans le But d'Optimiser Ses Performances au Moyen d'Irradiation par Electrons , Thse de doctorat, Ecole Doctorale: Promen, Universit de Metz et Supelec, Acadmie de Nancy-Metz, 15 novembre 1996. Y. A. Chapuis, Contrle Directe du Couple dune Machine Asynchrone par lOrientation de son Flux Statorique, Thse de doctorat, Institut National Polytechnique de Grenoble, Janvier 1996. F. Zidani, M. S. N. Said, Etude Comparative par Simulation Numrique des Performances dun Pilotage Vectoriel et Scalaire dune Machine Induction, Proceeding of the IEEA97, vol. Electronical Engineering, pp. 323-327, Decembre 1997. G. Sguier, Llectronique de Puissance : les Fonctions de Base et leurs Principales Applications, 5e dition , Edition Dunod, Paris, 1985. P. Lautier, Modlisation des Convertisseurs Dcoupage pour la Conception et la Commande : Application lOnduleur, Thse de doctorat, , Institut National des Sciences Appliques de Lyon, N 98 ISAL 0001, 1998.

[14]

[15]

[16]

[17]

[18]

[19]

[20]

[21]

[22]

[23]

[24]

[25]

PDF created with pdfFactory Pro trial version www.pdffactory.com

[26]

J. M. Retif, Commande des Systmes Electrotechniques, Rapport de synthse, Institut National des Sciences Appliques de Lyon, 2000. A. Komiakp, Modlisation et Mise en Oeuvre de lIGBT dans un Onduleur de Moyenne Puissance , thse de doctorat, Institut National Polytechnique de Lorraine I.N.P.L, Gnie Electrique, 1996.

[27]

PDF created with pdfFactory Pro trial version www.pdffactory.com

PDF created with pdfFactory Pro trial version www.pdffactory.com

Annexe A

ANNEXE. A
MODEL IRF150 NMOS (Level=3 Gamma=0 Delta=0 Eta=0 Theta=0 Kappa=0 Vmax=0 Xj=0 +Tox=100n Uo=600 Phi=.6 Rs=1.624m Kp=20.53u W=.3L=2uVto=2.831 +Rd=1.031m Rds=444.4K Cbd=3.229n Pb=.8 Mj=.5 Fc=.5 Cgso=9.027n +Cgdo=1.679n Rg=13.89 Is=194E-18 N=1 Tt=288n) *Int'l Rectifier pid=IRFC150 case=TO3 *88-08-25 bam creation MODEL IXGH40N60 NIGBT + TAU=287.56E-9 + KP=50.034 + AREA=37.500E-6 + AGD=18.750E-6 + VT=4.1822 + KF=.36047 + CGS=31.942E-9 + COXD=53.188E-9 + VTD=2.6570 MODEL IGBT IRG4RC10K cgso => 2.11227e-6, kp => 0.580427, lambda => 0.0, l => 100.0e-6, vto => 6.5, w => 100.0e-6) bf => 7.20054, br => 0.999923, cjc => 1.94801e-10, cje => 3.89602e-9, eg => 0.5, ikf => 36.2174, ikr => 1000.0, irb => 1006.78, is0 => 3.03107e-16, isc => 9.99996e-12, ise => 4.051e-11, mjc => 0.526487, mje => 0.9, nc => 1.99997, ne => 2.12269, nf => 1.5, nr => 0.97982, rb => 0.0001, A1 PDF created with pdfFactory Pro trial version www.pdffactory.com

Annexe A rbm => 0.0001, rc => 0.00100411, re => 0.000994796, tf => 3.1632e-07, vaf => 161.933, var => 104.518, vjc => 0.4, vje => 0.4, xtb => 0.0615215, xti => 2.95886)

A2 PDF created with pdfFactory Pro trial version www.pdffactory.com

PDF created with pdfFactory Pro trial version www.pdffactory.com

Annexe B

ANNEXE. B
Le Contrle Direct du Couple
Le principe t valid au chapitre III paragraphe II.

I. CONTROLE DU VECTEUR FLUX STATORIQUE


On utilisera alors la formulation en quation dtat dun systme linaire continu coefficients constants dont le rfrentiel est li au stator (S). d X(t) Lquation dtat est donne par : = A X(t) + B u(t) (B.1) dt A partir de cette expression en intgrant lquation de tension statorique : Lorsque t varie dans lintervalle de contrle [0,Te], ou le vecteur tension slectionn par la commande est constant . dou : S(t) = S(0) + VS.t -

dS = (VS R SIS ) dt
t t 0 0

(B.2)

(R I ) dt
t S S 0

(B.3)

Par hypothse on considre la rsistance statorique RS comme constante au cours du temps. * Pour simplifier ltude, on considre la chute de tension dans lenroulement statorique RS.IS comme ngligeable devant la tension VS (se qui se vrifie la vitesse suffisamment leve). On constate alors que sur un intervalle [0,Te] ;lextrmit du vecteur S se dplace sur une droite dont la direction est donne par le vecteur Vs slectionn pendant Te. figure B.1

Figure B.1: Exemple de lvolution de lextrmit de S pour RS.IS ngligeable.

La composante de flux: cest la composante radiale du vecteur tension fait varier lamplitude de S . La composante de couple: cest la composante tangentielle du vecteur tension fait varier la position S .

B1 PDF created with pdfFactory Pro trial version www.pdffactory.com

Annexe B En choisissant une squence adquate des vecteurs VS, sur les priodes de commande Te, il est donc possible de fonctionner avec un module de flux S pratiquement constant en faisant suivre lextrmit de S une trajectoire presque circulaire, si la priode Te est trs faible devant la priode de rotation du flux statorique. Lorsque le vecteur tension VS slectionn est nul, la direction du dplacement de lextrmit d s de S est donne par sa drive , correspondant la force lectromotrice ES. dt d s est pratiquement Supposant le terme RS.IS comme ngligeable, on montre que le vecteur dt d s gal au vecteur tension ( Vs # ), la vitesse de dplacement de lextrmit du flux S est dt donne par lamplitude de la drive du vecteur flux, qui est gal 2 U0. 3 Par consquent, lextrmit du flux statorique suit la direction donne par la force lectromotrice d s , on reprsente trois directions possibles de ce vecteur flux S. dt La vitesse de rotation de S dpend fortement de choix de VS, elle est maximal si la force d s lectromotrice est perpendiculaire la direction du vecteur S . dt

II. DESCRIPTION DE LA STRUCTURE DE CONTROLE II.1. Slection du vecteur tension Vs


Le choix du vecteur tension VS dpend de la position de S dans le rfrentiel (S), de sens de rotation de S ,de la variation souhaite pour le module de S, et galement de lvolution souhaite pour le couple lectromagntique. Lextrmit du flux S peut tre contrle et dplace de manire maintenir lamplitude du vecteur flux lintrieur dune certaine fourchette (bande). Lespace dvolution de S dans (S) est dcompos en six zones i, avec i= [1,6]; telle que reprsentes sur la figure B.2.

Lorsque le vecteur flux S se trouve dans une zone i, le contrle du flux et du couple peut tre assur en slectionnant lun des huit vecteurs tensions suivants : *Si Vi+1 est slectionn alors S croit et elm croit . *Si Vi-1 est slectionn alors S croit et elm dcrot . *Si Vi+2 est slectionn alors S dcrot et elm croit . *Si Vi-2 est slectionn alors S dcrot et elm dcrot . *Si V0 et V7 sont slectionn alors la rotation du flux S est arrte ,dou une dcroissance du couple alors que le module du vecteur S reste inchang . Quel que soit le sens dvolution du couple ou du flux, dans une zone de position N = i, les deux vecteurs tensions Vi et Vi+3 ne sont jamais utiliss . Ce qui signifie que lors du dplacement du flux S sur une zone N = i , un bras de londuleur ne commute jamais.

B2 PDF created with pdfFactory Pro trial version www.pdffactory.com

Annexe B

Figure B.2: Slection du vecteur tension selon N= i.

Le niveau defficacit des vecteurs tensions appliqus dpend galement de la position du vecteur flux de la zone i. En effet en dbut de la zone, les vecteurs Vi+1 et Vi-2, sont perpendiculaires S dou une volution rapide du couple mais une volution lente de lamplitude du flux S, alors quen fin de zone, lvolution est inverse. Avec les vecteurs Vi-1 et Vi+2, il correspond une volution lente du couple et rapide de lamplitude de S en dbut de zone, alors quen fin de zone cest le contraire.

II.2. Estimation du flux statorique


On dfinit les tapes de calcul ncessaires lestimation de lamplitude du flux statorique. A partir de lexpression ((3.6) chapitre III) on tablira la dcomposition du vecteur flux S, suivant les axes(,), soit : S = S + j.S (B.4) S = S =

Ct exprimental lestimation du flux peut tre ralise partir des mesures des grandeurs statoriques courants et de la tension de la machine. On obtient les tensions Vs et Vs partir des commandes (Sa, Sb, Sc), de la mesure de la tension U0 et en appliquant la transforme de CONCORIDIA : VS = VS + j .VS (B.7) VS = 2 .U0.(Sa 1 (Sb + Sc)) (B.8) 3 2 VS = 1 .U0. (Sb - Sc) (B.9) 2 De mme les courants IS et IS sont galement obtenus par la transformation de CONCORDIA, partir des courants iSa, iSb et iSc mesurs, soit : IS = IS + j. IS (B.10) Is = 2 .iSa et Is = 1 .(iSb - iSc) (B.11) 3 2 Le module du flux statorique scrit :

(V R .I
0 s s

(V
t 0 t

R S.IS dt
s

)dt

(B.5) (B.6)

B3 PDF created with pdfFactory Pro trial version www.pdffactory.com

Annexe B
2 + 2 S = S S

(B.12)

II.3. Elaboration du correcteur de flux


De manire obtenir de trs bonnes performances dynamiques, le choix dun correcteur hystrsis deux niveaux semble tre la solution la plus simple et la mieux adapte la commande tudie. En effet, avec ce type de contrleur, on peut facilement contrler et maintenir lextrmit du vecteur flux S dans une couronne circulaire, comme le montre la figure B.3 (a). La sortie du correcteur de flux, donne par la grandeur boolen cflx, indique les dpassement suprieur et infrieur de lamplitude du flux, comme le montre la figure B.3 (b). Ainsi, le comparateur hystrsis deux niveaux, appliqu sur le flux, permet de dtecter les dpassement de la zone de contrle et de respecter |Sref - S | S, avec ref la consigne de flux et S lcart dhystrsis du correcteur.

Figure B.3: (a) Slection des tensions VS correspondant au contrle de lamplitude S. (b) Contrleur hystrsis deux niveaux.

II.4. Estimation du couple lectromagntique


Lexpression gnrale du couple lectromagntique qui est la suivant : elm = Pp. Lm [IR (S) IS (S)] (B.13) Permet destimer le couple elm, uniquement partir des grandeurs statoriques flux et courant. A partir des composantes et des grandeurs flux et courant, le couple peut se mettre sous la forme : elm = Pp. [S . IS - S . IS] (B.14)

II.5. Elaboration du contrleur de couple


Dans ltude du contrleur, appliqu au couple, deux types de comparateur hystrsis peuvent tre envisag pour obtenir la dynamique souhaite sur le couple soit : -un correcteur action trois positions . -un correcteur action deux positions . ltat de sortie logique ccpl du comparateur suivant lvolution du couple lectromagntique elm par rapport au signe du couple de rfrence ref. lutilisation de structure de commande, pour des applications de type traction, permet de choisir un comparateur hystrsis deux niveaux, pour le correcteur de couple. En effet, dans ce cas, seuls deux quadrants sont utiliss la fois.

B4 PDF created with pdfFactory Pro trial version www.pdffactory.com

Annexe B La grande simplicit de limplantation de cet outil de contrle est galement un lment important dans le choix du comparateur deux niveaux. De plus, les considrations nergitiques sur le convertisseur imposent un nombre restreint de communications. Or, pour une mme largeur dhystrsis de contrle, le comparateur deux niveaux ncessitera un nombre moins lev de communications.

II.6. Table de vrit et structure du contrle direct du couple


II.6.1. ELABORATION DE LA TABLE DE VERITE La table de commande est construite en fonction de ltat des variables cflx et ccpl , et la zone N de position de S. Elle se prsente donc sous la forme suivante : N ccpl = 1 cflx =1 ccpl = 0 ccpl = -1 ccpl = 1 cflx =0 ccpl = 0 ccpl = -1 1 V2 V7 V6 V3 V0 V5 2 V3 V0 V1 V4 V7 V6 3 V4 V7 V2 V5 V0 V1 4 V5 V0 V3 V6 V7 V2 5 V6 V7 V4 V1 V0 V3 6 V1 2 niveaux V0 V5 V2 2 niveaux V7 V4 3 niveaux 3 niveaux Correcteur

Tableau B.1: Table de commande.

En slectionnant lun des deux vecteurs nuls V0 ou V7, la rotation du flux S est arrte et entrane une dcroissance du couple elm. On choisit alternativement V0 et V7 de manire minimiser le nombre de commutation de londuleur. II.6.2. STRUCTURE GENERALE DU CONTROLE DIRECT DU COUPLE La structure complte de la commande, pour un sens de rotation donn de S, est reprsente sur la figure B.4. la table de vrit de la structure est reprsente dans le cas dun correcteur hystrsis deux niveaux, pour la correction du couple.

Figure B.4 : Schma de la structure gnrale du Contrle Direct du Couple.

B5 PDF created with pdfFactory Pro trial version www.pdffactory.com

PDF created with pdfFactory Pro trial version www.pdffactory.com

Annexe D

ANNEXE. C
Paramtres du modle moyen donduleur de tension

Caractristiques de lIGBT : ces paramtres sont obtenu dun relev exprimentale (CGELY) sur le module de Mitsubishi le CM150DY-12H, 150A/600V. Courant de saturation du modle logarithmique de lIGBT : IST : 353 A Tension de seuil du modle logarithmique de lIGBT : UTT : 139 mV Rsistance srie du modle logarithmique de lIGBT : RT : 477 Retard virtuel pour le courant dans lIGBT : T.I : 0.4s Retard virtuel pour la tension aux bornes de lIGBT : T.V : 0.13s

Caractristiques de la diode : ces paramtres sont obtenu dun relev exprimentale (CGELY) sur le mme module de Mitsubishi le CM150DY-12H, Courant de saturation du modle logarithmique de la diode : ISD : 80.4 A Tension de seuil du modle logarithmique de la diode : UTD : 180 mV Rsistance srie du modle logarithmique de la diode : RD : 419

C1 PDF created with pdfFactory Pro trial version www.pdffactory.com

S-ar putea să vă placă și