Sunteți pe pagina 1din 25

[Escriba aqu] 98

Ao de la Inversin para el Desarrollo Rural y la Seguridad Alimentaria UNIVERSIDAD NACIONAL JORGE BASADRE GROHMANN

FACULTAD DE INGENIERA
ESCUELA ACADMICO PROFESIONAL DE INGENIERA EN SISTEMAS

INFORME N 06: REGISTRO DE DESPLAZAMIENTO DE ENTRADA SERIE Y SALIDA PARALELO

CURSO:

CIRCUITOS ELECTRNICOS Y ARQUITECTURA DE COMPUTADORAS

JEFE DE PRCTICA: Ing. HUGO BARRAZA VIZCARRA ALUMNO: ALEX VILCA CRUZ CDIGO: 2010-35555 AO: 4TO / GRUPO B

TACNA-PER 2013

UNJBG- FAIN-ESIS
[CIRCUITOS ELECTRNICOS Y ARQUITECTURA DE COMPUTADORAS]

INFORME DE LABORATORIO N 06: REGISTRO DE


DESPLAZAMIENTO DE ENTRADA SERIE Y SALIDA PARALELO 1. INTRODUCCIN En el procesamiento digital de datos se necesita con frecuencia retener los datos en ciertas ubicaciones intermedias del almacenamiento temporal, con el objeto de realizar algunas manipulaciones especficas, despus de las cuales los datos modificados se pueden enviar a otra localizacin similar. Los dispositivos digitales donde se tiene este almacenamiento temporal se conocen como registros. Al igual que los contadores, los registros estn implementados con biestables. En este informe de laboratorio analizaremos los registros realizados con el circuito integrado TTL 7474 como base para la realizacin de esta funcin. 2. OBJETIVOS ? ? Reconocer la utilidad de los registros de desplazamiento. Disear e implementar un registro de desplazamiento de entrada serie y salida paralelo utilizando Flip-FLops D de 4 bits. 3. FUNDAMENTO TERICO 3.1. LOS REGISTROS Los registros son bloques funcionales destinados a almacenar o registrar informacin binaria durante un cierto tiempo, generalmente, dentro de un proceso global de tratamiento de dicha informacin. As como un biestable puede almacenar un bit, un conjunto de n biestables constituyen un registro de n bits.

UNJBG- FAIN-ESIS
[CIRCUITOS ELECTRNICOS Y ARQUITECTURA DE COMPUTADORAS]

Un registro es, por tanto, un circuito de memoria temporal, capaz de almacenar un nico dato de n bits, siendo n el nmero de biestables que utiliza el registro. Los registros, en funcin de su capacidad en: o incapacidad para realizar internamente el desplazamiento de la informacin almacenada en ellos, se clasifican

Figura N 1: Tipos de registros por la forma de recibir y transmitir la informacin. 3.1.1. REGISTROS DE ALMACENAMIENTO Los registros de almacenamiento estn formados por un conjunto de biestables (normalmente tipo D) aislados entre s, con una seal de reloj comn a todos ellos, de forma que en todos se cargan simultneamente los datos presentes en sus entradas, siendo accesibles en cada momento sus entradas y salidas. Si los registros de almacenamiento se activan por nivel, tambin reciben el nombre de latch (cerrojo). Las formas en que se hace llegar la informacin al registro, y de extraerla posteriormente del mismo, dan lugar a distintos tipos de registros. Los datos pueden ser transferidos al registro en forma serie o paralelo (McGraw-Hill).

UNJBG- FAIN-ESIS
[CIRCUITOS ELECTRNICOS Y ARQUITECTURA DE COMPUTADORAS]

3.1.2. REGISTROS DE DESPLAZAMIENTO Es un registro capaz de desplazar su informacin binaria hacia la izquierda o hacia la derecha se llama registro de desplazamiento. la configuracin lgica de un registro de desplazamiento consiste en una cadena de flip-flops conectados en cascada, con la salida de un flip-flop conectado a la entrada del siguiente. Todos los flip-flops reciben un pulso de reloj comn el cual causa el desplazamiento de un estado al siguiente.

Figura N 2: Registro de desplazamiento.

El registro de desplazamiento ms sencillo es aquel que usa solamente flip-flops.La salida Q de un flip-flop dado, se conecta a la entrada D del flip-flop a la derecha. Cada pulso de reloj desplaza el contenido del registro un bit en posicin a la derecha. La entrada serial determina qu va en el flip-flop de la extrema izquierda durante el desplazamiento. La salida serial se toma de la salida del flip-flop de la extrema derecha despus de la aplicacin de un pulso. Aunque este registro desplace su contenido a la derecha, si se voltea la pgina se observa que el registro desplaza su contenido a la izquierda. As un registro de desplazamiento unidireccional puede funcionar como un registro de desplazamiento a la derecha o a la izquierda (Morris Mano, 1982).

UNJBG- FAIN-ESIS
[CIRCUITOS ELECTRNICOS Y ARQUITECTURA DE COMPUTADORAS]

3.1.3. TIPOS DE ENTRADAS Y SALIDAS EN LOS REGISTROS DE DESPLAZAMIENTO Existen diversas formas de cargar o extraer informacin en un registro de corrimiento. En la figura 6.4.3 se muestran las distintas formas de mover la informacin en un registro de corrimiento.

Figura N 3: Tipos de Entradas y Salidas en los registros de desplazamiento. 3.2. CIRCUITO INTEGRADO TTL 7474 Este circuito integrado contiene dos flip flop, Latch o bsculas tipo D activadas por flanco positivo. El funcionamiento del circuito para que tengamos el valor de la entrada D en la salida Q se har cuando tengamos un flanco positivo en la seal de reloj T. El terminal S (Set) si la colocamos a nivel bajo nos pondr la salida Q a nivel 1 y el terminal R al ponerlo a nievel bajo pasa la salida Q a estar a nivel 0 (Vicente, 2012). 6

UNJBG- FAIN-ESIS
[CIRCUITOS ELECTRNICOS Y ARQUITECTURA DE COMPUTADORAS]

Figura N 4: Circuito Integrado 7474 TTL.

4. MATERIALES Y EQUIPO ? 01 protoboard.

Figura N 5 ? 01 fuente de voltaje (0-12 V).

UNJBG- FAIN-ESIS
[CIRCUITOS ELECTRNICOS Y ARQUITECTURA DE COMPUTADORAS]

Figura N 6

UNJBG- FAIN-ESIS
[CIRCUITOS ELECTRNICOS Y ARQUITECTURA DE COMPUTADORAS]

Cable UTP 1m.

Figura N 7

01 multitester digital.

Figura N 8 ? Circuito integrado TTL 555, 7404, 7474 (2uu.)

UNJBG- FAIN-ESIS
[CIRCUITOS ELECTRNICOS Y ARQUITECTURA DE COMPUTADORAS]

Figura N 9

10

UNJBG- FAIN-ESIS
[CIRCUITOS ELECTRNICOS Y ARQUITECTURA DE COMPUTADORAS]

01 Condensador 10uF

Figura N 10 ? Resistencias de 10 K,100 K, 320 (4uu)

Figura N 11 ? ? 01 manual TTL/CMOS Programa de simulacin para circuitos

5. PROCEDIMIENTO Y DESARROLLO 5.1. ? 11 ANALISIS DE LOS REGISTROS DE DESPLAZAMIENTO Los dos tipos de registros de desplazamiento son serie y paralelo.

? En un registro paralelo los bits se almacenan simultneamente a

UNJBG- FAIN-ESIS
[CIRCUITOS ELECTRNICOS Y ARQUITECTURA DE COMPUTADORAS]

partir de lneas paralelas, mientras que en un registro de desplazamiento serie, los bits se almacenan de uno a uno.

12

UNJBG- FAIN-ESIS
[CIRCUITOS ELECTRNICOS Y ARQUITECTURA DE COMPUTADORAS]

Figura N 11: Funcionamiento de un registro de desplazamiento. ? Estos dos tipos se emplean, entre otras cosas, para transformar palabras de informacin en paralelo a una sucesin de bits sobre una lnea, es decir, datos serie (registro de desplazamiento paralelo-serie), o una sucesin de datos en serie en una palabra de datos en paralelo (registro de desplazamiento serie- paralelo). ? Un registro de desplazamiento que permite almacenar temporalmente n bits estar formado por n biestables.

5.2.

ANALISIS DEL FLIP FLOP TIPO D

Un problema con el flip-flop set-reset con compuertas NAND con entrada de control es que puede haber una salida no valida cuando las entradas set y reset son ambas 1. ste es el estado no utilizado y debe evitarse en lo posible.

13

UNJBG- FAIN-ESIS
[CIRCUITOS ELECTRNICOS Y ARQUITECTURA DE COMPUTADORAS]

Figura N 13: Flip - Flop Tipo D. ? Para evitar esto se coloca un inversor entre las entradas set y reset. Esto crea una nueva entrada que se llamar D. ? La simbologa del Flip-Flop D esta dado en la siguiente figura.

Figura N 14: Simbologa del Flip - Flop Tipo D. ? La tabla de la verdad para el Flip-Flop tipo D. Tabla 1: Tabla de verdad del flip-flop tipo D ENTRADAS D 0 1 0 1 CLK 0 0 1 1 SALIDAS Q ~Qn No n hay cambio No hay cambio 0 1 1 0

La salida Q es igual a la entrada D cuando la entrada de control (CK) est a nivel alto. 14

UNJBG- FAIN-ESIS
[CIRCUITOS ELECTRNICOS Y ARQUITECTURA DE COMPUTADORAS]

5.3. DISEO DEL REGISTRO DE DESPLAZAMIENTO DE ENTRADA SERIE Y SALIDA PARALELO


En este tipo de registro los bits de datos se introducen en serie y se dispone de la salida en cada etapa.

Figura N 15: Registro de desplazamiento serie-paralelo

5.4.

IMPLEMENTACION DEL CIRCUITO CONTADOR


Se us el Programa Simulador de Circuitos para el funcionamiento del registro de desplazamiento. ? Para construir el registro de desplazamiento, empec por agregar las entradas y salidas.

15

UNJBG- FAIN-ESIS
[CIRCUITOS ELECTRNICOS Y ARQUITECTURA DE COMPUTADORAS]

Figura N 16: Componentes para el Registro de desplazamiento

16

UNJBG- FAIN-ESIS
[CIRCUITOS ELECTRNICOS Y ARQUITECTURA DE COMPUTADORAS]

Luego agregue las conexiones bsicas Vcc y tierra.

Figura N 17: Conexiones bsicas para el Registro de desplazamiento

Teniendo mucho cuidado con las entradas y salidas del circuito integrado 7474 y con la ayuda del manual TTL se logr implementar el registro de desplazamiento de entrada serie y salida paralelo.

Figura N 18: Registro de desplazamiento de entrada serie y salida paralelo

17

UNJBG- FAIN-ESIS
[CIRCUITOS ELECTRNICOS Y ARQUITECTURA DE COMPUTADORAS]

6. RESULTADOS
?

Aqu algunas imgenes de los resultados obtenidos del circuito de desplazamiento en funcionamiento.

18

UNJBG- FAIN-ESIS
[CIRCUITOS ELECTRNICOS Y ARQUITECTURA DE COMPUTADORAS]

Figura N 19: Registro de desplazamiento funcionando

19

UNJBG- FAIN-ESIS
[CIRCUITOS ELECTRNICOS Y ARQUITECTURA DE COMPUTADORAS]

Tambin se puede ver el funcionamiento del clear.

Figura N 20: Verificacin de la funcin limpiar registro

7. TRABAJO DE INVESTIGACION
7.1. APLICACIN DE LOS REGISTROS DE DESPLAZAMIENTO

7.1.1. COMO COMPONENTE EN LA COMUNICACIN DE DATOS En la comunicacin de datos existe la Unidad de Control de Lnea (LCU) la cual tiene varias funciones importantes. En la estacin primaria sirve como interfaz entre la computadora anfitrin y los circuitos a los que sirve. Cada circuito servido se conecta a un puerto distinto de la LCU. Esta dirige el flujo de datos de entrada y salida entre los diversos enlaces de comunicacin de datos y sus programas respectivos de aplicacin. La LCU hace la conversin de datos, de paralelo a serie y viceversa. El canal de interfaz de multiplexado entre la computadora principal y la LCU transfiere datos en paralelo. Las transferencias de datos entre el modem y la LCU se hacen en serie. La LCU tambin detecta y corrige errores. Dentro del LCU hay un solo circuito integrado que es el encargado de las 20

UNJBG- FAIN-ESIS
[CIRCUITOS ELECTRNICOS Y ARQUITECTURA DE COMPUTADORAS]

funciones de la LCU, este circuito se llama UART (Tomasi, 2003).

21

UNJBG- FAIN-ESIS
[CIRCUITOS ELECTRNICOS Y ARQUITECTURA DE COMPUTADORAS]

Receptor Transmisor Asncrono Universal (UART) se usa para la transmisin de datos asncronos, para hacer conversin de datos, de serie a paralelo y de paralelo a serie; para detectar errores insertando y comprobando los bits de paridad y tambin para insertar y detectar los bits de arranque y de paro.

7.1.2. COMO CODIFICADOR DE TECLADO Debido a que los teclados numricos con frecuencia se interconectan al sistema de bus de una microcomputadora, las salidas codificadas deben tener habilitaciones triestados. En los teclados de las computadoras personales cada tecla no es un interruptor independiente a Vcc o tierra; en vez de eso, cada interruptor de tecla se usa para conectar una fila a una columna en el arreglo del teclado. Cuando no se presionan teclas no hay conexiones entre las filas y las columnas. La activacin secuencial de filas se llama Exploracin del teclado. El Flip-Flop que se usa para implementar el registro en la macrocelda se puede configurar para D, KJ, complemento, u operacin SR. (Tocci & Widmer, 2003).

7.2. CONTADORES BASADOS EN REGISTROS DE DESPLAZAMIENTO


Un contador basado en un registro de desplazamiento es bsicamente un registro de desplazamiento con la salida serie realimentada a la entrada serie, de modo que se generen secuencias especiales (Floyd, 2000). 7.2.1. CONTADOR EN ANILLO El contador en anillo es un registro de desplazamiento que tiene su salida conectada a la entrada. Normalmente se implementa con 22

UNJBG- FAIN-ESIS
[CIRCUITOS ELECTRNICOS Y ARQUITECTURA DE COMPUTADORAS]

flip-flops con entradas de PRESET y CLEAR, conectados en cascada

23

UNJBG- FAIN-ESIS
[CIRCUITOS ELECTRNICOS Y ARQUITECTURA DE COMPUTADORAS]

y disparados de forma sncrona. Por lo tanto, un contador en anillo es un contador sncrono.

Figura N 21: Contador en anillo

7.2.2. CONTADOR JOHNSON El contador en anillo es elegantemente simple, pero utiliza los flipflops antieconmicamente - recurdese que con n biestables es posible codificar hasta 2n estados. El contador Johnson o contador conmutado en cola es una variacin del contador en anillo que duplica el nmero de estados codificados, sin sacrificar su velocidad. Lo que si complica algo es la decodificacin del estado.

Figura N 22: Contador Johnson 24

UNJBG- FAIN-ESIS
[CIRCUITOS ELECTRNICOS Y ARQUITECTURA DE COMPUTADORAS]

8. CONCLUSIONES ? Se logrado conocer cuan tiles son los registros de desplazamiento, esto nos permite tener una visin ms general sobre su aplicacin en la comunicacin de datos. ? Se ha logrado disear un registro de desplazamiento de entrada en serie y salida en paralelo utilizando Flip-FLops tipo D de 4 bits., para ello usamos el programa simulador de circuitos que nos facilit la tarea.

9. REFERENCIAS BIBLIOGRAFICAS.

? Floyd, T. L. (2000). Fundamentos de Sistemas Digitales (7ma. ed.). Espaa: Universidad Politcnica de Madrid. ? McGraw-Hill. Anlisis y diseo con registros. Obtenido de "mcgraw-hill Interamericana de Espaa": http://www.mcgrawhill.es/bcv/guide/capitulo/8448157001.pdf ? Morris Mano, M. (1982). Lgica Digital y diseo de Computadores (1ra. ed.). Mxico: Camara Nacional de la Industria. ? Tocci, R. J., & Widmer, N. S. (2003). Sistemas digitales: Principios y Aplicaciones (8va. ed.). Mexico: Pearson Educacin. ? Tomasi, W. (2003). Sistema de Comunicaciones Electrnicas (4ta. ed.). Mexico: Pearson Educacin. ? Vicente. (2012). Circuito 7474 TTL. Obtenido de "Electrnica: Teora y Prctica": http://electronica-teoriaypractica.com/circuito-7474-ttl/

25

S-ar putea să vă placă și