Sunteți pe pagina 1din 85

1. Introduction and application.

2. Light source and photomask, alignment.


3. Photolithography systems.
4. Resolution, depth of focus, modulation transfer function.
5. Other lithography issues: none-flat wafer, standing wave...
6. Photoresist.
7. Resist sensitivity, contrast and gray-scale photolithography.
8. Step-by-step process of photolithography.
Chapter 5 Lithography
1
Lithography stone and mirror-image
print of a map of Munich.
History
Historically, lithography is a type of printing technology that is based on the chemical
repellence of oil and water.
Photo-litho-graphy: latin: light-stone-writing.
In 1826, Joseph Nicephore Niepce in Chalon France takes the first photograph using
bitumen of Judea on a pewter plate, developed using oil of lavender and mineral spirits.
In 1935 Louis Minsk of Eastman Kodak developed the first negative photoresist.
In 1940 Otto Suess developed the first positive photoresist.
In 1954, Louis Plambeck, Jr., of Du Pont, develops the Dycryl polymeric letterpress plate.
Lithography press for
printing maps in Munich
2
Lithography is a printing process that uses chemical
processes to create an image.
For instance, the positive part of an image would be a
hydrophobic chemical, while the negative image would
be water.
Thus, when the plate is introduced to a compatible ink
and water mixture, the ink will adhere to the positive
image and the water will clean the negative image.
Lithography for art: the print principle
3
Photolithography for IC manufacturing
Patterning process
consists of:
Mask design
Mask fabrication
Wafer exposure
In IC manufacturing, lithography is the
single most important technology.
35% of wafer manufacturing costs
comes from lithography.
The SIA roadmap is driven by the desire
to continue scaling device feature sizes.
0.7 linear dimension shrink every 3 yr.
Placement/alignment accuracy 1/3 of
feature size.
Figure 5.1
Figure 5.2
4
1. Introduction and application.
2. Light source and photomask, alignment.
3. Photolithography systems.
4. Resolution, depth of focus, modulation transfer function.
5. Other lithography issues: none-flat wafer, standing wave...
6. Photoresist.
7. Resist sensitivity, contrast and gray-scale photolithography.
8. Step-by-step process of photolithography.
Chapter 5 Lithography
5
Light source: mercury arc lamp
Filters can be used to limit exposure wavelengths.
Intensity uniformity has to be better than several % over the collection area.
Needs spectral exposure meter for routine calibration due to aging.
High pressure Hg-vapor lamps
Order $1000, lasts 1000 hours.
Traditionally Hg vapor lamps have been used which generate many spectral lines from a high
intensity plasma inside a glass lamp.
Electrons are excited to higher energy levels by collisions in the plasma, and photons are
emitted when the energy is released. (electron effective temperature 40000K in a plasma!! )
g line =436 nm
i line =365 nm
(used for 0.5m and 0.35m
lithography generation)
6
Light source: excimer laser
Decreasing feature size (to <0.35m) requires
shorter .
Brightest sources in deep UV are excimer lasers.
Excimer laser:
In excimer lasers, two elements, e.g. a noble
gas and a halogen (from a halogen containing
compound), which can react and bind
together only in the excited state but not in
their ground states, are present.
Providing energy will therefore drive the
reaction, creating the excimer.
When the excitation energy is removed, the
excimer dissociates and releases the energy at
the characteristic wavelength.
A pulsed excitation is used to repeat the
process.

Kr + NF
3
energy
KrFphoton emission
KrF = 248 nm (used for 0.25m lithography generation)
ArF = 193 nm (currently used for 45nm node/generation production)
Eximer = Excited dimer
Xe* + Cl
2
XeCl* + Cl
XeCl* XeCl + DUV
DUV = deep UV, 308nm for XeCl laser
XeCl Xe + Cl
Here * means excited state
7
Light sources: summary
CD: critical dimension
Note: the numbers in the two tables are different, so they must be for different systems8
Photomask
Types:
Photographic emulsion on soda lime glass
(cheap).
Fe
2
O
3
on soda lime glass (no longer in use?).
Cr on soda lime glass and on quartz glass (most
popular).
(Quartz has low thermal expansion coefficient and low
absorption of light, but more expensive; needed for
deep UV lithography).
Transparency by laser printer, more and more
popular for MEMS (resolution down to few m
with a 20000 dpi printer, very cheap).
Polarity:
Light-field, mostly clear, drawn feature is opaque.
Dark-field, mostly opaque, drawn feature is clear.
Three potential mask improvements:
Pellicle, antireflective coatings, phase-shift masks.
(we want 100% transmission, no reflection)
Light-field photomask
9
The particle on the pellicle surface
is outside of optical focal range.
Antireflective coatings
Pellicle film
Chrome pattern
Depth of focus
Mask material
Reticle
Pellicle film
Frame
Chrome pattern
Pellicle on a reticle (IC word for mask)
Pellicle: (used only for IC manufacturing where yield is important)
A thin coating of transparent material similar to Mylar is stretched over a cylindrical frame
on either side of the mask.
The frame stands off the membrane at a distance of 1 cm from the surface of the mask.
Purpose of pellicle is to ensure that particle that fall in the mask are kept outside of the
focal plane of the optical system. 10
Photomask (Cr pattern on quartz) fabrication
(Cr is 100nm thick)
Remove the resist.
Laser beam writing:
Similar to photolithography, but use a focused laser beam.
It is a direct-write technique - no mask is needed.
Resolution down to a few 100nm, cheaper than electron-beam writing.
11
Photomask fabrication by electron beam lithography
12. Finished
quartz
12
Mask fabrication by photo-reduction (demagnification)
Minimum feature size 1-5m
This is similar to photography, where image is reduced onto the negative film.
13
Mask fabrication by photo-reduction
The beginning artwork is huge
(close to 1 meter) that can be
made easily by printing, the
final photomask is only order 1
inch with m feature size on it.
14
Mask to wafer alignment
Alignment mark on wafer created
from prior processing step.
Alignment mark on mask, open
window in Cr through which
mark on wafer can be seen.
3 degrees of freedom between mask and
wafer: x, y, (angle)
Use alignment marks on mask and wafer to
register patterns prior to exposure.
Modern steppers use automatic pattern
recognition and alignment systems, which
takes 1-5 sec to align and expose.
Normally requires at least two alignment
mark sets on opposite sides of wafer or
stepped region, and use a split-field
microscope to make alignment easier.
Use vernier for more precise alignment
15
Alignment problems: thermal expansion
T
m
, T
si
= change of mask and wafer temperature.

m
,
si
= coefficient of thermal expansion of mask & silicon.
Alignment
mark on mask
Pattern on wafer
for alignment
For example, for thermal expansion of 2ppm/
o
C
(silicon 2.6, fused silica/quartz 0.5 ppm/
o
C),
assume temperature change of 1
o
C, then the
distance between two features separated by
50mm will change by 2ppm or 100nm, which is
too large for IC production but OK for most R&D.
16
1. Introduction and application.
2. Light source and photomask, alignment.
3. Photolithography systems.
4. Resolution, depth of focus, modulation transfer function.
5. Other lithography issues: none-flat wafer, standing wave...
6. Photoresist.
7. Resist sensitivity, contrast and gray-scale photolithography.
8. Step-by-step process of photolithography.
Chapter 5 Lithography
17
Three basic methods of wafer exposure
High resolution. But mask
wear, defect generation.
Less mask wear
/contamination, less
resolution (depend on gap).
Fast, simple and inexpensive, choice for R&D.
No mask wear/contamination,
mask de-magnified 4 (resist
features 4 smaller than mask).
Very expensive, mainly used for
IC industry.
Figure 5.3
18
Contact/proximity exposure system (called mask aligner)
4 objectives of optical exposure system
Collect as much of radiation
Uniform radiation over field of exposure
Collimate and shape radiation
Select exposure wavelength
Hard to maintain contact or constant gap
when wafer/mask is not even/flat.
Resolution (half-period for grating
pattern) is given by:
g is gap (=0 for contact), t is resist
thickness, and is wavelength.

+ =
2 2
3 t
g R
19
Single field exposure, includes:
focus, align, expose, step, and
repeat process
UV light source
Reticle (may contain one or
more die in the reticle field)
Shutter
Wafer stage controls
position of wafer in
X, Y, Z,
Projection lens (reduces the size
of reticle field for presentation to
the wafer surface)
Shutter is closed during focus
and alignment and removed
during wafer exposure
Alignment laser
Stepper (step and repeat system)
Die-by-die exposure
Feature size (typically)
4 reduction
20
Illuminator optics
Beam
line
Excimer laser
(193 nm ArF )
4:1 Reduction lens
Wafer
transport
system
Reticle
stage
Auto-alignment
system
Wafer
stage
Reticle library
(SMIF pod
interface)
Step and scan (stepper) exposure system: 193nm
Optical train for an excimer laser stepper
193nm stepper systems are used today
for IC manufacturing.
Excimer laser: light is in pulses of 20ns
duration at a repetition rate of a few kHz.
About 50 pulses are used for each exposure. 21
Step and scan (stepper) exposure system: 157nm
However, 157nm was not used for production and will never be used, because it needs
expensive vacuum (air absorb 157nm), and lens materials (CaF
2
) have much higher
thermal expansion coefficient than quartz (quartz absorb 157nm, thus unsuitable).
22
1. Introduction and application.
2. Light source and photomask, alignment.
3. Photolithography systems.
4. Resolution, depth of focus, modulation transfer function.
5. Other lithography issues: none-flat wafer, standing wave...
6. Photoresist.
7. Resist sensitivity, contrast and gray-scale photolithography.
8. Step-by-step process of photolithography.
Chapter 5 Lithography
1
Light diffraction through an aperture on mask
2
Three basic methods of wafer exposure
High resolution. But mask
wear, defect generation.
Less mask wear
/contamination, less
resolution (depend on gap).
Fast, simple and inexpensive, choice for R&D.
No mask wear/contamination,
mask de-magnified 4 (resist
features 4 smaller than mask).
Very expensive, mainly used for
IC industry.
3

2
W
g < <
For g=10m, =365nm
W
min
2 m
Near field/Fresnel diffraction for contact/proximity exposure
Interference effects and diffraction result in ringing and spreading outside the aperture.
Edges of image rise gradually (not abrupt) from zero.
Intensity of image oscillates about the expected intensity.
Oscillations decay as one approaches the center of the image.
The oscillations are due to constructive and destructive interference of Huygens wavelets
from the aperture in the mask.
When aperture width is small, the oscillations are large
When aperture width is large, the oscillations rapidly die out, and one approaches simple
ray tracing when aperture >> .
(t is resist thickness)
Near field:
(g is gap)
Figure 5.14
4

2
W
g < <
g
t
g W ~
2 2
3
min

+
Far field: W
2
<< (g
2
+r
2
)
1/2
, r is
position on the wafer.
Sharp maximum intensity at x=0, and
intensity goes through 0 at integer
multiples of one-half number.
Far field/Fraunhofer diffraction for projection exposure
Far field
Near field
Figure 5.15
5
UV
0
1
2
3
4
1
2
3
4
Lens
Quartz
Chrome
Diffraction patterns
Mask
Lens capturing diffracted light
Large lens captures more diffracted light, and those higher order diffracted light carries
high frequency (detail of fine features on mask) information.
6
Numerical aperture of a lens

Numerical aperture (NA) of an optical system is a measure of the ability of the lens to
collect light.
NA nsin, n is refractive index for the medium at the resist surface (air, oil, water).
For air, refractive index n=1, NA = sin (d/2)/f d for small .
7
Lens NA
Pinhole masks
Image results
(not in same scale)
Diffracted light
Good
Bad
Poor
Effect of numerical aperture on imaging
Large lens
Small lens
8
Light diffraction through a small circular aperture
Light intensity on image plate
A point image is formed only if 0, f 0 or d.
Airy disk
http://en.wikipedia.org/wiki/Airy_disk
Figure 5.7 Image intensity
of a circular aperture in the
image plane.
Figure 5.6 Qualitative example of
a small aperture being imaged.
9
Resolved images Unresolved images
Lord Rayleigh
Rayleigh criteria for resolution
Rayleigh suggested that a reasonable
criterion for resolution is that the central
maximum of each point source lie at the
first minimum of the Airy pattern.
Strictly speaking, this and next slides
make sense only for infinitely far (>>f)
objects, like eye. Fortunately, 4x
reduction means far object, and near
(near focal plane) image.
Figure 5.8
10
Rayleigh criteria for resolution R
NA
k
NA n f n
f
d
f
R


1
61 . 0
sin
61 . 0
) sin 2 (
22 . 1 22 . 1
= = = =
S
1
S
2
S
1
S
2
S
1
S
2
To increase resolution,
one can:
Increase NA by using large
lens and/or immersion in
a liquid (n>1).
Decrease k
1
factor (many
tricks to do so).
Decrease (not easy,
industry still insists on
193nm).
K
1
factor has no well-defined physical meaning.
It is an experimental parameter, depends on the lithography system and resist properties.
11
Effect of imaging/printing conditions
Annular means an off-axis illumination method, which is one trick to decrease k
1
.
EUV: extreme UV, here wavelength 13.5nm. Immersion means exposure in water.
12
A small aperture was used to ensure the foreground
stones were as sharp as the ones in the distance.
What one need here is a
telephoto lens at its
widest aperture.
Depth of focus (DOF)
DOF for photography
Small DOF
(background blurred)
Large DOF
Focal point DOF
DOF is the range in which the image
is in focus and clearly resolved.
cos 4 / =
2 / )] 2 / 1 ( 1 [ 4 /
2 2
=
NA
f
d
= =
2
sin
2
2
) (NA
k DOF

= =
Rayleigh criteria for depth of focus (DOF)
Rayleigh criteria: the length of two optical paths, one on-axis, one from lens edge or
limiting aperture, not differ by more than /4.
For small
O
A
B
C
On axis, optical path increased by OC-OB=.
From edge, increased by AC-AB=DC=cos.
At point B (focal point), two branches have equal path.
D
Again, like the case of resolution, we used k
2
factor as an experimental parameter. It has
no well-defined physical meaning.
Figure 5.9
14
Depth of focus for projection photolithography
2
2
) (NA
k DOF

= =
It can be seen that larger NA gives smaller depth of focus!
This is also true for camera. A cheap camera takes photos that are always in focus no
matter where the subject is, this is because it has small lenses.
This of course works against resolution where larger NA improves this property.
In order to improve resolution without impacting DOF too much, has been reduced
and optical tricks have been employed.
Large lens (large NA), small DOF Small lens (small NA), large DOF
15
Optimal focal plane in photolithography
Light should be focused on the middle point of the resist layer.
In IC, DOF is << 1m, hard to focus if wafer is not super flat.
People talks more of resolution, but actually DOF can often be a bigger
problem than resolution.
For example, a 248nm (KrF) exposure system with a NA = 0.6 would have a
resolution of 0.3m (k
1
= 0.75) and a DOF of only 0.35m (k
2
= 0.5).
Focal plane Depth of focus
16
Modulation transfer function is another useful concept.
It is a measure of image contrast on resist.
Modulation transfer function (MTF)
min max
min max
I I
I I
MTF
+

=
Figure 5.10 17
MTF and spatial coherence
Usually MTF > 0.5 is preferred.
It depends on , light source size (coherency), and optical system.
It certainly also depends on feature size (or period for a grating pattern).
Spatial coherence of light source
Point source
is coherent
Partially
coherent
Coherent light will have a phase to space relationship.
Incoherent light or light with only partial coherence will
have wave-fronts that are only partially correlated.
Spatial coherence S is an indication of the angular range
of light waves incident on mask, or degree to which
light from source are in phase.
Small S is not always good (see next slide).
d
s
S = =
diameter aperture
diameter source
Figure 5.12
Plane
wave
18
MTF and spatial coherence
For a source with perfect spatial
coherence S=0, MTF drops
abruptly at Rayleigh criterion
W=half pitch=R=k
1
/NA.
Large S is good for smaller
features, but bad for larger ones.
Trade-off is made, and industry
chooses S=0.5-0.7 as optimal.
MTF vs. diffraction grating period on mask.
W = line width = space width of the grating.
X-axis of the plot: spatial frequency
=1/(2W), normalized to Rayleigh criterion
cutoff frequency
0
=1/R=NA/(0.61).
2W
Grating
photomask
Large features Smaller features
(similar to Figure 5.13)
19
1. Introduction and application.
2. Light source and photomask, alignment.
3. Photolithography systems.
4. Resolution, depth of focus, modulation transfer function.
5. Other lithography issues: none-flat wafer, standing wave...
6. Photoresist.
7. Resist sensitivity, contrast and gray-scale photolithography.
8. Step-by-step process of photolithography.
Chapter 5 Lithography
20
Exposure on patterned none-flat surface
This leads to random reflection/proximity scattering, and over or under-exposure.
Proximity scattering
Both problems would disappear if there is no reflection from substrate.
21
Exposure on patterned none-flat surface
To reduce the problem, one can:
Use absorption dyes in photoresist, thus little light reaches substrate for reflection.
Use anti-reflection coating (ARC) below resist.
Use multi-layer resist process (see figure below)
1) thin planar layer for high-resolution imaging (imaging layer).
2) thin develop-stop layer, used for pattern transfer to 3 (etch stop).
3) thick layer of hardened resist (planarization layer).
22
Surface reflection and standing wave
Resist is partially reflective, so some light reaches resist bottom and is reflected.
Constructive and destructive interference between incident and reflected light results
in a periodic intensity distribution across the resist thickness.
With change in exposure (light intensity) comes change in resist dissolution rate,
leading to zigzag resist profile after development.
Use of anti-reflecting coating (ARC) eliminates such standing wave patterns.
Post exposure bake also helps by smoothing out the zigzag due to resist thermal reflow.
(Also due to reflection, a metal layer on the surface will require a shorter exposure
than exposure over less reflective film.)
Figure 5.24 23
Photoresist
/2n
PR
Substrate
Overexposure
Underexposure
Standing wave effect on photoresist
Is this a positive or
negative resist?
n
PR
is refractive index of photoresist
24
(m0, 2, 4, 6)
Position of minimum and maximum intensity
Maximum when optical path difference
between incident and reflected beams is m.
( ) m x d n = 2
There may be a 180
o
phase shift when light is
reflected at the resist/substrate interface, thus it is
minimum (rather than maximum) when x=d.
25
1. Introduction and application.
2. Light source and photomask, alignment.
3. Photolithography systems.
4. Resolution, depth of focus, modulation transfer function.
5. Other lithography issues: none-flat wafer, standing wave...
6. Photoresist.
7. Resist sensitivity, contrast and gray-scale photolithography.
8. Step-by-step process of photolithography.
Chapter 5 Lithography
1
Photoresist overview
There are two types of photoresist:
Positive: exposed area removed by
developer.
Negative: unexposed area removed
by developer.
Mask
Positive Resist
Negative Resist
Photoresist is a liquid mixture that can be spun onto a substrate, exposed and developed
into a pattern for subsequent processing.
Typically consists of 3 components:
Resin - a binder that provides mechanical properties (adhesion, chemical resistance).
Sensitizer - photoactive compound.
Solvent e.g. n-butyl acetate, xylene, keep the resist in a liquid form for spin coating.
Its content determines viscosity and hence resist thickness.
2
Cr
resist
Photoresist
Novolac
A polymer whose monomer is an aromatic ring with 2 methyl groups and an OH group.
It dissolves easily in a base developer solution. Solvents are added to adjust the viscosity.
DNQ (diazo-naphto-quinone)
It is the PACs in these resists, and it acts as an
inhibitor, reducing the dissolution rate of he
resist in the developer.
This occurs by a chemical bonding of the PAC and
the novolac at the surface of the resist where it is
exposed to the developer.
Positive resist: DNQ
It is the most popular positive resists for i-line (365nm) and g-line (436nm) exposure,
but cannot be used for very short .
It consist of diazonaphthoquinone (DNQ), which is the photoactive compound (PAC);
and novolac, a matrix material called resin.
After spinning and baking, resists contains roughly 1:1 PAC and resin.
DNQ
Novolac
3
Figure 5-17
Addition of UV light will free nitrogen molecule from the carbon ring leaving behind a
highly reactive carbon site.
One way to stabilize the structure is to move one of the carbons outside the ring, and the
oxygen atom is covalently bonded to this external carbon atom.
This process known as Wolff rearrangement.
In presence of water, the resulting ketene molecule finally transforms into carboxylic acid,
which is readily soluble in basic developer (KOH, NAOH, TMAH etc).
DNQ upon UV exposure
Sensitizers: (here it is DNQ)
It is also called photoactive compounds (PAC).
It absorb radiation and undergo chemical
reactions to change their chemical dissolution
properties in developer.
The net result is Differential Dissolution rate
(100:1) between areas that absorbed
radiation and areas that did not absorb
radiation.
Sensitizers are developer resistant before
they absorb radiation.
4
Figure 5-18
Novolac resin is water soluble.
But due to the addition of the DNQ PAC (to the novolac matrix in) at about a 1:1 ratio,
the resist is almost insoluble in a base solution (pH > 7).
Whereas after exposure, the generated carboxylic acid readily dissolve in base
solutions.
The chemical reaction that occurs during this dissolution is the breakdown of the
carboxylic acid into water-soluble amines such as aniline (phenylamine, one H in NH
3
replaced by a benzene ring, C
6
H
7
N).
This process continues until all of the exposed resist is removed.
Typical developer solutions are KOH or NaOH diluted with water, yet in recent years
the so-called MIF (metal ion free) developer based on TMAH dominates, because K
+
and Na
+
ions are very bad for deveice.
Developing of DNQ resist and its advantage
TMAH: tetra-methyl-ammonium hydroxide
H in NH
4
OH replaced by CH
3
group.
Dissolution rate in developer
5
Color of DNQ photoresist
What should be the color of photoresist?
6
Photoresist properties
Resolution: how fine a line the resist can reproduce from an areal image. It is determined by
contrast, thickness, proximity effects, swelling and contraction after development.
Contrast: ability of resist to distinguish between light and dark regions, measured by
exposing resist of given thickness to varying radiation dose and measuring dissolution rate.
Sensitivity: incident energy necessary to produce the photochemical reactions required for
defining patterns. It is related to quantum yield (=# of photon-induced events/# of photons
absorbed). Higher sensitivity required at shorter wavelength because of limited brightness of
UV sources and optics efficiency. Trade-off between exposure time and source brightness.
Etch resistance: Novolac is a long-chain aromatic ring polymer that is fairly resistant to
chemical attack. Therefore, the resist is a good mask for wet or dry plasma etching.
Spectral response curve: should match the exposure light source.
Example: resist sensitivity
Photon energy E=hf=hc/=4.5410
-19
J.
Number of photons: (150mJ/cm
2
)/4.5410
-19
J =3.310
17
/cm
2
.
Volume/photon=3.310
-22
/cm
3
.
Mean photon separation: (3.310
-22
/cm
3
)
1/3
=0.67nm.
7
Component of one negative photoresist
Resin: cyclized synthetic rubber resin, not sensitive to exposure, fast
dissolution in organic solvent such as toluene and xylene.
Sensitizer PAC: bis-arylzide
Solvent: aromatic solvent
Developer: organic solvents (we know for positive resist, it is inorganic)
Negative photoresist
Negative photoresist becomes insoluble in regions exposed to light.
It is a polymer with long chains. Molecular weight 10
4
-10
6
kg/mol, about one order
higher than that of DNQ positive resist.
Irradiation results in bonding or cross-linking (form 3D molecular network) of adjacent
polymer chains and increases of molecular weight.
Unexposed resists dissolve in aromatic solvents such as benzene, toluene and xylene.
Cross-linked region
8
Negative photoresist are:
Lower resolution due to solvent-induced swelling of exposed regions during
development, which results in ragged edges or a loss of pattern fidelity and resolution.
(For positive resist, much lower molecular weight and smaller chain, develops by
etching - no swelling.)
Less expensive.
More sensitive higher exposure throughput.
Relatively tolerant of developing conditions, wider process window.
Better chemical resistance better mask material for pattern transfer to under-layer.
Organic-based solvents vs. aqueous-based solvents for positive resist.
Comparison to positive resist
Positive photoresist is much more expensive, therefore negative photoresist was used until
it had to be replaced when the minimum feature size was shrunk to smaller than 3m.
Today DUV (deep UV) 193nm resist is used for IC industry, the above positive or negative
resists are no longer in use.
But for R&D, many different fancy resists are used, some (e.g. AZ-5214 resist) can even be
used as both positive and negative resist (but processed differently)!
9
Deep UV (DUV) resists
Traditional g-line and i-line resists have maximum quantum efficiencies 30% that limits
its sensitivity. In addition, it absorbs too strongly for < I line (=365nm).
Chemical amplification can improve sensitivity significantly, with effective quantum
efficiency >>100%.
DUV resists are all chemically amplified resist (again, this is true only for industrial
application; for R&D, even a simple polymer like PMMA can be used as DUV resist).
Photo-acid generator (PAG) is converted to an acid by photon exposure. Later, in a post
exposure bake (PEB), the acid molecule reacts with a blocking molecule on a polymer
chain, making it soluble in developer and regenerating the acid molecule.
It is basically a catalytic chain reaction. In principle, only one photon is needed to
generate one seed (acid catalyst), and all the rest reaction takes place during PEB. (this
also means that PEB temperature needs to be tightly controlled for reproducible result)
10
Figure 5-19 Basic operation of a
chemically amplified resist. PAG
is photo-acid generator, INSOL
and SOL are the insoluble and
soluble portions of the polymer
base.
1. Introduction and application.
2. Light source and photomask, alignment.
3. Photolithography systems.
4. Resolution, depth of focus, modulation transfer function.
5. Other lithography issues: none-flat wafer, standing wave
6. Photoresist.
7. Resist sensitivity, contrast and gray-scale photolithography.
8. Step-by-step process of photolithography.
11
Chapter 5 Lithography
Contrast and sensitivity
mJ/cm
2
=mW/cm
2
sec
Contrast is defined as:
D
f
is Sensitivity.
Typical g-line and i-line resists: =23, D
f
100 mJ/cm
2
.
DUV resists: =510, D
f
20 - 40 mJ/cm
2
. (chemically amplified)
and D
f
are not intrinsic properties of the resist - they depend
on process conditions (developer, development time, baking
time, , substrate).
12
Ideal resist response: , D
0
= D
f
= D
cr
D
cr
: critical exposure dose.
Resist receives exposure dose > D
cr
will completely
dissolve during developing.
Dose < D
cr
will not be attacked during developing.
Non-ideal resist:
for real situation with
finite , the result is a
tapered profile.
Ideal resist:
vertical resist
profile.
Positive resist profile
13
min max
min max
I I
I I
MTF
+

=
Dose: Intensity time
1 10
1 10
/ 1
/ 1
0
0
+

=
+

D D
D D
CMTF
f
f
Resist critical modulation transfer function (CMTF)
By analogy to the MTF for optical systems, the CMTF for resists is defined as:
Typical CMTF values for g and i-line resists are about 0.4. Chemically amplified DUV resists
achieve CMTF values of 0.1 - 0.2.
In general CMTF < MTF is required for the resist to resolve the aerial image.
(e.g. if MTF=1, then any D
f
-D
0
is OK.)
(e.g. if CMTF=0, then any MTF is OK)
The final resist profile is determined by
the combination of MTF and CMTF.
The profile also depends on exposure
dose, resist tone (positive or negative),
and resist absorption that results in lower
exposure deeper in the resist.
Another fact is that the upper part
undergoes longer development time than
lower part.
Overall, the profile is difficult to predict
it is found out experimentally.
Dose : high
Development: low
Dose : medium
Development: moderate
Dose : low
Development: long
Positive resist
14
For MTF, higher is better
For CMTF, lower is better
Gray-scale photolithography
Conventional photolithography cannot produce arbitrary 3D structures with varying thicknesses.
3D structures are useful for micro-optics: lens arrays, integrated optics, micro-opto-
electromechanical systems on a chip (MOEMS), grayscale diffractive elements, beam shaping,
and wave-front analysis.
Micro-lens array
3D lithography needs 3D photomask (i.e.
Cr thickness varies across the mask).
This process will produce a 3D
(though not real 3D) mask if the
substrate in the graph is replaced by
Cr deposited on quartz.
15
Micro-lens array fabrication
Here regular (black and white) photolithography is used,
followed by thermal re-flow of photoresist.
16
Standard photolithographic processing, but
grayscale mask is required.
Accurate 3-D shaping of the upper surface of
the photoresist (with very tight process control).
Resist thickness < 20m (typically), but
topography transferred into substrate can be
enhanced by manipulation of RIE parameters
(depends on whether resist etching rate is faster
or slower than the substrate).
Clearance depth of positive resist
C
l
e
a
r
a
n
c
e

d
e
p
t
h

i
n

m
Gray scale lithography with positive photoresist
17
Clearance depth:
theory
By varying the incident
dose, E, as a function of
position on the wafer, one
can clear to different
depths.
Light intensity I(x)=I
0
exp(-x),
is absorption coefficient.
(here reflection is ignored)
Within dx thickness, absorbed
light is: dose=dIt=I(x)dx t
(t is exposure time).
18
Gray scale lithography with negative photoresist
Incomplete grayscale exposure in conventional process leads to hardening/cross-
linking of only near surface region, which lifts off the substrate if unattached!
The process that works is backside illumination.
SU-8: chemically amplified negative tone resist, typically for g and i-line.
19
Typical pseudo grayscale mask
(actually a binary black and white mask)
smallest possible
feature size on mask,
P must be below resolution
limit of mask aligner.
Range of gray tones:
0 > 1, in steps of (/P)
2
Example: P = 1 m, = 0.1m
> 100 grayscale steps
Level of gray is decided by pattern density.
Denser pattern looks darker.
These patterns have feature size < resolvable feature size of
the photolithography (i.e. P < resolution R), so what is seen by
the photolithography is the averaged pattern.
To achieve low resolution such that R > P, one can, for example,
do proximity lithography with a large gap.
Mask must be produced by e-beam exposure or high
resolution laser beam writing.
Design considerations:
Not all thickness levels will print, due to non-linearity of
photoresist clearance (or polymerization) vs dose.
Mask design depends critically on a stable, consistent,
reproducible lithographic process!
Creation of a reliable depth vs gray-level calibration
relationship is essential.
Overall, the process is very tricky, need lots of trials. 20
Linearly stepped grayscale mask, 64 levels Exposure using linearly stepped grayscale mask
Examples
SU-8 grayscale structures
21
1. Introduction and application.
2. Light source and photomask, alignment.
3. Photolithography systems.
4. Resolution, depth of focus, modulation transfer function.
5. Other lithography issues: none-flat wafer, standing wave
6. Photoresist.
7. Resist sensitivity, contrast and gray-scale photolithography.
8. Step-by-step process of photolithography.
22
Chapter 5 Lithography
Typical photoresist
process flow for DNQ
g-line and i-line
positive resist
23
Surface preparation
Cleaning: remove any contaminants on the wafers prior to photoresist coating.
Dehydration: remove water prior to priming and coating.
Priming (adhesion promoter): HMDS (hexa-methyl-di-silazane) is typically used before
spinning resist. It makes surface more hydrophobic (less hydrophilic), by
replacing OH on wafer suface with CH
3
.
Standard degrease wafer cleaning:
Use solvents acetone then methanol then 2-
propanol (also called iso-propanol). Methanol
is often skipped due to its toxicity.
For particularly troublesome grease, oil or
wax stains, one can use 1,1,1-trichloroethane
(TCA) or trichloroethylene (TCE) with
ultrasonic agitation prior to acetone.
Chemistry of HMDS, a primer that acts as an
adhesion promoter for photoresist. Note that
H
2
O is always present on or around wafer. HMDS = bis(trimethylsilyl)amine
24
Photoresist spin coating
vacuum chuck
spindle
to vacuum
pump
photoresist
dispenser
25
Photoresist
properties
Spin properties
Viscosity
Drying characteristics
Dispense volume
Spin Speed
Acceleration Rate
Spin Time
The physics of spin
Resist thickness after the spin process is related to properties of the resist and the spin.
The most important factor is resist viscosity and solvent evaporation rate (how volatile it is).
Resist wont be uniform if the solvent evaporates too fast.
Thickness will approach zero if solvent never evaporates (assume long-enough spin time).
Typically after 20sec spinning at peak speed, film thickness becomes stable (i.e. almost no
solvent left).
Thickness (m)
Spin speed (rpm)
SU-8 is very thick resist (typical resist only
1m thick). Here the series has different
amount of solvent. More solvent, less
viscosity, thinner film.
26
2
3 2
1
3
1

+ +

r
h m rh
r
r r t
h

The variables here are thickness (h), radial distance (r), angular velocity (), solvent density (), film
viscosity (), and mass flux of solvent (m).
The first term on the right is the net flux leaving the control volume by centrifugal forces and the
second term is the net flux leaving the control volume by evaporation.
The overall result is that the post spin thickness is 1/.
(you are not required to understand the above equation, it is just to give you a feeling of spin physics)
The physics of spin
The fluid flow on the spinning wafer is governed by the continuity equation and the
conservation of mass.
Assuming solvent density and fluid viscosity are constant, the continuity equation for
the conservation of mass states the excess of fluid flux leaving a control volume must
result in an equal rate of fluid thinning.
The equation based on this law is given as :
However, the above theory doesnt agree well with the experiment.
The following relation is sometimes used:
Resist thickness is given by t = kp
2
/w

, where
k is spinner constant, typically 80-100.
p is resist solids content in percent.
w is spinner rotational speed in rpm/1000.
Final thickness also depends on molecular weight (measured by
intrinsic viscosity).
The best way is to find the spin curve
(thickness vs. speed) experimentally.
27
Other ways of resist application
Those methods are not for IC.
They are for, e.g., large area electronics
(large screen display).
It works for very large substrates or on
none-rigid plastic substrates.
Kind of contact coating
Kind of spray coating
Kind of roller coating, on both sides
28
Other ways of resist application
Dry film resist
Dry film photoresist
Apply dry film
to plastic roll
Prebake (soft bake)
Used to evaporate the coating solvent and to
densify the resist after spin coating. Also improve
adhesion.
For example, 90-100C for 20min in a convection
oven, then 75-85C for 45sec on hotplate.
Commercially, microwave heating or IR lamps are
also used in production line.
Baking on hotplate is usually faster, more
controllable, and does not trap solvent like
convection oven baking.
Again, for research the procedure can be much
simpler: just 110
o
C on hotplate for 1min.
o
C
(dissolve fast when solvent
still present in resist)
The thickness of the resist is usually decreased by 25%
during prebake for both positive and negative resists.
Less prebake increases the development rate.
30
Baking temperature
PR PR
Substrate Substrate
PR
Substrate
PR
Substrate
Normal development
Under development Over development
Incomplete development
Align, expose and develop
For positive resist:
Exposure dose is chosen such that the pattern clears after order 1min development.
In principle, one can use very short exposure time, then the dissolution rate will be very
slow and development takes long time.
On the other hand, too long exposure time leads to too short development, hard to control.
For negative resist:
The development time does NOT depend on exposure dose, since anyway the part to be
removed is not exposed.
Exposure time must be such that exposed part is not significantly dissolved during
development.
31
Other profiles may
also result if over-
development.
32
Post exposure bake (PEB, after exposure)
PEB is often needed for negative resist, but optional (not needed) for positive resist.
PEB is always needed for chemically amplified resist.
Photoresist glass transition temperature T
g
, PEB should be done at T>T
g
.
Thermal movement of photoresist molecules leads to rearrangement of the
overexposed and underexposed resist molecules.
Therefore PEB averages out standing wave effect, smoothes resist sidewall and
improves resolution.
For DUV chemical amplified photoresist, PEB provides the heat needed for acid
diffusion and amplification.
After the PEB process, the images of the exposed area appear on the photoresist,
due to significant chemical change (lead to refractive index change) after the acid
amplification.
PEB normally uses hotplate at 110 to 130C for about 1 minute.
For the same kind of resist, PEB usually requires higher temperature than prebake.
Over-baking will cause polymerization and affects (slow down or stop) photoresist
development
Post-bake (hard bake, after development)
High temperature bake is used to stabilize/harden resist, improve adhesion to substrate,
and remove any residuals of the coating solvent and developer.
It makes resist more robust against further energetic processes such as ion implantation
and plasma etching, or against wet etching (by HF).
For example, 90-120, 60-90sec, at temperature higher than T
g
.
It introduces stress into the photoresist, and some shrinkage may occur.
Longer and hotter post-bake makes subsequent resist removal more difficult.
It is bad for liftoff process (using acetone), as resist become more difficult to dissolve.
Photoresist will undergo plastic flow with sufficient time and/or temperature.
Before melting, 10m thick After melting, 3-5m thick
33
Two primary pattern transfer techniques
Direct etch:
Photoresist is applied on top of the layer to be patterned.
Unwanted material is etched away, using resist as mask.
Lift-off:
Patterned layer is deposited on top of the photoresist.
Unwanted material is lifted off when resist is removed.
Direct etch: (using resist as etching mask)
Usually anisotropic dry etch, though wet etch
(isotropic) is also OK for low-resolution applications.
34
Lift-off
For R&D, liftoff is very popular since it patterns metals easily.
Most metals are hard to etch by dry plasma etch (reactive ion etching), then liftoff is the
only method. (dry etch is anisotropic, thus maintaining feature size/resolution)
But for low resolution application, direct etch method using wet etching is OK. (metal can
be etched easily using acids, but it is isotropic and pattern widens due to lateral etch)
Liftoff is almost never used for industry, due to the low yield (pattern edge not clean,
metal debris in liftoff solution that fall on other parts of the wafer).
35
(this step is often skipped)
Photoresist removal (stripping)
Remove the photoresist and any of its residues.
Simple solvents are generally sufficient for non-post-baked photoresist:
o Positive photoresist: acetone, trichloroethylene (TCE), phenol-based strippers.
o Negative photoresist: methyl ethyl ketone (MEK), CH
3
COC
2
H
5
, methyl isobutyl
ketone (MIBK), CH
3
COC
4
H
9
, piranha 1:1 mixture of H
2
SO
4
:H
2
O
2
@ up to 150
o
C.
Plasma etching with O
2
(ashing) is also effective for removing organic polymer
debris.
Some photoresist (e.g. SU-8) is very hard to remove. It can be used as a part of
final device, or burned away at 450-700
o
C, or spin them on another layer that can
be easily removed to liftoff the SU-8 on top.
36
Bi-level Resist
(may create certain
undercut profile, but
not large undercut)
Pattern transferred by oxygen plasma RIE to ARC.
Thin-resist exposure results in very high contrast, thus high resolution.
Undercut profile is because ARC etching rate by O
2
RIE is faster than that of resist.
Such profile is ideal for liftoff (metal on bottom not connected to metal on top of resist).
Other resist configurations: bi-level and tri-level
Tri-level Resist
(do not rely on the etching
rate selectivity between
resist and ARC to achieve
large undercut profile)
Thin hard mask (e.g. Si or SiO
2
) can be etched through using CF
4
RIE (reactive ion etching),
and ARC using O
2
RIE. Very large undercut possible using tri-level by O
2
over-etch that
does not attack the hard mask.
Undercut profile
37
Harvard_Fabrication_ES174Si4.ppt 2006
Photolithography (for production)
38

S-ar putea să vă placă și