Sunteți pe pagina 1din 36

Tema 1.

Introduccin a la
arquitectura de computadores: arquitectura de computadores:
diseo, coste y rendimiento
Arquitectura de
C t d Computadores
ndice
Conceptos bsicos d
e

e
n
t
o
c
i

n

Conceptos bsicos
Arquitectura Von Neumann
Fases de ejecucin de una instruccin
Lenguajes de programacin
q
u
i
t
e
c
t
u
r
a

d
y

r
e
n
d
i
m
i
e
n
t
r
o
d
u
c
c
Lenguajes de programacin
Rendimiento de los computadores
Bibliografa

n

a

l
a

a
r
q
e

o
,

c
o
s
t
e

T
e
m
a

1
:

I
n
I
n
t
r
o
d
u
c
c
i
d
o
r
e
s
:

d
i
s
e
T
T
e
m
a

1
:

C
o
m
p
u
t
a
d
2 / 36
Arquitectura de Computadores
Conceptos bsicos:
Niveles de abstraccin de un computador
d
e

e
n
t
o
c
i

n

q
u
i
t
e
c
t
u
r
a

d
y

r
e
n
d
i
m
i
e
n
t
r
o
d
u
c
c
Aplicacin
Lenguajes de alto nivel
Si t ti
Nivel Funcin
Arquitectura de
Computadores
Sistema que integra tanto el
hardware como el software y
algoritmos para realizar los
clculos del computador

n

a

l
a

a
r
q
e

o
,

c
o
s
t
e

T
e
m
a

1
:

I
n
Sistemas operativos
Arquitectura
Estructura
clculos del computador
Estructura de
Computadores
Organizacin de las unidades
funcionales y su interconexin
para realizar las especificaciones
de la arquitectura I
n
t
r
o
d
u
c
c
i
d
o
r
e
s
:

d
i
s
e
T
Tecnologa
q
Tecnologa de
Computadores
Diseo de circuitos digitales
T
e
m
a

1
:

C
o
m
p
u
t
a
d
3 / 36
Arquitectura de Computadores
Conceptos bsicos:
Estructura vs. Arquitectura (I)
d
e

e
n
t
o
c
i

n

Estructuras
Arquitecturas
q
u
i
t
e
c
t
u
r
a

d
y

r
e
n
d
i
m
i
e
n
t
r
o
d
u
c
c

n

a

l
a

a
r
q
e

o
,

c
o
s
t
e

T
e
m
a

1
:

I
n
I
n
t
r
o
d
u
c
c
i
d
o
r
e
s
:

d
i
s
e
T
T
e
m
a

1
:

C
o
m
p
u
t
a
d
4 / 36
Arquitectura de Computadores
Conceptos bsicos:
Estructura vs. Arquitectura (II)
d
e

e
n
t
o
c
i

n

Estructuras
Arquitecturas
q
u
i
t
e
c
t
u
r
a

d
y

r
e
n
d
i
m
i
e
n
t
r
o
d
u
c
c

n

a

l
a

a
r
q
e

o
,

c
o
s
t
e

T
e
m
a

1
:

I
n
A
B
I
n
t
r
o
d
u
c
c
i
d
o
r
e
s
:

d
i
s
e
T
+
A+B
T
e
m
a

1
:

C
o
m
p
u
t
a
d
5 / 36
Arquitectura de Computadores
A+B
Conceptos bsicos:
Estructura vs. Arquitectura (III)
Estructuras Arquitecturas
d
e

e
n
t
o
c
i

n

Son la unidades funcionales:
memorias, puertas lgicas,
Dependiendo de cmo se
organicen las estructuras
q
u
i
t
e
c
t
u
r
a

d
y

r
e
n
d
i
m
i
e
n
t
r
o
d
u
c
c
buses, circuitos integrados,
procesadores . a partir de las
cuales se formarn otras
bsicas tendremos diferentes
arquitecturas que respondern
a las especificaciones que de
coste y rendimiento se deseen

n

a

l
a

a
r
q
e

o
,

c
o
s
t
e

T
e
m
a

1
:

I
n
coste y rendimiento se deseen
obtener. PC, supercomputador,
estacin de trabajo
I
n
t
r
o
d
u
c
c
i
d
o
r
e
s
:

d
i
s
e
T
T
e
m
a

1
:

C
o
m
p
u
t
a
d
6 / 36
Arquitectura de Computadores
Conceptos bsicos:
Tareas del diseador de computadores (I)
La tarea de un diseador de computadores consiste en determinar
d
e

e
n
t
o
c
i

n

que atributos son importantes para una nueva mquina, o analizar los
cuellos de botella de un sistema ya existente y lograr maximizar el
rendimiento dentro de unos costes razonables de los que no puede
pasarse
q
u
i
t
e
c
t
u
r
a

d
y

r
e
n
d
i
m
i
e
n
t
r
o
d
u
c
cpasarse
Para ello debe definir una serie de requisitos funcionales que el
sistema deber cumplir

n

a

l
a

a
r
q
e

o
,

c
o
s
t
e

T
e
m
a

1
:

I
n
sistema deber cumplir
Tendr en cuenta: el rea de aplicacin, el nivel de compatibilidad
software, los requisitos de los sistemas operativos y los estndares
I
n
t
r
o
d
u
c
c
i
d
o
r
e
s
:

d
i
s
e
T
q p y
existentes
T
e
m
a

1
:

C
o
m
p
u
t
a
d
7 / 36
Arquitectura de Computadores
Conceptos bsicos:
Tareas del diseador de computadores (II)
El rea de aplicacin hace referencia al objetivo por el que se crea el
d
e

e
n
t
o
c
i

n

computador o por el que se mejora el existente. Pueden ser:
Computador de propsito general: el rendimiento se equilibra entre la
variedad de tareas que puede realizar
q
u
i
t
e
c
t
u
r
a

d
y

r
e
n
d
i
m
i
e
n
t
r
o
d
u
c
c
variedad de tareas que puede realizar
Computador cientfico: requerir una alta capacidad de realizar
operaciones en coma flotante
Computador empresarial: proporcionar soporte para procesar las

n

a

l
a

a
r
q
e

o
,

c
o
s
t
e

T
e
m
a

1
:

I
n
transacciones de bases de datos, realizar clculos simples, soportar
lenguajes como Cobol, RPG I y II
Computadores tolerantes a fallos: debern tener capacidad de detectar
fallos y reconfigurarse. Normalmente tiene duplicados, o triplicados, todos I
n
t
r
o
d
u
c
c
i
d
o
r
e
s
:

d
i
s
e
T
a os y eco gu a se o a e te t e e dup cados, o t p cados, todos
los elementos que lo forman
T
e
m
a

1
:

C
o
m
p
u
t
a
d
8 / 36
Arquitectura de Computadores
Conceptos bsicos:
Tareas del diseador de computadores (III)
La compatibilidad software hace referencia a la cantidad de
d
e

e
n
t
o
c
i

n

aplicaciones existentes que podrn ejecutarse en la mquina nueva o
mejorada. Entre las posibilidades destacan:
A i l d l j d i d lt i l h
q
u
i
t
e
c
t
u
r
a

d
y

r
e
n
d
i
m
i
e
n
t
r
o
d
u
c
c
A nivel de lenguaje de programacin de alto nivel: es mucho ms
flexible y cmodo para el diseador de computadores pero requiere un
nuevo compilador

n

a

l
a

a
r
q
e

o
,

c
o
s
t
e

T
e
m
a

1
:

I
n
A nivel de compatibilidad binaria: existen diferentes mtodos pero todos
tienen en comn que el juego de instrucciones ya est definido, es menos
flexible pero no requiere generar nuevo software o portarlo
I
n
t
r
o
d
u
c
c
i
d
o
r
e
s
:

d
i
s
e
T
T
e
m
a

1
:

C
o
m
p
u
t
a
d
9 / 36
Arquitectura de Computadores
Conceptos bsicos:
Tareas del diseador de computadores (IV)
Los requisitos del sistema operativo o sistemas operativos que se
d
e

e
n
t
o
c
i

n

hayan escogido para la mquina:
Tamao del espacio de direcciones: es una de las caractersticas ms
importantes a tener en cuenta ya que puede limitar las aplicaciones
q
u
i
t
e
c
t
u
r
a

d
y

r
e
n
d
i
m
i
e
n
t
r
o
d
u
c
c
importantes a tener en cuenta ya que puede limitar las aplicaciones
Manejo de memoria: es un requisito para los sistemas operativos
actuales, puede ser paginada o segmentada
Memoria virtual: decidir si la arquitectura ayudar o no al sistema

n

a

l
a

a
r
q
e

o
,

c
o
s
t
e

T
e
m
a

1
:

I
n
operativo a manejar la memoria virtual
Proteccin: existen diferentes necesidades para las aplicaciones y
sistemas operativos
Tiempo real: se deber tener en cuenta si se escoger un sistema
I
n
t
r
o
d
u
c
c
i
d
o
r
e
s
:

d
i
s
e
T
Tiempo real: se deber tener en cuenta si se escoger un sistema
operativo de tiempo real
T
e
m
a

1
:

C
o
m
p
u
t
a
d
10 / 36
Arquitectura de Computadores
Conceptos bsicos:
Tareas del diseador de computadores (V)
La inclusin o cumplimiento de estndares hacen referencia a cuales
d
e

e
n
t
o
c
i

n

cumplir la arquitectura:
Coma flotante: si se emplear el estndar IEEE 754, DEC, IBM,
q
u
i
t
e
c
t
u
r
a

d
y

r
e
n
d
i
m
i
e
n
t
r
o
d
u
c
c
Buses de entrada salida: que buses permitir la arquitectura: SCSI, SCSI
II, UltraSCSI, VME, FutureBus,
Sistemas operativos: UNIX, Linux, Windows, DOS
Redes: el soporte que proporcionar a determinados tipos de redes:

n

a

l
a

a
r
q
e

o
,

c
o
s
t
e

T
e
m
a

1
:

I
n
Redes: el soporte que proporcionar a determinados tipos de redes:
Ethernet, ATM, Token ring,
Lenguajes de programacin: ya que afectarn al juego de instrucciones
del computador: ANSI C, Fortran 77, 88, ANSI Cobol,
I
n
t
r
o
d
u
c
c
i
d
o
r
e
s
:

d
i
s
e
T
T
e
m
a

1
:

C
o
m
p
u
t
a
d
11 / 36
Arquitectura de Computadores
Arquitectura de Von Neumann (I)
Fue establecida en 1945 por John von Neumann
d
e

e
n
t
o
c
i

n

Su caracterstica principal es que ejecuta instrucciones de mquina de
un programa almacenado en memoria
UCP
q
u
i
t
e
c
t
u
r
a

d
y

r
e
n
d
i
m
i
e
n
t
r
o
d
u
c
c
Bloques:
Memoria principal
Unidad aritmtica y
banco de registros
REGISTROS
UNIDAD
ARITMTICA
MEMORIA
PRINCIPAL
PERIFRICO
UNIDAD
DE E/S
PERIFRICO

n

a

l
a

a
r
q
e

o
,

c
o
s
t
e

T
e
m
a

1
:

I
n
banco de registros
Unidad de control (UC)
Unidad de entrada/salida
UNIDAD DE CONTROL
PC
PERIFRICO
I
n
t
r
o
d
u
c
c
i
d
o
r
e
s
:

d
i
s
e
T
Los buses son los elementos que interconectan los diferentes
elementos de la arquitectura: bus de datos, bus de direcciones y bus
de control
T
e
m
a

1
:

C
o
m
p
u
t
a
d
12 / 36
Arquitectura de Computadores
Arquitectura de Von Neumann (II)
Fases de ejecucin de una instruccin
1. Fase de bsqueda de la instruccin
La UC activa las seales de control necesarias para leer de memoria la d
e

e
n
t
o
c
i

n

La UC activa las seales de control necesarias para leer de memoria la
instruccin a la que apunta el contador de programa (CP)
2. Fase de decodificacin
La UC recibe la instruccin (RI) y la decodifica
q
u
i
t
e
c
t
u
r
a

d
y

r
e
n
d
i
m
i
e
n
t
r
o
d
u
c
c
3. Bsqueda de operandos
La UC, en caso necesario, lee los operandos de memoria o de los registros
4. Ejecucin y almacenamiento del resultado

n

a

l
a

a
r
q
e

o
,

c
o
s
t
e

T
e
m
a

1
:

I
n
La UC genera las seales necesarias para realizar la operacin, y en caso
necesario, guarda el resultado en memoria principal o en un registro
5. La UC actualiza el CP, para pasar a ejecutar la siguiente
i t i
I
n
t
r
o
d
u
c
c
i
d
o
r
e
s
:

d
i
s
e
T
instruccin
Funcionamiento secuencial
Modificacin de secuencia: modificar CP bifurcacin o salto T
e
m
a

1
:

C
o
m
p
u
t
a
d
13 / 36
Arquitectura de Computadores
Modelo de programacin (I)
Lenguaje de alto nivel:
d
e

e
n
t
o
c
i

n

Posee instrucciones y sintaxis propia
(Ej. PASCAL, C)
Lenguaje de alto nivel portabilidad
(se compila el mismo cdigo en
q
u
i
t
e
c
t
u
r
a

d
y

r
e
n
d
i
m
i
e
n
t
r
o
d
u
c
c
( p g
diferentes mquinas)

n

a

l
a

a
r
q
e

o
,

c
o
s
t
e

Lenguaje de bajo nivel
T
e
m
a

1
:

I
n
Lenguaje mquina:
Las instrucciones de un programa se
escriben en binario
I d d
Lenguaje ensamblador:
Las instrucciones se representan con
nombres simblicos o mnemnicos
Cada instruccin en lenguaje
I
n
t
r
o
d
u
c
c
i
d
o
r
e
s
:

d
i
s
e
TIncomodo y produce errores
Solucin: usar otros lenguajes de
programacin
Cada instruccin en lenguaje
ensamblador se corresponde con una
instruccin mquina
T
e
m
a

1
:

C
o
m
p
u
t
a
d
14 / 36
Arquitectura de Computadores
Modelo de programacin (II)
Lenguaje de alto nivel (Ejemplo: PASCAL)
BEGIN
d
e

e
n
t
o
c
i

n

Resta:= Minuendo - Sustraendo
END
Lenguaje mquina y lenguaje ensamblador (Ejemplo: Ensamblador i80x86)
q
u
i
t
e
c
t
u
r
a

d
y

r
e
n
d
i
m
i
e
n
t
r
o
d
u
c
c
A10000 MOV AX, Minuendo
2B060200 SUB AX, Sustrayendo
A30400 MOV Resta, AX
La traduccin de un programa a lenguaje mquina lo llevan a cabo los

n

a

l
a

a
r
q
e

o
,

c
o
s
t
e

T
e
m
a

1
:

I
n
La traduccin de un programa a lenguaje mquina lo llevan a cabo los
intrpretes y compiladores
Compilador
I
n
t
r
o
d
u
c
c
i
d
o
r
e
s
:

d
i
s
e
T
Lenguaje
ensamblador
Lenguaje
mquina
Lenguaje de
alto nivel
T
e
m
a

1
:

C
o
m
p
u
t
a
d
15 / 36
Arquitectura de Computadores
Compilador Ensamblador
e sa b ado qu a a to e
Modelo de programacin (III)
Juego de instrucciones: Conjunto de todas las instrucciones que puede
d
e

e
n
t
o
c
i

n

Juego de instrucciones: Conjunto de todas las instrucciones que puede
ejecutar un computador
CISC: Complex Instruction Set Computer
q
u
i
t
e
c
t
u
r
a

d
y

r
e
n
d
i
m
i
e
n
t
r
o
d
u
c
c
C SC Co p e st uct o Set Co pute
Juego de instrucciones complejo con muchas instrucciones y complejas
RISC: Reduced Instruction Set Computer

n

a

l
a

a
r
q
e

o
,

c
o
s
t
e

T
e
m
a

1
:

I
n
RISC: Reduced Instruction Set Computer
Juego de instrucciones reducido con pocas instrucciones y sencillas
I
n
t
r
o
d
u
c
c
i
d
o
r
e
s
:

d
i
s
e
T
T
e
m
a

1
:

C
o
m
p
u
t
a
d
16 / 36
Arquitectura de Computadores
Rendimiento de un computador
Coste vs. rendimiento
El coste y el rendimiento suelen estar enfrentados por lo que el
d
e

e
n
t
o
c
i

n

diseador de computadores debe llegar a una solucin de
compromiso entre ambos parmetros
Coste y rendimiento suelen ser restricciones a tener en cuenta por el
diseador de computadores
q
u
i
t
e
c
t
u
r
a

d
y

r
e
n
d
i
m
i
e
n
t
r
o
d
u
c
c
diseador de computadores
Ejemplos de la relacin entre el rendimiento y el coste:
Computador personal: es un sistema barato con rendimiento medio

n

a

l
a

a
r
q
e

o
,

c
o
s
t
e

T
e
m
a

1
:

I
n
Computador personal: es un sistema barato con rendimiento medio
Estacin de trabajo: tiene un buen rendimiento pero no se dispara el
precio
I
n
t
r
o
d
u
c
c
i
d
o
r
e
s
:

d
i
s
e
T
Supercomputador: prima el rendimiento. El coste no importa
T
e
m
a

1
:

C
o
m
p
u
t
a
d
17 / 36
Arquitectura de Computadores
Rendimiento de un computador
Coste (I)
El coste es un parmetro a tener muy en cuenta al disear un nuevo
d
e

e
n
t
o
c
i

n

procesador o al modificar uno existente
Los factores que influyen en el coste del silicio son:
q
u
i
t
e
c
t
u
r
a

d
y

r
e
n
d
i
m
i
e
n
t
r
o
d
u
c
c
El nmero de puertas: influye en el nmero de transistores que se
necesitan y por tanto un aumento de estos ltimos requiere un rea de
silicio mayor

n

a

l
a

a
r
q
e

o
,

c
o
s
t
e

T
e
m
a

1
:

I
n
Conexiones entre elementos: el nmero y la longitud de estos
Regularidad del diseo: cuanto ms regular sea el diseo, menos rea

I
n
t
r
o
d
u
c
c
i
d
o
r
e
s
:

d
i
s
e
Tocupar
T
e
m
a

1
:

C
o
m
p
u
t
a
d
18 / 36
Arquitectura de Computadores
Rendimiento de un computador
Coste (II)
Proceso de fabricacin de chips
d
e

e
n
t
o
c
i

n

Lingote de silicio
Obleas vrgenes
De 20 a 30 etapas
Corte
q
u
i
t
e
c
t
u
r
a

d
y

r
e
n
d
i
m
i
e
n
t
r
o
d
u
c
c
De 20 a 30 etapas
de proceso
Dados verificados Obleas impresas
en
obleas
Dados sueltos
(una oblea)

n

a

l
a

a
r
q
e

o
,

c
o
s
t
e

T
e
m
a

1
:

I
n
Conexin
del dado al
empaquetado
Verifica-
dor de
dados
Corte
en
dados
(una oblea)
I
n
t
r
o
d
u
c
c
i
d
o
r
e
s
:

d
i
s
e
T
Dados empaquetados
Verifica-
dor de
comp.
Dados empaquetados
verificados
Envo a los
consumidores
T
e
m
a

1
:

C
o
m
p
u
t
a
d
19 / 36
Arquitectura de Computadores
p
Rendimiento de un computador
Coste (III)
La Ley de Moore postula que el nmero de transistores integrados se
d
e

e
n
t
o
c
i

n

y p q g
duplica cada 18 meses
q
u
i
t
e
c
t
u
r
a

d
y

r
e
n
d
i
m
i
e
n
t
r
o
d
u
c
c

n

a

l
a

a
r
q
e

o
,

c
o
s
t
e

T
e
m
a

1
:

I
n
I
n
t
r
o
d
u
c
c
i
d
o
r
e
s
:

d
i
s
e
T
T
e
m
a

1
:

C
o
m
p
u
t
a
d
20 / 36
Arquitectura de Computadores
Rendimiento de un computador
Coste (IV)
Pentium 4 a 3.06 GHz
d
e

e
n
t
o
c
i

n

Control
Control Interfaz
de
Entrada
/
Salida
q
u
i
t
e
c
t
u
r
a

d
y

r
e
n
d
i
m
i
e
n
t
r
o
d
u
c
c
Cache de
datos
Cache instrucciones
Coma flotante
y
C i d
Salida

n

a

l
a

a
r
q
e

o
,

c
o
s
t
e

T
e
m
a

1
:

I
n
Cache
secundaria
e
interfaz
de
memoria
y
multimedia
mejorados
Camino de
datos de
enteros
Control
I
n
t
r
o
d
u
c
c
i
d
o
r
e
s
:

d
i
s
e
T
memoria
Control
Pipeline avanzado y
soporte para
hyperthreading
T
e
m
a

1
:

C
o
m
p
u
t
a
d
21 / 36
Arquitectura de Computadores
yp g
Rendimiento de un computador
Coste (V)
Clculo del coste por dado (procesador):
d
e

e
n
t
o
c
i

n

oblea por Coste
q
u
i
t
e
c
t
u
r
a

d
y

r
e
n
d
i
m
i
e
n
t
r
o
d
u
c
c
dado del rea dado del rea
oblea la de rea
oblea por Dados
ganancia x oblea por Dados
oblea por Coste
dado por Coste
2) / oblea Diametro
2

= =
=
(

n

a

l
a

a
r
q
e

o
,

c
o
s
t
e

T
e
m
a

1
:

I
n
cuadrado cm por 1 2 a 0 6 desde defectos los y 3 ser suele
Ganancia
)
dado del x rea rea de unidad por Defectos
1

+

=
(
I
n
t
r
o
d
u
c
c
i
d
o
r
e
s
:

d
i
s
e
T
cuadrado cm. por 1,2 a 0,6 desde defectos los y 3 ser suele
T
e
m
a

1
:

C
o
m
p
u
t
a
d
22 / 36
Arquitectura de Computadores
Rendimiento de un computador
Coste (VI)
Ejemplo: encontrar el nmero de dados tericos para una oblea
d
e

e
n
t
o
c
i

n

de 25 cm. de dimetro y los reales mediante el clculo de la
ganancia, suponiendo una densidad de defectos de 0,8 por cm
2
.
El lado del dado es de 1,5 cm. y =3
q
u
i
t
e
c
t
u
r
a

d
y

r
e
n
d
i
m
i
e
n
t
r
o
d
u
c
c
tericos dados 218
1 5 x 1 5
2) / (25
x
dado del rea
2) / oblea (Diametro
x
dado del rea
oblea la de rea
oblea por Dados
2 2
= = = =

n

a

l
a

a
r
q
e

o
,

c
o
s
t
e

T
e
m
a

1
:

I
n
l d d 52 0 24 218 G i t i bl D d l D d
24 , 0
)
3
1,5 x 1,5 x 0,8
1 ( )
dado del rea x rea de unidad por Defectos
1 (
Ganancia
1,5 x 1,5 dado del rea dado del rea
3
=
+
=
+
=

I
n
t
r
o
d
u
c
c
i
d
o
r
e
s
:

d
i
s
e
T
reales dados 52 0,24 x 218 Ganancia x tericos oblea por Dados reales Dados = = =
T
e
m
a

1
:

C
o
m
p
u
t
a
d
23 / 36
Arquitectura de Computadores
Rendimiento de un computador
Introduccin
Los computadores se construyen para realizar un gran nmero de
clculos en el menor tiempo posible
d
e

e
n
t
o
c
i

n

clculos en el menor tiempo posible
Para medir la rapidez y la potencia de clculo se emplean:
El tiempo de ejecucin de un trabajo: cuanto menos tarde mejor
q
u
i
t
e
c
t
u
r
a

d
y

r
e
n
d
i
m
i
e
n
t
r
o
d
u
c
c
El tiempo de ejecucin de un trabajo: cuanto menos tarde, mejor
La productividad, o throughput: que es la cantidad de trabajo que es
capaz de ejecutar en un tiempo determinado. Cuanto ms realice, mejor
El tiempo que tarde en ejecutar un programa depende de varios

n

a

l
a

a
r
q
e

o
,

c
o
s
t
e

T
e
m
a

1
:

I
n
El tiempo que tarde en ejecutar un programa depende de varios
factores: de las operaciones de entrada/salida, del acceso a
memoria, del tiempo de CPU consumido por el sistema operativo y
del tiempo de CPU del usuario
I
n
t
r
o
d
u
c
c
i
d
o
r
e
s
:

d
i
s
e
T
El tiempo de ejecucin hace referencia al tiempo de CPU de usuario
T
e
m
a

1
:

C
o
m
p
u
t
a
d
24 / 36
Arquitectura de Computadores
Rendimiento de un computador
Evolucin
Evolucin del rendimiento de los procesadores
d
e

e
n
t
o
c
i

n

q
u
i
t
e
c
t
u
r
a

d
y

r
e
n
d
i
m
i
e
n
t
r
o
d
u
c
c
m
i
e
n
t
o

n

a

l
a

a
r
q
e

o
,

c
o
s
t
e

T
e
m
a

1
:

I
n
R
e
n
d
i
m
I
n
t
r
o
d
u
c
c
i
d
o
r
e
s
:

d
i
s
e
T
T
e
m
a

1
:

C
o
m
p
u
t
a
d
25 / 36
Arquitectura de Computadores
Ao
Rendimiento de un computador
Mtricas (I)
MIPS: Millones de instrucciones por segundo
d
e

e
n
t
o
c
i

n

MFLOPS: Millones de operaciones en coma flotante por segundo
Existen una serie de test que permiten medir el rendimiento de todo el
sistema en conjunto
q
u
i
t
e
c
t
u
r
a

d
y

r
e
n
d
i
m
i
e
n
t
r
o
d
u
c
c
Una forma de medir el rendimiento es por el tiempo de ejecucin de
los programas
j i d Ti
1
o Rendimient =
n

a

l
a

a
r
q
e

o
,

c
o
s
t
e

T
e
m
a

1
:

I
n
Con lo que se puede decir que una mquina X es n veces ms rpida
que otra Y (aceleracin del rendimiento) si:
ejecucin de Tiempo
I
n
t
r
o
d
u
c
c
i
d
o
r
e
s
:

d
i
s
e
T
que otra Y (aceleracin del rendimiento) si:
n
ejecucin de Tiempo
ejecucin de Tiempo

o Rendimient
o Rendimient
x
y
y
x
= =
T
e
m
a

1
:

C
o
m
p
u
t
a
d
26 / 36
Arquitectura de Computadores
Rendimiento de un computador
Mtricas (II)
Rendimiento de la CPU
Tp
1
=
d
e

e
n
t
o
c
i

n

Tp
inst inst p
T N T =
CPU inst
T CPI T =
CPU
CPU
f
T
1
=
q
u
i
t
e
c
t
u
r
a

d
y

r
e
n
d
i
m
i
e
n
t
r
o
d
u
c
cCPU
f
instr total N
CPI Instr N
CPI
n
i
i i

=
=

n

a

l
a

a
r
q
e

o
,

c
o
s
t
e

T
e
m
a

1
:

I
n
instr. total N
1
= s
f
CPU

= rendimiento
Tp = Tiempo en ejecutar un programa
Ninst = nmero de instrucciones
CPI = Ciclos por instruccin (media aritmtica) I
n
t
r
o
d
u
c
c
i
d
o
r
e
s
:

d
i
s
e
T

= s
CPI Ninst

CPI Ciclos por instruccin (media aritmtica)


T
CPU
= Periodo de ciclo de reloj de la CPU
f
CPU
= Frecuencia de reloj
T
e
m
a

1
:

C
o
m
p
u
t
a
d
27 / 36
Arquitectura de Computadores
Rendimiento de un computador
Mtricas (III)
Resumiendo: los componentes bsicos del rendimiento y la unidad
d
e

e
n
t
o
c
i

n

de medida son:
Componentes del rendimiento Unidad de medida
q
u
i
t
e
c
t
u
r
a

d
y

r
e
n
d
i
m
i
e
n
t
r
o
d
u
c
c
p
Tiempo de ejecucin de CPU para un
programa
Segundos por programa

n

a

l
a

a
r
q
e

o
,

c
o
s
t
e

T
e
m
a

1
:

I
n
Nmero de instrucciones
Nmero de instrucciones ejecutadas
por el programa
Ciclos por instruccin (CPI)
Nmero medio de ciclos por
I
n
t
r
o
d
u
c
c
i
d
o
r
e
s
:

d
i
s
e
T
Ciclos por instruccin (CPI)
instruccin
Tiempo de ciclo de reloj Segundos por ciclo de reloj
T
e
m
a

1
:

C
o
m
p
u
t
a
d
28 / 36
Arquitectura de Computadores
Rendimiento de un computador
Mtricas (IV)
Rendimiento de la memoria
d
e

e
n
t
o
c
i

n

Tamao en nmero de palabras. Aumenta la funcionalidad del sistema
Latencia o tiempo de respuesta en ns. Ligada a la rapidez de ejecucin
de los programas
A t d i id
q
u
i
t
e
c
t
u
r
a

d
y

r
e
n
d
i
m
i
e
n
t
r
o
d
u
c
c
A mayor tamao de memoria menor rapidez
Rendimiento de los buses

n

a

l
a

a
r
q
e

o
,

c
o
s
t
e

T
e
m
a

1
:

I
n
Rendimiento de los buses
Nmero de lneas de comunicacin.
Frecuencia de transmisin de los datos en MHz
Ancho de banda. Megabytes por segundo
I
n
t
r
o
d
u
c
c
i
d
o
r
e
s
:

d
i
s
e
T
g y p g
T
e
m
a

1
:

C
o
m
p
u
t
a
d
29 / 36
Arquitectura de Computadores
Rendimiento de un computador
Mtricas (V). Benchmarks (I)
Los programas de evaluacin recogen un amplio espectro de
f i l l t l d l d d
d
e

e
n
t
o
c
i

n

funciones para las que son normalmente empleados los ordenadores
Los programas de evaluacin son conocidos como Benchmark
q
u
i
t
e
c
t
u
r
a

d
y

r
e
n
d
i
m
i
e
n
t
r
o
d
u
c
c
Caractersticas de los programas de evaluacin:
Portables a cualquier tipo de mquina: PC, estacin de trabajo,
multiprocesador

n

a

l
a

a
r
q
e

o
,

c
o
s
t
e

T
e
m
a

1
:

I
n
Informe de resultados fciles de interpretar
Tienen en cuenta el efecto del compilador
Programas de evaluacin ms empleados:
I
n
t
r
o
d
u
c
c
i
d
o
r
e
s
:

d
i
s
e
T
Programas de evaluacin ms empleados:
SPEC CPU 2000
iCOMP
T
e
m
a

1
:

C
o
m
p
u
t
a
d
30 / 36
Arquitectura de Computadores
Rendimiento de un computador
Mtricas (VI). Benchmarks (II)
SPEC CPU 2000
d
e

e
n
t
o
c
i

n

Programas del CINT2000 Programas del CFP 2000
Nombre Descripcin Nombre Descripcin
Bzip2 Compresin Facerec Reconocimiento de caras
q
u
i
t
e
c
t
u
r
a

d
y

r
e
n
d
i
m
i
e
n
t
r
o
d
u
c
cBzip2 Compresin Facerec Reconocimiento de caras
Crafty Juego de ajedrez Applu Ecuaciones diferenciales
Eon Visualizacin Apsi Prediccin meteorolgica
Gap Intrprete de teora de grupo Sixtrack Acelerador de partculas
Gcc Compilador C de GNU Wupwise Fsica cuntica

n

a

l
a

a
r
q
e

o
,

c
o
s
t
e

T
e
m
a

1
:

I
n
Gzip Compresin Art Reconocimiento de imgenes
Mcf Optimizacin combinatoria Mgrid Multimalla 3D
Parser Procesador de texto Galgel Dinmica de fluidos
Perbmk Entorno lenguaje PERL Ammp Qumica computacional
T olf Sim lador de circ itos L cas Teora de nmeros
I
n
t
r
o
d
u
c
c
i
d
o
r
e
s
:

d
i
s
e
T
Twolf Simulador de circuitos Lucas Teora de nmeros
Vortex Base de datos OO Fma3d Simulacin de colisiones
Vpr Enrutado de chips FPGA Swin Modelado de superficie del agua
Equake Ondas ssmicas
Mesa Librea grficos 3D
T
e
m
a

1
:

C
o
m
p
u
t
a
d
31 / 36
Arquitectura de Computadores
g

Rendimiento de un computador
Mtricas (VII). Benchmarks (III)
iCOMP 3.0
d
e

e
n
t
o
c
i

n

Benchmark Peso porcentual Aplicaciones a las que caracteriza
CPUmark99 20 Computacin intensiva de nmeros enteros
Wintune98 Advanced CPUinteger test 20 Computacin intensiva de nmeros enteros q
u
i
t
e
c
t
u
r
a

d
y

r
e
n
d
i
m
i
e
n
t
r
o
d
u
c
c
Wintune98 Advanced CPU integer test 20 Computacin intensiva de nmeros enteros
MulitmediaMark 99 25 Aplicaciones A / V en Internet
3D Winbench99-3Dlighting and
Transf. Test
20 Geometra e iluminacin 3D
Winbench99-FPUWinMak 5 Computacin intensiva en punto flotante

n

a

l
a

a
r
q
e

o
,

c
o
s
t
e

T
e
m
a

1
:

I
n
Winbench99 FPU WinMak 5 Computacin intensiva en punto flotante
Jmark 2.0 Processor test 10 Aplicaciones java

I
n
t
r
o
d
u
c
c
i
d
o
r
e
s
:

d
i
s
e
T
T
e
m
a

1
:

C
o
m
p
u
t
a
d
32 / 36
Arquitectura de Computadores
Rendimiento de un computador
Ley de Amdahl (I)
Cuello de botella. Subsistema o subsistemas que degradan
d
e

e
n
t
o
c
i

n

q g
el rendimiento del equipo en general. Mejorar el caso comn
La ley de Amdahl mide el impacto en el rendimiento del
cambio en un subsistema
q
u
i
t
e
c
t
u
r
a

d
y

r
e
n
d
i
m
i
e
n
t
r
o
d
u
c
c
Ley de Amdahl: El aumento del rendimiento debido a una
mejora est limitado por el tiempo que se utiliza dicha
mejora
1 mejora sin Tiempo

n

a

l
a

a
r
q
e

o
,

c
o
s
t
e

T
e
m
a

1
:

I
n
Am factor de mejora q e ha introd cido el s bsistema
A
F
F
m
m
m
A
+
= =
) 1 (
1
mejora con Tiempo
mejora sin Tiempo
I
n
t
r
o
d
u
c
c
i
d
o
r
e
s
:

d
i
s
e
T
Am factor de mejora que ha introducido el subsistema
alterado
Fm. Fraccin de tiempo que el sistema emplea el
subsistema alterado
T
e
m
a

1
:

C
o
m
p
u
t
a
d
33 / 36
Arquitectura de Computadores
subsistema alterado
Rendimiento de un computador
Ley de Amdahl (II)
Si Am =
1
A =
d
e

e
n
t
o
c
i

n

El porcentaje mximo que un subsistema puede acelerarse
actuando sobre uno de los componentes est acotado en funcin
) 1 (
F
m

q
u
i
t
e
c
t
u
r
a

d
y

r
e
n
d
i
m
i
e
n
t
r
o
d
u
c
c
de cunto se use
Si Fm = 0
La mejora sobre un componente no tiene efecto sobre el sistema
t t l i t l
1 = A

n

a

l
a

a
r
q
e

o
,

c
o
s
t
e

T
e
m
a

1
:

I
n
total si ese componente no se emplea
Si Fm = 1
T d l ti d j i d l d di l l
A
m
A =
I
n
t
r
o
d
u
c
c
i
d
o
r
e
s
:

d
i
s
e
T
Todo el tiempo de ejecucin del programa se dedica a emplear el
componente mejorado, con lo que la ganancia en velocidad
experimentado por el subsistema se trasladar al sistema
general
T
e
m
a

1
:

C
o
m
p
u
t
a
d
34 / 36
Arquitectura de Computadores
general
Rendimiento de un computador
Ley de Amdahl (III)
Ejemplo:
Se desea mejorar el rendimiento de un computador introduciendo un
d
e

e
n
t
o
c
i

n

j p
coprocesador matemtico que realice las operaciones en la mitad de
tiempo. Calcular la ganancia en velocidad del sistema para la ejecucin de
un programa si el 60% del mismo se dedica a operaciones aritmticas. Si el
programa tarda 12 segundos en ejecutarse sin la mejora. cunto tardar
l j ?
q
u
i
t
e
c
t
u
r
a

d
y

r
e
n
d
i
m
i
e
n
t
r
o
d
u
c
c
con la mejora?
Am = 2 y Fm = 0,6
42 , 1
6 , 0
) 6 0 1 (
1
= = A

n

a

l
a

a
r
q
e

o
,

c
o
s
t
e

T
e
m
a

1
:

I
n
Con lo que el sistema es un 42% ms rpido
2
,
) 6 , 0 1 ( +
jora ucinSinMe TiempoEjec
A
12
42 , 1 = =
I
n
t
r
o
d
u
c
c
i
d
o
r
e
s
:

d
i
s
e
T
Lo que hace que el programe tarde 8,45 segundos
jora ucinConMe TiempoEjec jora ucinConMe TiempoEjec
A 42 , 1
T
e
m
a

1
:

C
o
m
p
u
t
a
d
35 / 36
Arquitectura de Computadores
Bibliografa
Estructura y diseo de computadores
d
e

e
n
t
o
c
i

n

Estructura y diseo de computadores
David A. Patterson y John L. Hennessy
Revert, 2000
Captulo 2
q
u
i
t
e
c
t
u
r
a

d
y

r
e
n
d
i
m
i
e
n
t
r
o
d
u
c
c
Captulo 2
Arquitectura de computadores. Un enfoque cuantitativo
John L Hennessy y David A Patterson

n

a

l
a

a
r
q
e

o
,

c
o
s
t
e

T
e
m
a

1
:

I
n
John L. Hennessy y David A. Patterson
Mc Graw Hill, 3 ed, 2002
Captulos 1 y 2
I
n
t
r
o
d
u
c
c
i
d
o
r
e
s
:

d
i
s
e
T
T
e
m
a

1
:

C
o
m
p
u
t
a
d
36 / 36
Arquitectura de Computadores

S-ar putea să vă placă și