Sunteți pe pagina 1din 68

Solar charger and Inverter 1

A MainProject Report
On
SOLAR CHARGER AND INVERTER

Submitted in partial fulfillment of the requirements for Degree of
BACHELOR OF TECHNOLOGY
in
Electronics & Communication Engineering

Submitted By
Sanketh Kasupa 09R11A0488
Bhaskar Praneeth YVP 09R11A04B8

Under the Guidance of
Mr. P.Sudhakar
Associate Professor


Department of Electronics & Communication Engineering
GEETHANJALI COLLEGE OF ENGINEERING AND TECHNOLOGY
Cheeryal (V), Keesara (M), R.R. Dist., Hyderabad 501 301
(Affiliated to Jawaharlal Nehru Technological University, Hyderabad
&Accredited By NBA)

2009-2013
Solar charger and Inverter 2
GEETHANJALI COLLEGE OF ENGINEERING& TECHNOLOGY

Department of Electronics & Communication Engineering

CERTIFICATE
This is to certify that the project report titled Solar charger and inverter being
submitted by Sanketh Kasupabearing hall ticket number 09R11A0488 andBhaskar Praneeth
YVP bearing hall ticket number 09R11A04B8, in partial fulfillment for the award of the Degree
of Bachelor of Technology in Electronics & Communication Engineering is a record of
bonafide work carried out under my guidance and supervision. The results embodied in this
report have not been submitted to any other University for the award of any degree.



Internal Guide Head of Department
Mr. P.Sudhakar

Sri. K.Somasekhara Rao
Associate Professor
Professor


External Examiner
Solar charger and Inverter 3
CERTIFICATE
Solar charger and Inverter 4

ACKNOWLEDGEMENT
We the Students of Electronics and Communication Engineering department of
Geethanjali College of Engineering and Technology would like to convey heartfelt thanks toDr.
S. Udaya Kumar, Principal of the college for the guidance and encouragement given to us to
move ahead in the execution of this project.
We are highly grateful to the great personality in the field of Electronics, none other than
Prof. K. Somasekhara Rao, Head of the Department of Electronics and Communication
Engineering of GCET for guiding and taking care of our career in this field. We are thankful to
the Professor.
We are very happy for being guided by Mr. P.Sudhakar, Associate Professor, for his
able guidance given to us to complete our technical work successfully within the stipulated time.
Lastly, we would thank our coordinatorMr. A.Shanker, Associate Professor, for giving
us this opportunity to present the technical project work. We would thank all the staff members
of ECE department for their help and constant support.
Above all, we are very much thankful to the management ofGeethanjali College of
Engineering & Technology which was established by the high profiled intellectuals for the cause
of Technical Education in modern era.



With Regards
Sanketh Kasupa (09R11A0488)
Bhaskar Praneeth YVP (09R11A04B8)


Solar charger and Inverter 5
ABSTRACT

There is a huge demand for electricity and the demand is increasing exponentially. However the
conventional sources for the energy are drying up rapidly. Hence the situation demands for the
look out of an alternative energy. One such alternate energy and natural resource is sun light.
This project is aimed at gaining appreciable knowledge on the solar panels (cells) and their
practical usage. The goal of this project is to design a solar charger and inverter.

The key objectives are:
Design a solar charger, experiment and determine the characteristics of solar panels
Control the load by means of a Power MOSFET
Provide over charge , deep discharge protection for the battery
Automatic night mode operation
Convert the DC voltage obtained into 230V AC

The solar panel voltage is applied to charge the battery whenever it is available. During night
time the battery supplies power to the load and during day time the load is disconnected
automatically. The protection circuit which consists of battery monitoring circuit prevents
excessive charging and deep discharge of the battery. The load is connected to the battery
through a switch, which is a MOSFET, under the control of a micro controller.

The output from the battery is connected to high power switch and to the center tap of the
transformer. SG3524 IC produces PWM waves with the desired frequency and is given as an
input to the high power switches. The o/ps of these switches are given to the step up transformer
which produces 230V square wave. And this voltage is given to the load.

.
Sanketh Kasupa

(09R11A0488)
Bhaskar Praneeth YVP (09R11A04B8)
Solar charger and Inverter 6
Chapter 1
INTRODUCTION

There is a huge demand for electricity and the demand is increasing exponentially. However the
conventional sources for the energy are drying up rapidly. Hence the situation demands for the
look out of an alternative energy. One such alternate energy and natural resource is sun light.
This project gives a best solution for this kind of problem. The goal of this project is to design a
solar charger and inverter.
The solar panel voltage is applied to charge the battery whenever it is available. During night
time the battery supplies power to the load and during day time the load is disconnected
automatically. The protection circuit which consists of battery monitoring circuit prevents
excessive charging and deep discharge of the battery. There is also a protection circuit consisting
of two diodes to prevent the damage of themicrocontroller. There are four indication LEDs to
indicate charging ON/OFF, load ON/OFF and low Battery.The load is connected to an opto
isolator through a switch, which is a MOSFET, under the control of a micro controller.

The output from the battery is connected to a switch and to the center tap of the transformer.
SG3524 IC produces PWM waves which are inverting and non-inverting with the desired
frequency and is given as an input to the high power switches. The o/ps of these switches are
given to the step up transformer which produces 230V square wave. And this voltage is given to
the load.
We are using a regulated 5V, 750mA power supply. IC7805 (three terminal voltage
regulator) is used for voltage regulation. Bridge type full wave rectifier is used to rectify the ac
output of secondary 230/18V step down transformer.
Thus the ATMEGA8 Microcontroller based solar charger and inverter is very useful for
household purposes and industries where there are frequent power cuts.


Solar charger and Inverter 7
1.1 EMBEDDED SYSTEMS:

An embedded system is a combination of software and hardware to perform a dedicated
task. A general-purpose definition of embedded systems is that they are devices used to control,
monitor or assist the operation of equipment, machinery or plant. "Embedded" reflects the fact
that they are an integral part of the system. At the other extreme a general-purpose computer may
be used to control the operation of a large complex processing plant, and its presence will be
obvious.
The very simplest embedded systems are capable of performing only a single function or
set of functions to meet a single predetermined purpose. In more complex systems an application
program that enables the embedded system to be used for a particular purpose in a specific
application determines the functioning of the embedded system. The ability to have programs
means that the same embedded system can be used for a variety of different purposes. In some
cases a microprocessor may be designed in such a way that application software for a particular
purpose can be added to the basic software in a second process, after which it is not possible to
make further changes. The applications software on such processors is sometimes referred to as
firmware.
The simplest devices consist of a single microprocessor (often called a "chip), which
may itself be packaged with other chips in a hybrid system or Application Specific Integrated
Circuit (ASIC). Its input comes from a detector or sensor and its output goes to a switch or
activator which (for example) may start or stop the operation of a machine or, by operating a
valve, may control the flow of fuel to an engine.
Embedded systems contain processing cores that are either microcontrollers or digital
signal processors (DSP).A processor is an important unit in the embedded system hardware. It is
the heart of the embedded system. The key characteristic, however, is being dedicated to handle
a particular task. Since the embedded system is dedicated to specific tasks, design engineers can
optimize it to reduce the size and cost of the product and increase the reliability and
performance. Some embedded systems are mass-produced, benefiting from economies of scale.

Solar charger and Inverter 8

FIGURE 1.1 : CLASSIFICATION OF EMBEDDED SYSTEM
Software deals with the languages like ALP, C, and VB etc., and Hardware deals with
Processors, Peripherals, and Memory.
Memory: It is used to store data or address.
Peripherals: These are the external devices connected
Processor: It is an IC which is used to perform some task
Applications of embedded systems
Manufacturing and process control
Construction industry
Transport
Buildings and premises
Domestic service
Communications
Office systems and mobile equipment
Banking, finance and commercial
Medical diagnostics, monitoring and life support
Testing, monitoring and diagnostic systems
Embedded
System


Software Hardware
o ALP
o C
o VB
Etc.,

o Processor
o Peripherals
o memory
Solar charger and Inverter 9
Chapter 2
LITERATURE SURVEY

There are many types of technologies used to produce electricity based on solar photovoltaic
principle. Crystalline silicon at the moment is the main technology used commercially, but there
are other technologies under intense research work to produce more efficient solar cells. Solar
power level during day time at solar cells with two axis trackers, single axis trackers, on roof
with tracking, on roof with no tracking and stationary .The efficiency of silicon solar cells is in
the range of 13-18%. The average of sun light power or solar power covering all the spectrum is
about 1KW/m2 peak, that is in the direction of the sun and when the sun has reached its peak
power, not early in the morning or late in the evening the average solar power with no tracking
between 6 AM to 6 PM is about half the peak power. For this reason, usually the day time is
considered to start at 8 AM and ends at 4 PM. As can be seen from this figure, by using good
tracking system, the average solar power between 8 AM and 4 PM is about more than 90% of the
peak. But that depends on the location of the area, how far it is from the equator and seasonal
timing. Solar cells made of 1 m2, produce about 140-170 W peak, for the reason mentioned
above, but the average (with no tracking facilities) solar power produced within the 8 hours
mentioned above is about70-85 W/m2. By the way, the cost of photovoltaic systems came down
especially during the past ten years. As technology is advancing, efficiency of most systems is
going up and thereby the cost is coming down.
CRYSTALLINE SILICON PHOTOVOLTAIC CELLS
Silicon cells are manufactured with two adjacent layers, the first is made of silicon doped with
small amount of phosphorus which has one more electron in its outer orbital than silicon. When a
phosphorus atom takes place of a silicon atom, the extra electron is transferred to the crystal
lattice. As these electrons with negative charge are free to move, so this material is known as n-
type silicon. P-type silicon gets its positively charged particles from tiny amounts of boron, an
element that has one less electron than silicon in its outer shell. In this case there are not enough
electrons to form all the covalent bonds required, so the electrons move around to try to fill this
deficiency, which is called a hole. Holes act like free, positively charged particles.
Solar charger and Inverter 10
When p-type and n-type materials are placed together, they form a p-n junction. Electrons and
holes attract each other, congregate by the interface, and leave the p-type and n type regions with
negative and positive charges, thuscreating the required electric field.
When light shines on crystalline silicon, electrons within the crystal lattice may be freed. But not
all photons- as packets of light energy are called are created equal.Only photons with a certain
level of energy can free electrons in the semiconductor material from their atomic bonds to
produce an electric current. This level of energy, known as the "band gap energy," is the amount
of energy required to dislodge an electron from its covalent bond and allow it to become part of
an electrical circuit. To free an electron, the energy of a photon must be at least as great as the
band gap energy. However, photons with more energy than the band gap energy will expend that
extra amount as heat when freeing electrons. Crystalline silicon has band gap energy of 1.1
electron-volts (eV). The band gap energies of other effective PV semiconductors range from 1.0
to 1.6 eV. In this range, electrons can be freed without creating extra heat. Basically, a solar cell
is a P-N junction that absorbs light, releases electrons and holes, creating a voltage in the cell,
which is then applied to a load.
BATTERIES
In photovoltaic system, the energy is stored in batteries during the day so as to be used at night.
Also, as photovoltaic systems fs power output varies throughout any day, a battery storage
system can provide a relatively constant source of power. Batteries are not hundred percent
efficient. Some energy is lost as heat and in chemical reactions. Therefore, additional
photovoltaic modules are needed to compensate for the loss. Utility grid-connected photovoltaic
systems do not require batteries, though they can be used as an emergency backup power source.
The following types of batteries are commonly used in PV systems: Lead acid which are either
liquid vented or sealed VRLA- Valve Regulated Lead Acid) and Alkaline batteries which are
also of two types, Nickel- Cadmium and Nickel-Iron.
Lead-Acid Batteries
Automotive batteries are not suitable for such applications because they are designed to
discharge large amounts of current for a short duration to start an engine and then be
immediately recharged by the vehicle fs alternator.
Solar charger and Inverter 11
Photovoltaic systems require a battery to discharge small amounts of current over long durations
and to be recharged under irregular conditions. These batteries are deep cycle which can be
discharged down as much as 80%, they can last for 3 to 10 years, while car batteries can last for
only a few photovoltaic cyclesunder these conditions
INVERTERS
There are two types of inverters, single phase 230 V AC, 50 Hz and three phases 380 V, 50 Hz.
The power that such inverters can handle depends very much on the input DC voltage as shown
below (this is an example of the range of a manufacturer list):
Single Phase:
12 V DC from 200 W to 500 W
24 V DC from 200 W to 2 KW
48 VDC from 0.5 to 10 KW
110 VDC from 1 to 30 KW
220 VDC from 1 to 100 KW
Three Phase:
48 VDC from 3 to 10 KW
110 VDC from 3 to 100 KW
220 VDC from 3 to 250 KW
540 VDC from 45 to 500 KW
Inverter Features:
Efficiency: From 80 to more than 94%. But efficiency is connected with the load. If the load is
small compared with the inverter peak output, the efficiency comes down. For example a 4000
watt inverter will have efficiency of 61% if operated with a load of 25 W, 85% with a load of
100 W, 94% with a load of 400 W, 90% with a load of 1,600 W, 83% with a load of 3200 W and
75% with a load of 6400 W.

Solar charger and Inverter 12
2.1 THESIS ORGANISATION



















Solar charger and Inverter 13
Chapter 3
DESIGN AND IMPLEMENTATION
3.1: BLOCK DIAGRAM:

Figure 3.1: Charger Block Diagram


Solar charger and Inverter 14


Figure 3.2: Inverter Block Diagram

3.2:HARDWARE DESCRIPTION:
3.2.1:MOSFET
The metaloxidesemiconductor field-effect transistor (MOSFET, MOS-FET, or MOS
FET) is a transistor used for amplifying or switching electronic signals. Although the MOSFET
is a four-terminal device with source (S), gate (G), drain (D), and body (B) terminals,
[1]
the body
(or substrate) of the MOSFET often is connected to the source terminal, making it a three-
terminal device like other field-effect transistors. Because these two terminals are normally
connected to each other (short-circuited) internally, only three terminals appear in electrical
diagrams. The MOSFET is by far the most common transistor in both digital and analog circuits,
though the bipolar junction transistor was at one time much more common.
In enhancement mode MOSFETs, a voltage drop across the oxide induces a conducting
channel between the source and drain contacts via the field effect. The term "enhancement
mode" refers to the increase of conductivity with increase in oxide field that adds carriers to the
Solar charger and Inverter 15
channel, also referred to as the inversion layer. The channel can contain electrons (called an
nMOSFET or nMOS), or holes (called a pMOSFET or pMOS), opposite in type to the substrate,
so nMOS is made with a p-type substrate, and pMOS with an n-type substrate.

Figure 3.3: Mosfet Symbol
3.2.1.1: CIRCUIT SYMBOLS
A variety of symbols are used for the MOSFET. The basic design is generally a line for
the channel with the source and drain leaving it at right angles and then bending back at right
angles into the same direction as the channel. Sometimes three line segments are used
for enhancement mode and a solid line for depletion mode. Another line is drawn parallel to the
channel for the gate. The bulk connection, if shown, is shown connected to the back of the
channel with an arrow indicating PMOS or NMOS. Arrows always point from P to N, so an
NMOS (N-channel in P-well or P-substrate) has the arrow pointing in (from the bulk to the
channel). If the bulk is connected to the source (as is generally the case with discrete devices) it
is sometimes angled to meet up with the source leaving the transistor. If the bulk is not shown (as
is often the case in IC design as they are generally common bulk) an inversion symbol is
sometimes used to indicate PMOS, alternatively an arrow on the source may be used in the same
way as for bipolar transistors (out for nMOS, in for pMOS).Comparison of enhancement-mode
and depletion-mode MOSFET symbols, along with JFET symbols (drawn with source and drain
ordered such that higher voltages appear higher on the page than lower voltages)

P-channel
Solar charger and Inverter 16

Figure3.4: Circuit Symbols
For the symbols in which the bulk, or body, terminal is shown, it is here shown internally
connected to the source. This is a typical configuration, but by no means the only important
configuration. In general, the MOSFET is a four-terminal device, and in integrated circuits many
of the MOSFETs share a body connection, not necessarily connected to the source terminals of
all the transistors.
3.2.2: PMOS
P-type metal-oxide-semiconductor logic uses p-channel metal-oxide-semiconductor field
effect transistors (MOSFETs) to implement logic gates and other digital circuits. PMOS
transistors operate by creating an inversion layer in an type transistor body. This inversion layer,
called p-channel, can conduct holes between p-type "source" and "drain" terminals.
The p-channel is created by applying voltage to the third terminal called gate. Like other
MOSFETs, PMOS transistors have four modes of operation: cut-off (or sub threshold), triode,
saturation (sometimes called active), and velocity saturation.
The p-type MOSFETs are arranged in a so-called "pull-up network" (PUN) between the
logic gate output and positive supply voltage, while a resistor is placed between the logic gate
output and the negative supply voltage. The circuit is designed such that if the desired output is
high, then the PUN will be active, creating a current path between the positive supply and the
output.
While PMOS logic is easy to design and manufacture (a MOSFET can be made to
operate as a resistor, so the whole circuit can be made with PMOS FETs), it has several
shortcomings as well. The worst problem is that a DC current flows through a PMOS logic gate

N-channel
JFET MOSFET enh. MOSFET enh (no bulk) MOSFET dep.

Solar charger and Inverter 17
when the PUN is active that is whenever the output is high. This leads to static power dissipation
even when the circuit sits idle.
Also, PMOS circuits are slow to transition from high to low. When transitioning from
low to high, the transistors provide low resistance, and the capacitive charge at the output
accumulates very quickly (similar to charging a capacitor through a very low resistor). But the
resistance between the output and the negative supply rail is much greater, so the high to low
transition takes longer (similar to discharge a capacitor through a high resistor value). Using a
resistor of lower value will speed up the process but also increases static power dissipation.
Additionally, the asymmetric input logic levels make PMOS circuits susceptible to noise.

Figure 3.5: PMOS Logic
3.2.3: NMOS
N-type metal-oxide-semiconductor logic uses n-type metal-oxide-semiconductor field
effect transistors (MOSFETs) to implement logic gates and other digital circuits. NMOS
transistors have four modes of operation: cut-off (or sub-threshold), triode, saturation (sometimes
called active), and velocity saturation.
The n-type MOSFETs are arranged in a so-called "pull-down network" (PDN) between
the logic gate output and negative supply voltage, while a resistor is placed between the logic
gate output and the positive supply voltage.

Solar charger and Inverter 18

Figure 3.6: NMOS Logic

As an example, here is a NOR gate in NMOS logic. If either input A or input B is high
(logic 1, = True), the respective MOS transistor acts as a very low resistance between the output
and the negative supply, forcing the output to be low (logic 0, = False).
When both A and B are high, both transistors are conductive, creating an even lower
resistance path to ground. The only case where the output is high is when both transistors are off,
which occurs only when both A and B are low, thus satisfying the truth table of a NOR gate:

Table 3.1: NMOS Logic Truth Table
A MOSFET can be made to operate as a resistor, so the whole circuit can be made with
n-channel MOSFETs only. For many years, this made NMOS circuits much faster than
comparable PMOS and CMOS circuits, which had to use much slower p-channel transistors. It
was also easier to manufacture NMOS than CMOS, as the latter has to implement p-channel
Solar charger and Inverter 19
transistors in special n-wells on the p-substrate. The major problem with NMOS (and most
other logic families) is that a DC current must flow through a logic gate even when the output is
in a steady state (low in the case of NMOS). This means static power dissipation, i.e. power
drain even when the circuit is not switching. This is a similar situation to the modern high speed,
high density CMOS circuits (microprocessors etc.) which also has significant static current draw,
although this is due to leakage, not bias. However, older and/or slower static CMOS circuits used
for ASICs, SRAM etc. typically have very low static power consumption.
Also, NMOS circuits are slow to transition from low to high. When transitioning from
high to low, the transistors provide low resistance, and the capacitors charge at the output drains
away very quickly (similar to discharging a capacitor through a very low resistor). But the
resistance between the output and the positive supply rail is much greater, so the low to high
transition takes longer (similar to charging a capacitor through a high value resistor). Using a
resistor of lower value will speed up the process but also increases static power dissipation.
However, a better (and the most common) way to make the gates faster is to use depletion-
mode transistors instead of enhancement-mode transistors as loads. This is called depletion-load
NMOS logic.
Additionally, just like in DTL, TTL and ECL etc., the asymmetric input logic levels make
NMOS circuits somewhat susceptible to noise.
3.2.4: IRZ44N (NMOS)
3.2.4.1: FEATURES
Advanced Process Technology
Ultra Low On-Resistance
Dynamic dv/dt Rating
175C Operating Temperature
Fast Switching
Fully Avalanche Rated
Solar charger and Inverter 20

Figure 3.7: Irz44n Logic Symbol
3.2.4.2: DESCRIPTION
Advanced HEXFET Power MOSFETs from International Rectifier utilize advanced processing
techniques to achieve extremely low on-resistance per silicon area. This benefit, combined with
the fast switching speed and ruggedized device design that HEXFET power MOSFETs are well-
known for, provides the designer with an extremely efficient and reliable device for use in a wide
variety of applications.
The TO-220 package is universally preferred for all commercial-industrial applications at power
dissipation levels to approximately 50 watts. The low thermal resistance and low package cost of
the TO-220 contribute to its wide acceptance throughout the industry.
3.2.5: OPTO ISOLATOR
In electronics, an Opto-isolator, also called an optocoupler, photo coupler, or optical isolator, is a
component that transfers electrical signals between two isolated circuits by using light. Opto-
isolators prevent high voltages from affecting the system receiving the signal. A common type of
Opto-isolator consists of an LED and a phototransistor in the same package. Opto-isolators are
usually used for transmission of digital (on/off) signals, but some techniques allow use with
analog (proportional) signals.
Solar charger and Inverter 21
3.2.5.1: FEATURES
High Current Transfer Ratio
Guaranteed Switching Speeds
3.2.5.2: BASIC DESIGN AND OPERATION

Figure 3.8: Opto Isolator Design
An opto-isolator contains a source (emitter) of light, almost always a near infrared light-
emitting diode (LED), that converts electrical input signal into light, a closed optical channel
(also called dielectrical channel), and a photo sensor, which detects incoming light and either
generates electric energy directly, or modulates electric current flowing from an external
power supply. The sensor can be a photo resistor, a photodiode, a phototransistor, a silicon-
controlled rectifier (SCR) or a triac. Because LEDs can sense light in addition to emitting it,
construction of symmetrical, bidirectional opto-isolators is possible. An optocoupled solid
state relay contains a photodiode opto-isolator which drives a power switch, usually a
complementary pair of MOSFETs. A slotted optical switch contains a source of light and a
sensor, but its optical channel is open, allowing modulation of light by external objects
obstructing the path of light or reflecting light into the sensor.
Solar charger and Inverter 22
3.2.5.3: TYPES OF OPTO-ISOLATORS
3.2.5.3.1: RESISTIVE OPTO-ISOLATORS
The earliest opto-isolators, originally marketed as light cells, emerged in the 1960s. They
employed miniature incandescent light bulbs as sources of light and cadmium sulfide (CdS)
or cadmium selenide (CdSe) photo resistors (also called light-dependent resistors, LDRs) as
receivers. In applications where control linearity was not important, or where available
current was too low for driving an incandescent bulb (as was the case in vacuum tube
amplifiers), it was replaced with a neon lamp. These devices (or just their LDR component)
were commonly named Vactrols, after a trademark of Vactec, Inc.
The turn-on and turn-off lag of an incandescent bulb lies in hundreds of milliseconds
range, which makes the bulb an effective low-pass filter and rectifier but limits the practical
modulation frequency range to a few Hertz. With the introduction of light-emitting diodes
(LEDs) in 19681970, the manufacturers replaced incandescent and neon lamps with LEDs
and achieved response times of 5 milliseconds and modulation frequencies up to 250 Hz. The
name Vactrol was carried over on LED-based devices which are, as of 2010, still produced in
small quantities.
Photo resistors used in opto-isolators rely on bulk effects in a uniform film of
semiconductor; there are no p-n junctions. Uniquely among photo sensors, photo resistors are
non-polar devices suited for either AC or DC circuits. Their resistance drops in reverse
proportion to the intensity of incoming light, from virtually infinity to a residual floor that
may be as low as less than a hundred Ohms. These properties made the original Vactrol a
convenient and cheap automatic gain control and compressor for telephone networks. The
photo resistors easily withstood voltages up to 400 volts, which made them ideal for driving
vacuum fluorescent displays. Other industrial applications included photocopiers, industrial
automation, professional light measurement instruments and auto-exposure meters. Most of
these applications are now obsolete, but resistive opto-isolators retained a niche in audio, in
particular guitar amplifier, markets.
American guitar and organ manufacturers of the 1960s embraced the resistive Opto-
isolator as a convenient and cheap tremolo modulator.
Solar charger and Inverter 23
Fender's early tremolo effects used two vacuum tubes; after 1964 one of these tubes was
replaced by an optocoupler made of a LDR and a neon lamp to date, Vactrols activated by
pressing the stomp box pedal are ubiquitous in the music industry. Shortages of genuine
PerkinElmer Vactrols forced the DIY guitar community to "roll their own" resistive opto-
isolators. Guitarists to date prefer opto-isolated effects because their superior separation of
audio and control grounds results in "inherently high quality of the sound". However, the
distortion introduced by a photo resistor at line level signal is higher than that of a
professional electrically-coupled voltage-controlled amplifier. Performance is further
compromised by slow fluctuations of resistance owing to light history, a memory effect
inherent in cadmium compounds. Such fluctuations take hours to settle and can be only
partially offset with feedback in the control circuit.
3.2.5.3.2: PHOTODIODE OPTO-ISOLATORS
Diode opto-isolators employ LEDs as sources of light and silicon photodiodes as sensors.
When the photodiode is reverse-biased with an external voltage source, incoming light
increases the reverse current flowing through the diode. The diode itself does not generate
energy; it modulates the flow of energy from an external source. This mode of operation is
called photoconductive mode. Alternatively, in the absence of external bias the diode
converts the energy of light into electric energy by charging its terminals to a voltage of up to
0.7 V. The rate of charge is proportional to the intensity of incoming light. The energy is
harvested by draining the charge through an external high-impedance path; the ratio of
current transfer can reach 0.2%. This mode of operation is called photovoltaic mode.
The fastest opto-isolators employ PIN diodes in photoconductive mode. The response
times of PIN diodes lie in the sub nanosecond range; overall system speed is limited by
delays in LED output and in biasing circuitry. To minimize these delays, fast digital opto-
isolators contain their own LED drivers and output amplifiers optimized for speed. These
devices are called full logic opto-isolators: their LEDs and sensors are fully encapsulated
within a digital logic circuit. The Hewlett-Packard6N137/HPCL2601 family of devices
equipped with internal output amplifiers was introduced in the late 1970s and attained 10
MBd data transfer speeds.
Solar charger and Inverter 24
It remained an industry standard until the introduction of the 50 MBd Agilent
Technologies[note 10] 7723/0723 family in 2002 The 7723/0723 series opto-isolators contain
CMOS LED drivers and a CMOS buffered amplifiers, which require two independent
external power supplies of 5 V each.
Photodiode opto-isolators can be used for interfacing analog signals, although their non-
linearity invariably distorts the signal. A special class of analog opto-isolators introduced by
Burr-Brown uses two photodiodes and an input-side operational amplifier to compensate for
diode non-linearity. One of two identical diodes is wired into the feedback loop of the
amplifier, which maintains overall current transfer ratio at a constant level regardless of the
non-linearity in the second (output) diode.
A novel idea of a particular optical analog signal isolator was submitted on 3, June 2011.
The proposed configuration consists of two different parts. One of them transfers the signal,
and the other establishes a negative feedback to ensure that the output signal has the same
features as the input signal. This proposed analog isolator is linear over a wide range of input
voltage and frequency.
Solid-state relays built around MOSFET switches usually employ a photodiode opto-
isolator to drive the switch. The gate of a MOSFET requires relatively small total charge to
turn on and its leakage current in steady state is very low. A photodiode in photovoltaic mode
can generate turn-on charge in a reasonably short time but its output voltage is many times
less than the MOSFET's threshold voltage. To reach the required threshold, solid-state relays
contain stacks of up to thirty photodiodes wired in series.
3.2.5.3.3: PHOTOTRANSISTOR OPTO-ISOLATORS
Phototransistors are inherently slower than photodiodes. The earliest and the slowest but
still common 4N35 opto-isolator, for example, has rise and fall times of 5 s into a 100 Ohm
load and its bandwidth is limited at around 10 kilohertz - sufficient for applications like
electroencephalography or pulse-width motor control. Devices like PC-900 or 6N138
recommended in the original 1983 Musical Instrument Digital Interface specification allow
digital data transfer speeds of tens of kilo Bauds. Phototransistors must be properly biased
Solar charger and Inverter 25
and loaded to achieve their maximum speeds, for example, the 4N28 operates at up to 50 kHz
with optimum bias and less than 4 kHz without it.
Design with transistor opto-isolators requires generous allowances for wide fluctuations
of parameters found in commercially available devices. Such fluctuations may be destructive,
for example, when an opto-isolator in the feedback loop of a DC-to-DC converter changes its
transfer function and causes spurious oscillations, or when unexpected delays in opto-
isolators cause a short circuit through one side of an H-bridge. Manufacturers' datasheets
typically list only worst-case values for critical parameters; actual devices surpass these
worst-case estimates in an unpredictable fashion. Bob Pease observed that current transfer
ratio in a batch of 4N28's can vary from 15% to more than 100%; the datasheet specified
only a minimum of 10%. Transistor beta in the same batch can vary from 300 to 3000,
resulting in 10:1 variance in bandwidth.
Opto-isolators using field-effect transistors (FETs) as sensors are rare and, like Vactrols,
can be used as remote-controlled analog potentiometers provided that the voltage across the
FET's output terminal does not exceed a few hundred mV. Opto-FETs turn on without
injecting switching charge in the output circuit, which is particularly useful in sample and
hold circuits.

3.2.5.3.4: BIDIRECTIONAL OPTO-ISOLATORS
All opto-isolators described so far are uni-directional. Optical channel always works one
way, from the source (LED) to the sensor. The sensors, be it photo resistors, photodiodes or
phototransistors, cannot emit light. But LEDs, like all semiconductor diodes, are capable of
detecting incoming light, which makes possible construction of a two-way opto-isolator from
a pair of LEDs. The simplest bidirectional opto-isolator is merely a pair of LEDs placed face
to face and held together with heat-shrink tubing. If necessary, the gap between two LEDs
can be extended with a glass fiber insert.
Visible spectrum LEDs has relatively poor transfer efficiency, thus near infrared
spectrum GaAs, GaAs: Si and AlGaAs: Si LEDs are the preferred choice for bidirectional
Solar charger and Inverter 26
devices. Bidirectional opto-isolators built around pairs of GaAs: Si LEDs have current
transfer ratio of around 0.06% in either photovoltaic or photoconductive mode less than
photodiode-based isolators, but sufficiently practical for real-world applications.
3.2.5.3.5: APPLICATIONS
General Purpose Switching Circuits.
Interfacing and Coupling Systems of Different Potentials and Impedances.
Regulation Feedback Circuits.
Monitor & Detection Circuits.
Solid State Relays.







3.2.6: LIGHT EMITTING DIODE (LED)
A light-emitting diode (LED) is a semiconductor diode that emits incoherent narrow
spectrum light when electrically biased in the forward direction of the pn-junction, as in the
common LED circuit.
When a light-emitting diode is switched on, electrons are able to recombine with holes
within the device, releasing energy in the form of photons. This effect is called
electroluminescence and the color of the light (corresponding to the energy of the photon) is
determined by the energy band gap of the semiconductor. An LED is often small in area (less
than 1 mm2), and integrated optical components may be used to shape its radiation pattern
Solar charger and Inverter 27
While sending a message in the form of bits such as 1,the data is sent to the receiver side
correspondingly the LED glows representing the data is being received simultaneously when we
send 0 as a data the LED gets off .
The longer lead is the anode (+) and the shorter lead is the cathode (&minus). In the
schematic symbol for an LED, the anode is on the top and the cathode is on the bottom.

Figure 3.9: Led Symbol
As in the simple LED circuit, the effect is a form of electroluminescence where
incoherent and narrow-spectrum light is emitted from the p-n junction.

LEDs are widely used as indicator lights on electronic devices and increasingly in higher
power applications such as flashlights and area lighting. An LED is usually a small area (less
than 1 mm2) light source, often with optics added to the chip to shape its radiation pattern and
assist in reflection. The color of the emitted light depends on the composition and condition of
the semi conducting material used, and can be infrared, visible, or ultraviolet.
3.2.6.1: WORKING OF LED
Solar charger and Inverter 28
What is going on here is, as the electricity jumps across the p-n junction, the electrons
from the n-type side fill holes in the p-type side. During this process, the electrons end up
changing their state. During this state change, a photon is emitted. More specifically what is
going on is, as electrons move around orbiting a nucleus of an atom, electrons with different
orbits have different amounts of energy. Electrons with orbits farther away from the nucleus
have greater energy and ones closer have less energy.
So in order for an electron to change its orbit, it needs to either lose energy or gain
energy. What we are interested in with LEDs are the electrons going from a higher orbit to a
lower orbit, thus losing energy in the form of a photon of light. When the electrons from the n-
type side fill the holes in the p-type side, they then lose energy in the form of these light
photons. The greater the energy release, the higher the frequency the light photon given off, thus
changing the color.
If the frequency ends up being in the human visible spectrum (the range your eyes can
see), then youll see the light being given off by the LED. If not, such as when given off in the
infrared spectrum, then you wont see it.
But it can still be useful, such as in allowing you to change the channel on your TV
(infrared LEDs are typically used in your TV remote control among many other places).
When you press a button on your remote, you dont see the light, but the receiver on your
TV can see it and can interpret what its seeing from the infrared LED.
3.2.6.2: COLOR Vs P.D
Color - Potential Difference
Infrared - 1.6 V
Red - 1.8 V to 2.1 V
Orange - 2.2 V
Yellow - 2.4 V
Green - 2.6 V
Solar charger and Inverter 29
Blue - 3.0 V to 3.5 V
White - 3.0 V to 3.5 V
Ultraviolet - 3.5V
3.2.6.3: ADVANTAGES
Efficiency: LEDs emit more light per watt than incandescent light bulbs. The efficiency of LED
lighting fixtures is not affected by shape and size, unlike fluorescent light bulbs or tubes.
Color: LEDs can emit light of an intended color without using any color filters as traditional
lighting methods need. This is more efficient and can lower initial costs.
Size: LEDs can be very small (smaller than 2 mm) and are easily attached to printed circuit
boards.
On/Off time: LEDs light up very quickly. A typical red indicator LED will achieve full
brightness in under a microsecond. LEDs used in communications devices can have even faster
response times.
Cycling: LEDs are ideal for uses subject to frequent on-off cycling, unlike fluorescent lamps that
fail faster when cycled often, or HID lamps that require a long time before restarting .
Dimming: LEDs can very easily be dimmed either by pulse-width modulation or lowering the
forward current.
Cool light: In contrast to most light sources, LEDs radiate very little heat in the form of IR that
can cause damage to sensitive objects or fabrics. Wasted energy is dispersed as heat through the
base of the LED.
Slow failure: LEDs mostly fail by dimming over time, rather than the abrupt failure of
incandescent bulbs.
Lifetime: LEDs can have a relatively long useful life. One report estimates 35,000 to 50,000
hours of useful life, though time to complete failure may be longer. Fluorescent tubes typically
are rated at about 10,000 to 15,000 hours, depending partly on the conditions of use, and
Solar charger and Inverter 30
incandescent light bulbs at 1,000 to 2,000 hours. Several DOE demonstrations have shown that
reduced maintenance costs from this extended lifetime, rather than energy savings, is the primary
factor in determining the payback period for an LED product.
Shock resistance: LEDs, being solid-state components, are difficult to damage with external
shock, unlike fluorescent and incandescent bulbs, which are fragile.
Focus: The solid package of the LED can be designed to focus its light. Incandescent and
fluorescent sources often require an external reflector to collect light and direct it in a usable
manner. For larger LED packages total internal reflection (TIR) lenses are often used to the same
effect. However, when large quantities of light is needed many light sources are usually
deployed, which are difficult to focus or collimate towards the same target.


3.2.6.4: DISADVANTAGES
LEDs performance largely depends on the ambient temperature of the operating
environment.
LEDs must be supplied with the correct current.
LEDs do not approximate a "point source" of light, so cannot be used in applications
needing a highly collimated beam.
But the disadvantages are quite negligible as the negative properties of LEDs do not apply and
the advantages far exceed the limitations.

Solar charger and Inverter 31
3.2.7: POWER SUPPLY
The input to the circuit is applied from the regulated power supply. The a.c. input i.e.,
230V from the mains supply is step down by the transformer to 12V and is fed to a rectifier. The
output obtained from the rectifier is a pulsating d.c voltage. So in order to get a pure d.c voltage,
the output voltage from the rectifier is fed to a filter to remove any a.c components present even
after rectification. Now, this voltage is given to a voltage regulator to obtain a pure constant dc
voltage.




Figure 3.10: Power Supply

3.2.7.1: TRANSFORMER
A transformer is a static electrical device that transfers energy by inductive coupling
between its winding circuits. A varying current in the primary winding creates a varying
magnetic flux in the transformer's core and thus a varying magnetic flux through the secondary
winding. Usually, DC voltages are required to operate various electronic equipment and these
voltages are 5V, 9V or 12V. But these voltages cannot be obtained directly. Thus the a.c input
available at the mains supply i.e., 230V is to be brought down to the required voltage level. This
is done by a transformer. Thus, a step down transformer is employed to decrease the voltage to a
required level.
3.2.7.2: RECTIFIER
The purpose of a rectifier is to convert an AC waveform into a DC waveform (OR) Rectifier
converts AC current or voltages into DC current or voltage. There are two different rectification
circuits, known as 'half-wave' and 'full-wave' rectifiers. Both use components called diodes to
convert AC into DC.
+5V to all sections Regulator
Filter
Circuit
Rectifier
Step
down
T/F
+12V to Relay
Solar charger and Inverter 32
3.2.7.2.1: HALF WAVE RECTIFIER
The half-wave rectifier is the simplest type of rectifier since it only uses one diode, as shown in
figure.

Figure 3.11: Half Wave Rectifier
Below figure shows the AC input waveform to this circuit and the resulting output. As you can
see, when the AC input is positive, the diode is forward-biased and lets the current through.
When the AC input is negative, the diode is reverse-biased and the diode does not let any current
through, meaning the output is 0V. Because there is a 0.7V voltage loss across the diode, the
peak output voltage will be 0.7V less than Vs.

Figure 3.12: Half-Wave Rectification
Solar charger and Inverter 33
While the output of the half-wave rectifier is DC (it is all positive), it would not be suitable as a
power supply for a circuit. Firstly, the output voltage continually varies between 0V and Vs-
0.7V, and secondly, for half the time there is no output at all.
3.2.7.2.2: FULL WAVE RECTIFIER
The circuit in figure addresses the second of these problems since the output voltage is not at all
0V. This time four diodes are arranged so that both the positive and negative parts of the AC
waveform are converted to DC. The resulting waveform is shown in figure

Figure 3.13: Full Wave Rectifier

Figure 3.14: Half Wave Rectification
Solar charger and Inverter 34
When the AC input is positive, diodes A and B are forward-biased, while diodes C and D are
reverse-biased. When the AC input is negative, the opposite is true - diodes C and D are
forward-biased, while diodes A and B are reverse-biased.
While the full-wave rectifier is an improvement on the half-wave rectifier, its output still isn't
suitable as a power supply for most circuits since the output voltage still varies between 0V and
Vs-1.4V. So, if you give 12V AC input, you will get 10.6V DC out.
The output from the transformer is fed to the rectifier. It converts A.C. into pulsating
D.C.
3.2.7.3: FILTER
CAPACITOR FILTER:
The capacitor-input filter, also called "Pi" filter due to its shape that looks like the Greek letterpi,
is a type of electronic filter. Filter circuits are used to remove unwanted or undesired frequencies
from a signal.

Figure 3.15: Capacitor Filter
A typical capacitor input filter consists of a filter capacitor C1, connected across the rectifier output, an
inductor L, in series and another filter capacitor connected across the load.
1. The capacitor C1 offers low reactance to the AC component of the rectifier output while it offers
infinite reactance to the DC component. As a result the capacitor shunts an appreciable amount of
the AC component while the DC component continues its journey to the inductor L
Solar charger and Inverter 35
2. The inductor L offers high reactance to the AC component but it offers almost zero reactance to
the DC component. As a result the DC component flows through the inductor while the AC
component is blocked.
3. The capacitor C2 bypasses the AC component which the inductor had failed to block. As a result
only the DC component appears across the load RL.

Figure 3.16: Centered Tapped Full-Wave Rectifier with a Capacitor Filter
It removes the ripples from the output of rectifier and smoothens the D.C. Output received from
this filter is constant until the mains voltage and load is maintained constant. However, if either
of the two is varied, D.C. voltage received at this point changes. Therefore a regulator is applied
at the output stage.

3.2.7.4: VOLTAGE REGULATOR
As the name itself implies, it regulates the input applied to it. A voltage regulator is an electrical
regulator designed to automatically maintain a constant voltage level. It may use an
electromechanical mechanism, or passive or active electronic components. Depending on the
design, it may be used to regulate one or more AC or DC voltages. There are two types of
regulators are they.
Positive Voltage Series (78xx) and
Negative Voltage Series (79xx)

Solar charger and Inverter 36
78XX:
78 indicate the positive series and xxindicates the voltage rating. Suppose 7805 produces the
maximum 5V.05indicates the regulator output is 5V.
79XX:
79 indicate the negative series and xxindicates the voltage rating. Suppose 7905 produces the
maximum -5V.05indicates the regulator output is -5V.
These regulators consists of three pins :
Pin1: This is used as input pin for 78XX and Gnd for 79XX regulator.
Pin2: This is used as ground pin for 78XX and input pin for 79XX regulator.
Pin3: It is used for output pin.


Figure 3.17: Voltage Regulators


Solar charger and Inverter 37
3.2.8: REGULATING PULSE WIDTH MODULATOR
3.2.8.1: DESCRIPTION
The SG3524 incorporates on a single monolithic chip all the function required for the
construction of regulating power supplies inverters or switching regulators. They can also be
used as the control element for high power-output applications. TheSG3524 family was designed
for switching regulators of eitherpolarity, transformer-coupled dc-to-dc converters, transformer
less voltage doubles and polarity converter applications employing fixed-frequency, pulse-width
modulation techniques. The dual alternating outputs allows either single-ended or push-pull
applications. Each device includes an on-ship reference, error amplifier, programmable
oscillator, pulse-steering flip flop, two uncommitted output transistors, a high-gain comparator,
and current-limiting and shut-down circuitry.
3.2.8.2: FEATURES
Complete PWM Power Control Circuitry
Uncommitted Outputs for Single ended Or Push Pull Applications
Low Standby Current 8ma Typical
Operation Up To 300 kHz
3.2.8.3: PIN DIAGRAM

Figure 3.18: SG3524 Pin Configuration
Solar charger and Inverter 38
3.2.8.4: BLOCK DIAGRAM

Figure 3.19: SG3524 Block Diagram
3.2.8.5: OPERATION
The SG3524 is a fixed frequency pulse-width modulation voltage regulator control
circuit. The regulator operates at a frequency that is programmed by one timing resistor (RT) and
one timing capacitor (CT). RT established a constant charging current for CT. This results in a
linear voltage ramp at CT, which is fed to the comparator providing linear control of the output
pulse width by the error amplifier. The SG3524 contains an on-board 5V regulator that serves as
a reference as well as powering the SG3524s internal control circuitry and is also useful in
supplying external support functions. This reference voltage is lowered externally by a resistor
divider to provide a reference within the common mode range the error amplifier or an external
reference may be used. The power supply output is sensed by a second resistor divider network
to generate a feedback signal to error amplifier. The amplifier output voltage is then compared to
the linear voltage ramp at CT. The resulting modulated pulse out of the high-gain comparator is
then steered to the appropriate output pass transistors (QA or QB) by the pulse-steering flip-flop,
which is synchronously toggled by the oscillator output. The oscillator output pulse also serves
Solar charger and Inverter 39
as a blanking pulse to assure both output are never on simultaneously during the transition times.
The width of the blanking pulse is controlled by the value of CT.
The outputs may be applied in a push-pull configuration in which their frequency is half
that of the base oscillator, or paralleled for single-ended applications in which the frequency is
equal to that of the oscillator. The output of the error amplifier shares a common input to the
comparator with the current limiting at shutdown circuitry and can be overridden by signals from
either of these inputs. This common point is also available externally and may be employed to
control the gain of, or to compensate, the error amplifier, or to provide additional control to the
regulator.
3.2.8.6: OUTPUT ON CRO

Figure 3.20: Inverted and Non Inverted Output on CRO
3.2.8.7: APPLICATIONS
The SG3524 incorporate all the functions required in the construction of a regulating
power supply, inverter, or Switching Regulator on a single chip.
They also CAN be used as the control element for high-power-output applications.
The SG2524and SG3524 were designed for Switching Regulators of either polarity,
transformer-coupled dc-to-dc converters, transformer less voltage doublers, and polarity
converter applications employing fixed-frequency, pulse-width modulation (PWM)
techniques. The complementary output allows either single-ended or push-pull
application.
Solar charger and Inverter 40
3.2.9: TRANSFORMER
3.2.9.1: DESCRIPTION
Atransformer is a device that transfers electrical energy from one circuit to another
through inductively coupled conductorsthe transformer's coils. A varying current in the first or
primarywinding creates a varying magnetic flux in the transformer's core, and thus a varying
magnetic field through the secondary winding. This varying magnetic field induces a varying
electromotive force (EMF) or "voltage" in the secondary winding. This effect is called mutual
induction.

Figure 3.21: Transformer Symbol

Figure 3.22: Transformer


Solar charger and Inverter 41
3.2.9.2: BASIC PRINCIPLE
A transformer makes use of Faraday's law and the ferromagnetic properties of an iron
core to efficiently raise or lower AC voltages. It of course cannot increase power so that if the
voltage is raised, the current is proportionally lowered and vice versa.



Figure 3.23: Basic Principle


Solar charger and Inverter 42
3.2.9.3: WORKING
A transformer consists of two coils (often called 'windings') linked by an iron core, as
shown in figure below. There is no electrical connection between the coils; instead they are
linked by a magnetic field created in the core.

Figure 3.24: Basic Transformer
Transformers are used to convert electricity from one voltage to another with minimal
loss of power. They only work with AC (alternating current) because they require a changing
magnetic field to be created in their core. Transformers can increase voltage (step-up) as well as
reduce voltage (step-down).
Alternating current flowing in the primary (input) coil creates a continually changing
magnetic field in the iron core. This field also passes through the secondary (output) coil and the
changing strength of the magnetic field induces an alternating voltage in the secondary coil. If
the secondary coil is connected to a load the induced voltage will make an induced current flow.
The correct term for the induced voltage is 'induced electromotive force' which is usually
abbreviated to induced e.m.f.
The iron core is laminated to prevent 'eddy currents' flowing in the core. These are
currents produced by the alternating magnetic field inducing a small voltage in the core, just like
that induced in the secondary coil.
Solar charger and Inverter 43
Eddy currents waste power by needlessly heating up the core but they are reduced to a
negligible amount by laminating the iron because this increases the electrical resistance of the
core without affecting its magnetic properties.
Transformers have two great advantages over other methods of changing voltage:
They provide total electrical isolation between the input and output, so they can be safely
used to reduce the high voltage of the mains supply.
Almost no power is wasted in a transformer. They have a high efficiency (power out /
power in) of 95% or more.
3.2.9.4: CLASSIFICATION OF TRANSFORMERS
Step-Up Transformer
Step-Down Transformer
3.2.9.4.1: STEP-DOWN TRANSFORMER
Step down transformers are designed to reduce electrical voltage. Their primary voltage
is greater than their secondary voltage. This kind of transformer "steps down" the voltage applied
to it. For instance, a step down transformer is needed to use a 110v product in a country with a
220v supply.
Step down transformers convert electrical voltage from one level or phase configuration
usually down to a lower level. They can include features for electrical isolation, power
distribution, and control and instrumentation applications. Step down transformers typically rely
on the principle of magnetic induction between coils to convert voltage and/or current levels.
Step down transformers are made from two or more coils of insulated wire wound around
a core made of iron. When voltage is applied to one coil (frequently called the primary or input)
it magnetizes the iron core, which induces a voltage in the other coil, (frequently called the
secondary or output). The turns ratio of the two sets of windings determines the amount of
voltage transformation.
Solar charger and Inverter 44

Figure 3.25: Step-Down Transformer
An example of this would be: 100 turns on the primary and 50 turns on the secondary, a ratio of
2 to 1.
Step down transformers can be considered nothing more than a voltage ratio device.
With step down transformers the voltage ratio between primary and secondary will mirror
the "turns ratio" (except for single phase smaller than 1 kva which have compensated
secondary). A practical application of this 2 to 1 turns ratio would be a 480 to 240 voltage step
down. Note that if the input were 440 volts then the output would be 220 volts. The ratio
between input and output voltage will stay constant. Transformers should not be operated at
voltages higher than the nameplate rating, but may be operated at lower voltages than rated.
Because of this it is possible to do some non-standard applications using standard transformers.
Single phase step down transformers 1 kva and larger may also be reverse connected to
step-down or step-up voltages. (Note: single phase step up or step down transformers sized less
than 1 KVA should not be reverse connected because the secondary windings have additional
turns to overcome a voltage drop when the load is applied. If reverse connected, the output
voltage will be less than desired.)



Solar charger and Inverter 45
3.2.9.4.2: STEP-UP TRANSFORMER
A step up transformer has more turns of wire on the secondary coil, which makes a larger
induced voltage in the secondary coil. It is called a step up transformer because the voltage
output is larger than the voltage input.
Step-up transformer 110v 220v design is one whose secondary voltage is greater than its
primary voltage. This kind of transformer "steps up" the voltage applied to it. For instance, a step
up transformer is needed to use a 220v product in a country with a 110v supply.
A step up transformer 110v 220v converts alternating current (AC) from one voltage to
another voltage. It has no moving parts and works on a magnetic induction principle; it can be
designed to "step-up" or "step-down" voltage. So a step up transformer increases the voltage and
a step down transformer decreases the voltage.
The primary components for voltage transformation are the step up transformer core and
coil. The insulation is placed between the turns of wire to prevent shorting to one another or to
ground. This is typically comprised of Mylar, nomex, Kraft paper, varnish, or other materials. As
a transformer has no moving parts, it will typically have a life expectancy between 20 and 25
years.


Figure 3.26: Step-Up Transformer

Solar charger and Inverter 46
3.2.9.5: TYPES OF TRANSFORMERS
3.2.9.5.1: MAINS TRANSFORMERS
Mains transformers are the most common type. They are designed to reduce the AC
mains supply voltage (230-240V in the UK or 115-120V in some countries) to a safer low
voltage. The standard mains supply voltages are officially 115V and 230V, but 120V and
240V are the values usually quoted and the difference is of no significance in most cases.

Figure 3.27: Main Transformer
To allow for the two supply voltages mains transformers usually have two separate
primary coils (windings) labeled 0-120V and 0-120V. The two coils are connected in series for
240V (figure 2a) and in parallel for 120V (figure 2b). They must be wired the correct way round
as shown in the diagrams because the coils must be connected in the correct sense (direction):

Figure 3.28: Main Transformer Circuit




Solar charger and Inverter 47
Most mains transformers have two separate secondary coils (e.g. labeled 0-9V, 0-9V)
which may be used separately to give two independent supplies, or connected in series to create a
center-tapped coil (see below) or one coil with double the voltage.
Some mains transformers have a center-tap halfway through the secondary coil and they are
labeled 9-0-9V for example. They can be used to produce full-wave rectified DC with just two
diodes, unlike a standard secondary coil which requires four diodes to produce full-wave
rectified DC.
A mains transformer is specified by:
1. Its secondary (output) voltages V
s
.
2. Its maximum power, P
max
, which the transformer can pass, quoted in VA (volt-amp). This
determines the maximum output (secondary) current, I
max


where V
s
is the secondary voltage. If there are two secondary coils the maximum power
should be halved to give the maximum for each coil.
3. Its construction - it may be PCB-mounting, chassis mounting (with solder tag
connections) or toroidal (a high quality design).
3.2.9.5.2: AUDIO TRANSFORMERS
Audio transformers are used to convert the moderate voltage, low current output of an
audio amplifier to the low voltage, high current required by a loudspeaker. This use is called
'impedance matching' because it is matching the high impedance output of the amplifier to the
low impedance of the loudspeaker.
Solar charger and Inverter 48

Figure 3.29: Audio Transformer
3.2.9.5.3: RADIO TRANSFORMERS
Radio transformers are used in tuning circuits. They are smaller than mains and audio
transformers and they have adjustable ferrite cores made of iron dust. The ferrite cores can be
adjusted with a non-magnetic plastic tool like a small screwdriver. The whole transformer is
enclosed in an aluminum can which acts as a shield, preventing the transformer radiating too
much electrical noise to other parts of the circuit.

Figure 3.30: Radio Transformer
Turns Ratio and Voltage
The ratio of the number of turns on the primary and secondary coils determines the ratio
of the voltages...

...where V
p
is the primary (input) voltage, V
s
is the secondary (output) voltage, N
p
is the number
of turns on the primary coil, and N
s
is the number of turns on the secondary coil.

Solar charger and Inverter 49
3.2.9.6: APPLICATIONS
Transformers are used to increase voltage before transmitting electrical energy over long
distances through wires. Wires have resistance which loses energy through joule heating at a rate
corresponding to square of the current. By transforming power to a higher voltage transformers
enable economical transmission of power and distribution.
Consequently, transformers have shaped the electricity supply industry, permitting
generation to be located remotely from points of demand. All but a tiny fraction of the world's
electrical power has passed through a series of transformers by the time it reaches the consumer.
Transformers are also used extensively in electronic products to step-down the supply voltage to
a level suitable for the low voltage circuits they contain. The transformer also electrically isolates
the end user from contact with the supply voltage.
Signal and audio transformers are used to couple stages of amplifiers and to match
devices such as microphones and record players to the input of amplifiers. Audio transformers
allowed telephone circuits to carry on a two-way conversation over a single pair of wires.
A balun transformer converts a signal that is referenced to ground to a signal that has balanced
voltages to ground, such as between external cables and internal circuits.
The principle of open-circuit (unloaded) transformer is widely used for characterization
of soft magnetic materials, for example in the internationally standardized Epstein frame method.








Solar charger and Inverter 50
3.2.10: SOLAR PANEL
A solar panel (also solar module, photovoltaic module or photovoltaic panel) is a packaged,
connected assembly of photovoltaic cells. A solar cell (also called a photovoltaic cell) is an
electrical device that converts the energy of light directly into electricity by the photovoltaic
effect. It is a form of photoelectric cell (in that its electrical characteristics e.g. current, voltage,
or resistance vary when light is incident upon it) which, when exposed to light, can generate and
support an electric current without being attached to any external voltage source The solar panel
can be used as a component of a larger photovoltaic system to generate and supply electricity in
commercial and residential applications.
Because a single solar panel can produce only a limited amount of power, most
installations contain multiple panels. A photovoltaic system typically includes an array of solar
panels, an inverter, and sometimes a battery and or solar tracker and interconnection wiring.
3.2.10.1: THEORY AND CONSTRUCTION
Solar panels use light energy (photons) from the sun to generate electricity through the
photovoltaic. The majority of modules use wafer-based crystalline silicon cells or thin-film
cells based on cadmium telluride or silicon. The structural (load carrying) member of a module
can either be the top layer or the back layer. Cells must also be protected from mechanical
damage and moisture.
Most solar panels are rigid, but semi-flexible ones are available, based on thin-film cells.
Electrical connections are made in series to achieve a desired output voltage and/or parallel to
provide a desired current capability. The conducting wires that take the current off the panels
may contain silver, copper or other non-magnetic conductive transition metals. The cells must be
connected electrically to one another and to the rest of the system.
Bypass diodes may be incorporated or used externally, in case of partial panel shading, to
maximize the output of panel sections still illuminated. The p-n junctions of mono-crystalline
silicon cells may have adequate reverse voltage characteristics to prevent damaging panel section
reverse current. Reverse currents could lead to overheating of shaded cells. Solar cells become
Solar charger and Inverter 51
less efficient at higher temperatures and installers try to provide good ventilation behind solar
panels.

Figure 3.31: Solar Cells
3.2.10.2: EFFICIENCIES
Depending on construction, photovoltaic panels can produce electricity from a range of
frequencies of light, but usually cannot cover the entire solar range (specifically, ultraviolet,
infrared and low or diffused light). Hence much of the incident sunlight energy is wasted by
solar panels, and they can give far higher efficiencies if illuminated with monochromatic light.
Therefore, another design concept is to split the light into different wavelength ranges and direct
the beams onto different cells tuned to those ranges. This has been projected to be capable of
raising efficiency by 50%.
3.2.10.3: CRYSTALLINE SILICON MODULES
Most solar modules are currently produced from silicon photovoltaic cells. These are typically
categorized as monocrystallineor polycrystalline modules.



Solar charger and Inverter 52
3.2.11: BATTERIES
In electricity, a battery is a device consisting of one or more cells that convert stored
chemical energy into electrical energy. Since the invention of the first battery (or "voltaic pile")
in 1800 by Alessandro Volta and especially since the technically improved Daniel cell in 1836,
batteries have become a common power source for many household and industrial applications.
There are two types of batteries: primary batteries (disposable batteries), which are
designed to be used once and discarded, and secondary batteries (rechargeable batteries), which
are designed to be recharged and used multiple times. Batteries come in many sizes, from
miniature cells used to power hearing aids and wristwatches to battery banks the size of rooms
that provide standby power fortelephone exchanges and computer data centers.
3.2.11.1: PRINCIPLE OF OPERATION
A battery is a device that converts chemical energy directly to electrical energy. It consists of a
number of voltaic cells; each voltaic cell consists of two half-cellsconnected in series by a
conductive electrolyte containing anions and cations. One half-cell includes electrolyte and the
electrode to which anions (negatively charged ions) migrate, i.e., the anode or negative electrode;
the other half-cell includes electrolyte and the electrode to which cations (positively charged
ions) migrate, i.e., the cathode or positive electrode. In the redox reaction that powers the battery,
cations are reduced (electrons are added) at the cathode, while anions are oxidized (electrons are
removed) at the anode.The electrodes do not touch each other but are electrically connected by
the electrolyte. Some cells use two half-cells with different electrolytes. A separator between
half-cells allows ions to flow, but prevents mixing of the electrolytes.
Each half-cell has an electromotive force (or e.m.f), determined by its ability to drive
electric current from the interior to the exterior of the cell. The net e.m.f of the cell is the
difference between the e.m.fs of its half-cells, as first recognized by Volta. Therefore, if the
electrodes have e.m.fs and , then the net e.m.f is ; in other words, the net e.m.f is
the difference between the reduction potentials of the half-reactions.
The electrical driving force or across the terminals of a cell is known as
the terminal voltage (difference) and is measured in volts.The terminal voltage of a cell that is
Solar charger and Inverter 53
neither charging nor discharging is called the open-circuit voltage and equals the e.m.f of the
cell. Because of internal resistance, the terminal voltage of a cell that is discharging is smaller in
magnitude than the open-circuit voltage and the terminal voltage of a cell that is charging
exceeds the open-circuit voltage.An ideal cell has negligible internal resistance, so it would
maintain a constant terminal voltage of until exhausted, then dropping to zero. If such a cell
maintained 1.5 volts and stored a charge of one coulomb then on complete discharge it would
perform 1.5 joule of work. In actual cells, the internal resistance increases under discharge,and
the open circuit voltage also decreases under discharge. If the voltage and resistance are plotted
against time, the resulting graphs typically are a curve; the shape of the curve varies according to
the chemistry and internal arrangement employed.


Figure 3.32: Voltaic Cell
3.2.11.2: LEAD ACID BATTERY
Leadacid batteries, invented in 1859 by French physicist Gaston Plant, are the oldest type
of rechargeable battery. Despite having a very low energy-to-weight ratio and a low energy-to-
volume ratio, their ability to supply high surge means that the cells maintain a relatively
large power-to-weight ratio.

Solar charger and Inverter 54
3.2.11.2.1: DISCHARGE
In the discharged state both the positive and negative plates become lead (II) sulfate (PbSO
4
) and
the electrolyte loses much of its dissolved sulfuric acid and becomes primarily water. The
discharge process is driven by the conduction of electrons from the negative plate back into the
cell at the positive plate in the external circuit.
Negative plate reaction: Pb(s) + HSO4 (aq) PbSO
4
(s) + H
+
(aq) + 2-e
Positive plate reaction: PbO
2
(s) + HSO4(aq) + 3H
+
(aq) + 2-e PbSO
4
(s) + 2H
2
O(l)
The total reaction can be written:
Pb(s) + PbO
2
(s) + 2H
2
SO
4
(aq) 2PbSO
4
(s) + 2H
2
O(l)
The sum of the molecular weights of the reactants is 642.6, so theoretically a cell can produce
two faradays of charge from 642.6 g of reactants, or 83.4 amp-hours per kg (or 13.9 amp-hours
per kg for a 12-volt battery). At 2 volts per cell, this comes to 167 watt-hours per kg, but lead-
acid batteries in fact give only 30 to 40 watt-hours per kg due to the weight of the water and
other factors.

Figure 3.33: Fully Discharged: Two Identical Lead Surface Plates
3.2.11.2.2: CHARGING
In the charged state, each cell contains negative plates of elemental lead (Pb) and positive plates
of lead(IV) oxide (PbO
2
) in an electrolyte of approximately 33.5% v/v(4.2 Molar) sulfuric
acid (H
2
SO
4
). The charging process is driven by the forcible removal of electrons from the
positive plate and the forcible introduction of them to the negative plate by the charging source.

Solar charger and Inverter 55
Negative plate reaction: PbSO
4
(s) + H
+
(aq) + 2-e Pb(s) + HSO4(aq)
Positive plate reaction: PbSO
4
(s) + 2H
2
O(l) PbO
2
(s) + HSO4(aq) + 3H
+
(aq) + 2-e
Overcharging with high charging voltages generates oxygen and hydrogen gas by electrolysis of
water, which is lost to the cell. Periodic maintenance of lead acid batteries requires inspection of
the electrolyte level and replacement of any water that has been lost.

Figure 3.34: Fully Charged: Lead and Lead Oxide Plates










Solar charger and Inverter 56
3.3:MICROCONTROLLER

3.3.1: FEATURES OF ATMEGA8
High-performance, Low-power AVR 8-bit Microcontroller
Advanced RISC Architecture
130 Powerful Instructions Most Single-clock Cycle Execution
32 x 8 General Purpose Working Registers
Fully Static Operation
Up to 16 MIPS Throughput at 16 MHz
On-chip 2-cycle Multiplier
Nonvolatile Program and Data Memories
8K Bytes of In-System Self-Programmable Flash
Endurance: 10,000 Write/Erase Cycles
Optional Boot Code Section with Independent Lock Bits
In-System Programming by On-chip Boot Program
True Read-While-Write Operation
512 Bytes EEPROM
Peripheral Features
Two 8-bit Timer/Counters with Separate Prescaler, one Compare Mode
One 16-bit Timer/Counter with Separate Prescaler, Compare Mode, and Capture
Mode
Real Time Counter with Separate Oscillator
Three PWM Channels
Special Microcontroller Features
Power-on Reset and Programmable Brown-out Detection
Internal Calibrated RC Oscillator
External and Internal Interrupt Sources
Five Sleep Modes: Idle, ADC Noise Reduction, Power-save, Power-down, and Standby
Operating Voltages
2.7 - 5.5V (ATmega8L)
4.5 - 5.5V (ATmega8)
Solar charger and Inverter 57
Speed Grades
0 - 8 MHz (ATmega8L)
0 - 16 MHz (ATmega8)
Power Consumption at 4 MHz, 3V, 25C
Active: 3.6 mA
Idle Mode: 1.0 mA
Power-down Mode: 0.5 A

3.3.2: DESCRIPTION:

Figure 3.35: ATMEGA8 Architecture
Solar charger and Inverter 58
The AVR core combines a rich instruction set with 32 general purpose working registers.All the
32 registers are directly connected to the Arithmetic Logic Unit (ALU), allowingtwo
independent registers to be accessed in one single instruction executed in one clock cycle. The
resulting architecture is more code efficient while achieving throughputs up to ten times faster
than conventional CISC microcontrollers.

3.3.3: PIN CONFIGURATION:


Figure 3.36: ATMEGA8 Pin Diagram




Solar charger and Inverter 59
3.3.4: PIN DESCRIPTION

VCC: Digital supply voltage.

GND: Ground.

Port B (PB7-PB0) XTAL1/XTAL2/TOSC1/TOSC2:
Port B is an 8-bit bi-directional I/O port with internal pull-up resistors (selected for each bit). The
Port B output buffers have symmetrical drive characteristics with both high sink and source
capability. As inputs, Port B pins that are externally pulled low will source current if the pull-up
resistors are activated. The Port B pins are tri-stated when a reset condition becomes active, even
if the clock is not running.
Depending on the clock selection fuse settings, PB6 can be used as input to the
invertingOscillator amplifier and input to the internal clock operating circuit. Depending on the
clock selection fuse settings, PB7 can be used as output from the inverting Oscillator amplifier.
If the Internal Calibrated RC Oscillator is used as chip clock source, PB7-6 is used as TOSC2-1
input for the Asynchronous Timer/Counter2 if the AS2 bit in ASSR is set.

Port C (PC5-PC0):
Port C is a 7-bit bi-directional I/O port with internal pull-up resistors (selected for each bit). The
Port C output buffers have symmetrical drive characteristics with both high sink and source
capability. As inputs, Port C pins that are externally pulled low will source current if the pull-up
resistors are activated. The Port C pins are tri-stated when a reset condition becomes active, even
if the clock is not running.

PC6/RESET:
If the RSTDISBL Fuse is programmed, PC6 is used as an I/O pin. Note that the electrical
characteristics of PC6 differ from those of the other pins of Port C. If the RSTDISBL Fuse is
unprogrammed, PC6 is used as a Reset input. A low level on this pin for longer than the
minimum pulse length will generate a Reset, even if the clockis not running.

Port D (PD7-PD0):
Solar charger and Inverter 60
Port D is an 8-bit bi-directional I/O port with internal pull-up resistors (selected for each bit).
The Port D output buffers have symmetrical drive characteristics with both high sink and source
capability. As inputs, Port D pins that are externally pulled low will source current if the pull-up
resistors are activated. The Port D pins are tri-stated when a reset condition becomes active, even
if the clock is not running.

RESET:
Reset input. A low level on this pin for longer than the minimum pulse length will generate a
reset, even if the clock is not running.
Solar charger and Inverter 61
3.3.5: BLOCK DIAGRAM

Figure 3.37: ATMEGA8 Block Diagram

Solar charger and Inverter 62
3.3.6: MEMORY ORGANISATION

This section describes the different memories in the ATmega8. The AVR architecture has two
main memory spaces, the Data memory and the Program Memory space. In addition, the
ATmega8 features an EEPROM Memory for data storage. All three memory spaces are linear
and regular.

3.3.6.1: IN-SYSTEM REPROGRAMMABLE FLASH PROGRAM MEMORY

The ATmega8 contains 8K bytes On-chip In-System Reprogrammable Flash memory for
program storage. Since all AVR instructions are 16- or 32-bits wide, the Flash is organized as 4K
x 16 bits. For software security, the Flash Program memory space is divided into two sections,
Boot Program section and Application Program section.
The Flash memory has an endurance of at least 10,000 write/erase cycles. TheATmega8 Program
Counter (PC) is 12 bits wide, thus addressing the 4K Program memory locations.

3.3.6.2: SRAM DATA MEMORY

The first 96 locations address the Register File and I/O Memory, and the next 1024 locations
address the internal data SRAM. The five different addressing modes for the Data memory
cover: Direct, Indirect with Displacement, Indirect, Indirect with Pre-decrement, and Indirect
with Post-increment. In the Register File, registers R26 to R31 feature the indirect addressing
pointer registers. The direct addressing reaches the entire data space. The Indirect with
Displacement mode reaches 63 address locations from the base address given by the Y- or Z-
register.
When using register indirect addressing modes with automatic pre-decrement and post
increment, the address registers X, Y and Z are decremented or incremented. The 32 general
purpose working registers, 64 I/O Registers, and the 1024 bytes of internal data SRAM in the
ATmega8 are all accessible through all these addressing modes.






.
Solar charger and Inverter 63
3.3.7: ADC-ANALOG TO DIGITAL CONVERSION

The ADC converts an analog input voltage to a 10-bit digital value through successive
approximation.The minimum value represents GND and the maximum value represents the
voltage on the AREF pin minus 1 LSB. Optionally, AVCC or an internal 2.56V reference
voltage may be connected to the AREF pin by writing to the REFSn bits in the ADMUX
Register. The internal voltage reference may thus be decoupled by an external capacitor at the
AREF pin to improve noise immunity.


Figure 3.38: ADC Block Diagram

Figure 3.18 : ADC Block Diagram
Solar charger and Inverter 64
3.3.7.1: ADC Multiplexer Selection Register ADMUX

Figure 3.39: ADMUX
Bit 7:6 REFS1:0: Reference Selection Bits
If these bits arechanged during a conversion, the change will not go in effect until this
conversion is complete(ADIF in ADCSRA is set). The internal voltage reference options may
not be used if an externalreference voltage is being applied to the AREF pin.
Bit 5 ADLAR: ADC Left Adjust Result
The ADLAR bit affects the presentation of the ADC conversion result in the ADC Data
Register.Write one to ADLAR to left adjust the result. Otherwise, the result is right adjusted.
Changing theADLAR bit will affect the ADC Data Register immediately, regardless of any
ongoing conversions.
Bits 3:0 MUX3:0: Analog Channel Selection Bits
The value of these bits selects which analog inputs are connected to the ADC. If these bits are
changed during a conversion, the change will not go in effect until this conversion is complete
(ADIF in ADCSRA is set).





Solar charger and Inverter 65
3.3.7.2: ADC Control and Status Register ADCSRA

Figure 3.40: ADCSRA
Bit 7 ADEN: ADC Enable
Writing this bit to one enables the ADC. By writing it to zero, the ADC is turned off. Turning the
ADC off while a conversion is in progress will terminate this conversion.
Bit 6 ADSC: ADC Start Conversion
In Single Conversion mode, write this bit to one to start each conversion. In Free Running mode,
write this bit to one to start the first conversion. The first conversion after ADSC has been
writtenafter the ADC has been enabled, or if ADSC is written at the same time as the ADC is
enabled,will take 25 ADC clock cycles instead of the normal 13. This first conversion performs
initializationof the ADC. ADSC will read as one as long as a conversion is in progress. When the
conversion is complete, it returns to zero. Writing zero to this bit has no effect.
Bit 5 ADFR: ADC Free Running Select
When this bit is set (one) the ADC operates in Free Running mode. In this mode, the ADC
samplesand updates the Data Registers continuously. Clearing this bit (zero) will terminate Free
Running mode.
Bit 4 ADIF: ADC Interrupt Flag
This bit is set when an ADC conversion completes and the Data Registers are updated. The ADC
Conversion Complete Interrupt is executed if the ADIE bit and the I-bit in SREG are set.
ADIF is cleared by hardware when executing the corresponding interrupt Handling Vector.
Alternatively,ADIF is cleared by writing a logical one to the flag. Beware that if doing a Read-
Solar charger and Inverter 66
Modify-Write on ADCSRA, a pending interrupt can be disabled. This also applies if the SBI and
CBIinstructions are used.
Bit 3 ADIE: ADC Interrupt Enable
When this bit is written to one and the I-bit in SREG is set, the ADC Conversion Complete
Interruptis activated.
Bits 2:0 ADPS2:0: ADC Prescaler Select Bits
These bits determine the division factor between the XTAL frequency and the input clock to the
ADC.




















Solar charger and Inverter 67
3.5 WORKING PROCEDURE:

The solar panel voltage is applied to charge the battery whenever it is available. During night
time the battery supplies power to the load and during day time the load is disconnected
automatically. The protection circuit which consists of battery monitoring circuit prevents
excessive charging and deep discharge of the battery. There is also a protection circuit consisting
of two diodes to prevent the damage of the microcontroller. There are four indication LEDs to
indicate charging ON/OFF, load ON/OFF and low Battery. The load is connected to an opto
isolator through a switch, which is a MOSFET, under the control of a micro controller.

The output from the battery is connected to a switch and to the center tap of the transformer.
SG3524 IC produces PWM waves which are inverting and non-inverting with the desired
frequency and is given as an input to the high power switches. The o/ps of these switches are
given to the step up transformer which produces 230V square wave. And this voltage is given to
the load.
We are using a regulated 5V, 750mA power supply. 7805 three terminal voltage regulator
is used for voltage regulation. Bridge type full wave rectifier is used to rectify the ac output of
secondary 230/18V step down transformer.

Solar charger and Inverter 68
Chapter: 4
Conclusion


This project presents an implementation ofSolar Charger and Inverter using ATMEGA8 MCU.
Experimental work has been carried out carefully. We used a 10Watt solar cell to tap the solar
energy and stored the energy in the battery and then we converted the voltage to 230v and
switched ON the load.
Thus the ATMEGA8 Microcontroller based solar charger and inverter is very useful for
household purposes and industries where there are frequent power cuts.

S-ar putea să vă placă și