Sunteți pe pagina 1din 145

Surface Acoustic Wave Technology

and Wireless Applications


Presentation at the
University of South Florida
Donald C. Malocha
Professor
University of Central Florida
School of Electrical Engineering & Computer Science
Orlando, FL 32816-2450
(407) 823-2414
(407) 823-5835
Email: dcm@ece.engr.ucf.edu

Background & Applications

Motivation For SAW


Technology

Frequency range from ~ 10 MHz to 3 GHz


_ Monolithic, solid state

Standard manufacturing process, similar


to IC

Provide complex signal processing

Mass produced, low cost

Void of competing technologies

SAW Advantage

Applications of SAW Devices


Military Was Initial Motivation
Military Applications

Functions Performed

Radar

Pulse Expansion and Compression


Filters

Pulse Compression

ECM Jammers

Pulse Memory Delay Line

ECCM
Direct Sequence Spread Spectrum-

Pulse Shaping, Matched Filters,


Programmable Tapped Delay Lines,
Convolvers, Fast Hop Synthesizer

Fast Frequency Hopping-

Fast Hop Synthesizer

Ranging

Pulse Expansion & Compression Filters

High Volume Consumer Markets


Have Driven the Technology
Custom designs
Develop unique acoustic component implementations
Custom materials
Better manufacturing tolerance
Low cost, surface mount packaging

Hand Set Shipments-World

High Volume Applications of


SAW Devices
Consumer Applications Consumer Applications
Analog Cellular

Wireless LAN

Digital Cellular

Personal Communication
System
TV IF Filter

Analog Cordless
Telephone
Digital Cordless
Telephone

Base Stations

Other Applications of
SAW Devices
Commercial Applications Functions Performed

Satellite Data Receiver

VSB Modulator Filters and


TVRO IF Filters
IF Filter, Filter Bank

Digital Microwave

QAM Spectral Shaping

Fiber Optic Repeater

Clock Recovery Filter

CATV/MATV Headend

RF Synthesizers/Analyzers Oscillators and Filters

SAW Cost Parameters

from: RF Monolithics

Basic SAW Fundamentals

SAW Properties
Piezoelectric substrate acoustoelectric
conversion
Small surface perturbation: 0.1-20 Angstroms
Wave is trapped to surface (~ 1-15 wavelengths)
Velocity of ~ 2,500 10,000 m/sec
Efficient transduction and wave sampling
Versatile signal processing bandpass filtering,
resonator frequency control, spread spectrum,
radar, remote sensing, others

SAW Components
Transducers
Bidirectional
Multi-phase
Unidirectional
Single Phase
Unidirectional
Reflectors
Groove
Metallic
Implanted

Re-Generative
Elements
Transducers
Multistrip Couplers
Wave Guides
Beam Compressors
Convolvers
Non-linear Elements
Convolver

Surface Wave Particle


Displacement

Bidirectional Apodized SAW Filter

Schematic diagram of a typical bidirectional SAW filter


composed of an unweighted input transducer and an
apodized transducer. The filter is composed of two
interdigital transducers (IDT).

Saw Impulse Response


Transducer Model

Figure 1. Schematic representation of a SAW IDT and the


fundamental wave perturbation under the electrode pattern
when driven by an impulse.

Electric Field Distribution


Between Transducer Fingers

Transversal Filter

SAW Rectangular Time


Impulse Response

SAW Rectangular Time


Impulse Response
2

Rect ( 0 , t)

h1 ( 0 , t)

1
1

0.5

0.5

h1( x , t) := a1 cos ( 2 f0 t) Rect( x , t)


Rect ( x , t) := if (min( x) t max( x) , 1 , 0)

1
1

IDT Frequency Domain Response


From a Rectangular Time Response
0
10

H ( f ) 20

H (f0 ) 30

dB

40
50

0.2 0.4 0.6 0.8

1.2 1.4 1.6 1.8

f
f0

H( f ) :=

Sa 2 ( f f0)
2
2

sin( x)

, 1
where Sa ( x) := if x 0 ,
x

Time-Frequency Design Fundamentals

Time-Frequency Design Fundamentals

Schematic of a Finite Impulse


Response (FIR) Filter

SAW Models

MODELS
Transmission Line

Coupling of Modes

Analysis via forward and


reverse traveling waves

Models transduction and


reflection

Uses impedance discontinuities


to model metallized vs free
space

Impulse Response/ Superposition

Models a single element in a


periodic array

Uses superposition/convolution to
determine complete response

Does not handle reflections

SAW Impulse Response


Transducer Model

Schematic representation of a SAW IDT and the fundamental wave


perturbation under the electrode pattern when driven by an impulse.

SAW Transducer Impulse Response Model


For a uniform sampled SAW transducer:
h(t) = A 0 cos[z 0 t]rect(t/t)
and A 0 equals a constant.
Assume a delta function voltage input, v 0(t) = d(t),
then V0(z) =1. Given h(t), H(z) is known and the
energy launched as a function of frequency is given
by E(z) = 2 * H(z)

. Then

E(z) = V 20 (z) * G a (z) = 1 * G a (z)


or
G a (z) = 2 * H(z)

SAW Transducer Impulse Response Model


When sampled, t = N * Dt, and h(t) is given by
h(t n ) = A 0 * cos(z 0 t n ) * rect(t n /t)
H(z) =

A 0 t sin(x 1 )
x1
2

sin(x 2 )
x2

where x 1 = (z z 0 ) * t/2 and x 2 = (z + z 0 ) * t/2.


Dt =

1
2*f 0

Rect( 0 , t )

h1 ( 0 , t)

1
1

0.5

0
t

0.5

1
1

SAW Transducer Impulse Response Model


Dt =

1
2*f 0

t n = n * Dt

N * Dt = t

N p * Dt = t/2.

where N is the total number of electrodes (half wavelengths) and Np is the total number of electrode pairs.

H(z) =
wherex n =

(zz 0 )
z 0 oN p

N
A 0 4f 0

sin(x n )
xn

(ff 0 )
f 0 oN p.

0
10

H ( f ) 20

H (f0 ) 30

dB

40
50

0.2 0.4 0.6 0.8

1
f
f0

1.2 1.4 1.6 1.8

SAW Transducer Impulse Response Model


The center frequency conductance is given as
G a (f 0 ) = G 0 = 8k 2 f 0 C s W a N 2p

or the frequency dependent transducer conductance is


G a (f 0 ) = G 0 *

sin 2 (x n )
x 2n

The transducer electrode capacitance is given as


Ce = C s W a N p
The Hilbert transform susceptance is,
B a (z) =

1
o

G a (u)
(uz) du

= G a (z) & 1/oz

where "*" indicates convolution.

SAW Transducer Modeling

Transmission Line Model


-SAW Reflector

Transmission Line Model


-SAW Transducer

SAW Coupling of Modes


Model
S11( f ) :=

j K( f ) sinh ( ( f ) Ng p )

( f ) cosh ( ( f ) Ng p) + j ( ( f ) j ) sinh ( ( f ) Ng p)
Ng

S12( f ) :=

( 1) ( f )

( f ) cosh ( ( f ) Ng p) + j ( ( f ) j ) sinh ( ( f ) Ng p)

S12( f i )
S11( f i )

0.5

0
8
1.45 .10

0
1.46 .10

1.47 .10

1.48 .10

1.49 .10

1.5 .10
fi

1.51 .10

1.52 .10

1.53 .10

1.54 .10

1.55 .10

Apodized SAW Transducer Implementations

Schematic of a Finite Impulse


Response (FIR) Filter

Apodized SAW Analysis


I

h(t) = S hi (t)

(20)

i=1

and
I

H(z) = S H i (z) = S
i=1

t/2

i=1 t/2

hi (t)e jzt dt

(21)

Figure 2. Schematic diagram of a typical SAW filter composed of one


unweighted interdigital transducer (IDT) and an apodized transducer.

SAW Amplitude Beam Profile as a Function of Frequency


f

Wave Amp. vs Beam Position vs. Frequency


0.5
Normalized Beam Position (x/Wa)

0.4
0.3
0.2
0.1
0
0.1
0.2
0.3
0.4
0.5
0.25

0.25
0.5
Relative SAW Amplitude

Center frequency (f0)


0.95*f0
0.93*f0
0.86*f0
Ideal H(f) and Conductance: ACOS Fcn.

0
10

dB

20
30
40
50
0.75

0.8

0.85

Conductance
Frequency Response

0.9

0.95
1
1.05
Normalized Frequency (f/f0)

1.1

1.15

1.2

1.25

0.75

20 to 1 Tap Quartz Ang.=30

Acoustic Conductance vs
Apodization Technique

Filter Analysis Model

Transducer Time Response

Low Shape Factor


Slant-Apodized Transducer Filter
Passband Response

Wideband Response

Typical TV-IF Filter

VSB Filter for CATV - Sawtek

Sonet SAW Filter - Sawtek

Real Time SAW Fourier Chirp Transform

Sawtek, Inc.

SAW Coded Transducer


Input
Transducer

Coded
Transducer
-1 -1 1 -1 -1 1 1 -1

Data

Clock
Pulse
Generator

SAW Waveform

SAW Coded Transducer

Electrical Network Effects

Electrical Network Effects

SAW equivalent circuit model which includes the


generator, parasitic resistance, and a tuning inductor

Qr =

oo C sWa
Ga ( f o )

and

oC sWa
Qg =
Gg

where Gg is the generator conductance.

Electrical Matching Effects


The effects of the electrical network can be demonstrated by assuming a
simple parallel matching inductor, and no parasitic resistance. It will be
assumed that the transducer can be exactly matched to the real load
impedance. The transfer function, ignoring the Hilbert transform
susceptance can be written as

H e (z) =

G g /(z o C e )
bG a (f 0 ) G a (f)
z z0
z 0 C e + G a (f0) +j[ z 0 z ]

where b = G g /G a (f 0 ).

Figure 8 shows a series of plots of the effects of the electrical network


transfer function as a function of Q. At center frequency, half the voltage is
on the SAW conductance, which corresponds to the -6 dB level. Off center
frequency, the voltage increases which causes a loss in sidelobe rejection.

Matched Transducer Response


Qr := 1
Ideal and Complete Matched Response
0
5
dB ( Sa (x(f , Qr) )
dB ( Ht (f , Qr)

10
15
20
25

0.6

0.8

1.2

1.4

f
f0
Normalized Frequency

Qr := 5
Ideal and Complete Matched Response
0
5
dB ( Sa (x(f , Qr) )
dB ( Ht (f , Qr)

10
15
20
25

0.6

0.8

1.2

f
f0
Normalized Frequency

1.4

Materials

Materials
Single crystal substrates
Quartz, Niobates, Tantalates

Piezoelectric Films
ZnO
AlN

Other Substrates

Glass
Si
GaAs
Diamond
LGS, LGN, LGT

SAW Filter Process

Trim (if necessary)


Dice
Clean
Final Trim
Package

Fabrication (3) Electrode Widths

From: Siemens

Fabrication (5) Linewidth vs Frequency

From: Siemens

MATERIAL CONSIDERATIONS
SAW Material Parameters
Material

Cut

Property

TC (ppm/ C)

k2 (%)

V(m/S)

Quartz (HC)

-20 Rotated Y

-32

0.25

3209

Quartz (ST)

+45.75 Rotated Y
Y

0.16

3157

-35

0.74

3230

-94

4.6

3488

-72

5.3

3977

OO1

-140

LiTaO 3 (YZ)
LiNbO 3 (YZ)

LiNbO 3 (128 ) 128 Rotated Y


Bi12 GeO 20

For YZ LiNbO 3 :

110

0.85
For YZ LiNbO 3

first letter Cut direction


2nd letter Prop. direction

Crystal Planes & Directions


3D Structure

1) intercepts: 2a, 4b, 3c


2) reciprocal: 1/2, 1/4, 1/3
3) Miller indices: (6, 3, 4)
smallest integers

1620

SAW Filter Insertion Loss vs


Fractional Bandwidth

Computer Generated Filter Layout

Mask Structure Device Features

Processing & Manufacturing


Single crystal orientation to tenths of a degree
Thin film uniformity from 0.5% to 5% over a 3 inch
wafer
Absolute film thickness' to approximately +/- 50
angstroms or less
Line width control to less than 0.1 micron
Positive and negative resist processing is used
Wet and dry etch, and liftoff PR processes are used
for line delineation

Aluminum Metallization
{ Excellent Acoustic and Electrical Properties
{ Deposition
~ Sputter Deposition
~ Electron Beam Deposition

{ Aluminum alloys
~ Minimize mass flow
~ Increase Power Handling
~ Increase Reliability

Antenna Duplexer for


Digital Cellular Radios

Improvement
in Power
Durability of
SAW Filters

T.Nishihara, H.Uchishiba, T.Matsuda, O.Ikata, &


Y.Satoh
Fujitsu Laboratories Ltd.
Akashi, Japan
386 1995 IEEE Ultrasonics Symposium

Lifetime Dependence on Input Power for


3-Layered Films with Different
Intermediate Layers

Nishihara, et. al.

SAW Transversely Coupled Coupled Resonator

Regions 1 and 11 are free surface; regions 2 and 10 are bus bars;
regions 3, 5, 7 and 9 are gaps; regions 4 and 8 are the gratings; and
region 6 is the coupling bar. Each region can have different waveguide
properties.

Velocity vs Al Thickness for Quartz


S T-Quartz Grating, Gap and Metal Velocities
3160

Velocity (m/sec)

3150

3140

3130

3120

3110

3100

0.005

0.01

0.015

0.02 0.025
h/lambda

0.03

0.035

0.04

Velocity versus normalized film thickness . The lower trace is the


grating velocity, the center trace is the gap region velocity, and the
upper trace is the solid metal velocity.

TCR Pole Spacing vs Metal Thickness


Beam width= 4 to 14 lam0, Step= 2 lam0, CW=1
1
0.9

P o le Spacing, MHz

0.8
0.7
0.6
0.5
0.4
0.3
0.2
0.1
0

0.5

1.5
2
2.5
Metal thickness, % of h/l

3.5

Figure 4. Pole spacing vs normalized metal fim thickness for a coupling width of 1. Beam
width is stepped in 2 increments with 4 wavelengths wide for largest pole spacing and 14
for smallest pole spacing. The crosses mark the maximum pole spacing. The open circles
are measured data of the pole spacing at a given metal thickness.

Delv/v Beam width=6


-3

Mode Seperation (delf/f0)

x 10
1.5
1
0.5
0
0
0.5

4
3

1.5
Coupling width

2
2

1
0
(h/lambda in %)

Plot of the normalized mode spacing vs coupling width vs


normalized film thickness for a transducer aperture of 6
wavelengths.

Effect of Metal Thickness for Reflector

From: S.Richie

Reactive Ion Etching of Quartz

Test#5: PR/Al mask, 125W, 5SCCM C 2F6, P=32 microns, 45 min, graphite plate

Packaging
{ Currently most high volume packaging use
surface mount
{ Metal packages are primarily military
applications
{ Issues:

~ Extremely low cost


~ Hermeticity
~ Sealing
~ RF compatibility
~ Volume (footprint)
~ Internal matching

{ RF problems in packages is of importance

Assembly
process for
flip-chip
SAW filters

Miniaturized SAW Filters Using a


Flip-Chip Technique
H.Yatsuda, T.Horishima, T.Eimura
& T.Ochwa
1994 Ultrasonics Symposium - 159

GSM IF Filters: Evolution of


Package Size

Source: Siemens

Second Order Effects

Approximate Triple Transit


Analysis

Simple Triple Transit Level Analysis


(

)(

1. Main response : Pout = 1 1 1 2 Pin


2
2
2. Regenerated wave @ transducer #2 (port 1)
R1 = 12 1 2 2 Po

3. Power absorbed @ transducer #1 due to R1

P1 = 1 1 R1
2

4. Regenerated wave @ transducer #1 due to R1


R2 = 1 1 1 P1
2 2

5. Power absorbed @ transducer #2 due to R2


P2 = 1 2 2 R2
P2 = 1 2 1 1 1 P1
2
2 2
P2 = 1 2 1 1 1 1 1 R1
2
2 2
2
P2 = 1 2 1 1 1 1 1 1 1 2 Po
2
2 2
2
2 2
P2 = IL IL 1 Po IL2 1 Po
4
4
10 log P2 = TTE = 2 IL + 6dB
valid for IL > 10 dB
Po

(
(
)

)(

)(

) (

SAW Velocity vs Propagation


Angle ST Quartz

SAW Propagation Simulation


20 Tap to 1 Tap Apodized Transducer on Quartz, PFA=30o

Beam steering-group velocity


is steering wave upward

SAW Diffraction Effects

Slanted SAW
Filter Analysis
With and
Without
Diffraction Theoretical
From: S. Knapp PhD thesis

Slanted SAW
Filter Analysis
With
Diffraction
Predicted and
Experimental
From: S. Knapp PhD thesis

BAW Temperature Coefficient of


Frequency
h = BAW cystal blank thickness
h = ; = 2h
2
f m = vm
fm =
vm =

vm

c
p

vm

2h

BAW Temperature Coefficient of


Frequency
d v m
2h
df m
=
dT
dT
df m
vm dh
1 dv m
=
2
dT 2h dT 2h dT
Multiply both sides by 2h

1 , then
=
vm
fm

1 df m
1 dv m 1 dh
=

f m dT vm dt
h dT
dv m
Expand 1 v
in
dT
m

BAW Temperature Coefficient of


Frequency
Expand :
dvm
dT
dvm
dT

d c

=
dT
1 1
1 c d
=

2 c 2 3 2 dT

Multiply both sides by 1 v = c , then


m
1 dvm 1 1
=
vm dT 2 c
1 dfm 1 1
=
f m dT 2 c

dc 1 d

dT dT
dc 1 1 d 1 dh

dT 2 dT h dT

Table of Material Constants for LGS, LGN, LGT


Ref. Temp=25 oC

LGT
LGN
LGS
RT
TC1
TC2
RT
TC1
TC2
RT
TC1
TC2
(x10^10) (x10^-6) (x10^-9) (x10^10) (x10^-6) (x10^-9) (x10^10) (x10^-6) (x10^-9)
C11 (N/m)
18.852
-78.239 -273.644
19.299
-56.335
-5.745
18.849 -43.908
-8.183
C66 (N/m)
4.032
-43.633 -901.446
4.116
15.247 -176.812
4.221 -22.432
-64.402
C33 (N/m)
26.180 -102.255 -107.715
26.465 -114.656
90.724
26.168 -91.904 -491.305
C44 (N/m)
5.110
21.653 -11.987
4.956
-14.137 -379.544
5.371 -44.046 127.130
C14 (N/m)
1.351 -359.568 1604.810
1.485 -478.918 -1943.861
1.415 -309.099 261.107
C13 (N/m)
10.336 -111.390 -557.682
10.225
-31.269 947.985
9.688 -61.952 -1446.007
EXPANSION-Y
0.000
6.087
4.736
0.000
6.673
-4.135
0.000
5.630
5.979
EXPANSION-Z
0.000
3.827
5.030
0.000
5.060
0.000
0.000
4.079
4.577
DENSITY (g/m^3)
6150.400
-16.016 -14.502 6028.900
-18.410
9.010 5739.200 -15.340
-13.460
Piezo e11
-0.456
-22.800 -981.000
-0.452
99.300 456.000
-0.402 329.000 199.000
Piezo e14
0.094 1587.000 2293.000
0.061 2306.000 5053.000
0.130 -342.000 2287.000
Relative epsilon 11
18.271
-65.480 -35.960
20.089 171.400 -290.500
19.620 322.900 -1073.000
Relative epsilon 33
78.950 -1417.000 -16.100
79.335 -1596.000 -2935.000
49.410 -737.100 543.900

Room temperature is 25oC.


Reference temperature is 25oC for all measurements.
All values are with respect to the IEEE 176-1949 (R1971)
Standard on Piezoelectricity

BAW Temperature Coefficient of Frequency


versus Propagation Angle

(f-fo)/fo (ppm)

Fractional
Frequency
Change
&

200.00000
0.00000
-200.00000

20

40

60

80

100

120

140

160

180

200

-400.00000
-600.00000
-800.00000
-1000.00000

y = -0.0639x2 + 8.5278x - 258.58

-1200.00000

Temperature

TCF

Temperature
Coefficient
of
Frequency

15
10
5
0
-5
-10
-15

y = -0.128x + 8.3054

-20
0

25

50

75

100

125

Temperature (Celsius)

150

175

200

RF Probe Station for Data Acquisition

System Application Driven

System Application Driven


Primary Frequency Range
70 MHz - 2.5 GHz
Fractional Bandwidths
<10%
(most are < 1%)
Insertion Loss
IF: <10 dB
RF: <3 dB
Out-of Band
Varies from 20 - 80 dB

Four Principal Saw Properties

_
_
_
_

Transduction
Reflection
Re-Generation
Non-Linearities

All SAW devices implement or exhibit one


or more of these fundamental
acoustic/electrical properties

Technology Issues
RF Filters
v Low Loss <3 dB
v Low Cost
v Small
v Good Performance
v Handle High Power
v Integrateable
IF Filters
v Low Loss <2 dB
v Low Cost
v Small
v Good Performance
v Integrateable

Bandwidths
v 30 kHz to 1.2 MHz
v 100 MHz for video

Transmission Formats
v Analog - Digital - Video

Filter Using Unidirectional


Transducers (UDT): 3-Phase

Three Phase UDT Low Loss Filter Results


Wide Band
Filter
Response

Narrowband
Filter
Response

Technological Advances
Semi-Resonant Devices
8 Single Phase Unidirectional Transducers (SPUDT)
8 Natural SPUDT (NSPUDT)
8 Single Pole Resonators
8 Multipole Resonators
8 Transverse Coupled Resonators
8 Reflector structures
8 Multitransducer structures

SPUDT Schematic Representation


Schematic representation of a
SPUDT. The transducer is
composed of a transduction and
reflection structure. The reflecting
structure may be incorporated into
the transduction structure or can
be superimposed onto the
transduction structure. The
reflector can be made by mass
loading of metal or dielectric
material. (Malocha, 1993)

SPUDT Macroscopic Reflection

Figure 5 Macroscopic Reflection. The figure above illustrates how a wave


propagating under a transducer might be reflected. Note that the incident forward
waves amplitude is diminished as it propagates under the array of electrodes and
that the reflected waves amplitude grows as it propagates beneath the array.

SPUDT Advantages
Low-loss SAW Filters (~3-15dB)
Reduced triple transit
Low pass band amplitude ripple
Small group delay distortion
Small size compared to multi-transducer
approaches
Simple matching circuits (1-2 reactive elements)
Relatively insensitive to matching element
variations
Easy to fabricate - single level metal

SPUDT Four Basic Unit Cells

A) Transduction and reflector, B) Transduction and no


reflector, C) Reflector without transduction, and
D) No transduction and no reflector.

Abbott 1989

SPUDT Time Domain

SPUDT Frequency Domain

SAW Reflector Array

Resonator Equivalent Circuits

SAW Resonator Filter

Typical SAW Resonator- Measured


Narrow Band S21 Graph
0

10

Amplitude (dB)

20

30

40

50

60

70

118

119

120

121

122

123

124
Frequency (MHz)

125

126

127

128

Resonators were designed having a center frequency


wavelength of 19.22 um.
Resonator Q~5000

129

SAW In-line Coupled


Resonator Filter

with permission from Sawtek, Inc.

SAW Transversely Coupled Coupled Resonator

Regions 1 and 11 are free surface; regions 2 and 10 are bus bars;
regions 3, 5, 7 and 9 are gaps; regions 4 and 8 are the gratings; and
region 6 is the coupling bar. Each region can have different waveguide
properties.

SAW Transversely Coupled


Coupled Resonator

RF Filters for Mobile Telephones

SAW Devices for Consumer Applications - C.W.Ruppel, et.al.


IEEE Transactions on Ultrasonics, Ferroeletronics & Frequency Control,
Vol.40, No.5, Sept.1993

Ladder Circuit
Structure

SAW Fixed Frequency Oscillator

From Sawtek, Inc.

SAW Voltage Controlled Oscillator

with permission from Sawtek, Inc.

Passive SAW Code Device

SAW Code Delay Line at 856 MHz

RX1000 Block Diagram

SAW Beam Width


Compression Convolver

Simple SAW Film Sensor

SAW Barker Code


Generator/Correlator

Sawtek, Inc.

PSK SAW Correlator Time Output

From Sawtek, Inc.

Minimum Shift Key SAW Filter

Mask Waveform Generator

Quadrature System w/ AM

h22 SAW Modulator Filter Pulse Response Derived from Theoretical and Measured Frequency Responses

Quadrature System w/o AM

Figure 83. Three Term Even Series SAW Modulator Filter Pulse Responses Derived from
Theoretical and Measured Frequency Responses

SAW 7 Bank Active Channelizer

From Sawtek, Inc.

SAW Multichannel Filter Bank

Permission from Sawtek, Inc.

Compressive Receiver Technology

SAW Down-Chirp Dispersive


Delay Line

SAW Up-Chirp Dispersive


Delay Line

SAW Reflective Array


Compressor

SAW Switched Pulse


Compression Module

From Sawtek, Inc.

Road Vehicles- World

Hand Set Shipments-World

Handset Vendors-World

Wireless PDA Market

Wireless Users Worldwide

Challenges

Higher Frequencies
Lower Losses
RF Front End Integration
New Materials

Competition

Direct Conversion Receivers


Thin Film Bulk Acoustic Wave
Ceramic Filters
MEMS
DSP

S-ar putea să vă placă și