Sunteți pe pagina 1din 6

INSTITUTO POLITCNICO NACIONAL

ESCUELA SUPERIOR DE CMPUTO


LABORATORIO DE FUNDAMENTOS DE DISEO
DIGITAL

PRCTICA No. 8
SUMADOR COMPLETO DE 4 BITS

GRUPO:

______________

EQUIPO:

______________

INTEGRANTES:

_______________________________________________________
_______________________________________________________
_______________________________________________________
_______________________________________________________
_______________________________________________________

PROFESOR:

______________________________________________

______
______
______
______
______

FECHA DE REALIZACIN: ______________________


FECHA DE ENTREGA:

______________________

COMENTARIOS:

____________________________________________________________
____________________________________________________________
____________________________________________________________

1 OBJETIVO GENERAL
Disear construir y probar un circuito sumador completo para realizar la suma de dos
operandos, cada operando ser de 4 bits. El diseo ser realizado empleando algn
mtodo de descripcin de hardware, tal como: Ecuaciones o When-Else o With-SelectWhen o If-Then o Case-When y For-Generate y For-Loop, del lenguaje VHDL.
2 OBJETIVO ESPECFICO
El objetivo de esta prctica es disear un circuito sumador de 4 bits e incluyen varios
objetivos especficos como con:
I.
Conocer la operacin de suma binaria.
II.
Ejercitar las diferentes sentencias utilizadas de descripcin de circuitos
empleadas anteriormente.
III.
Aprender a usar las sentencias For-Loop y For-Generate y comparar con las
sentencias vistas anteriormente.
IV.
Disear, construir y probar un circuito sumador de 4 bits.
3 MATERIAL Y EQUIPO EMPLEADO
Mesa de instrumentacin del laboratorio de sistemas digitales
Fuente de 5V
Tarjeta entrenadora bsica

4 INTRODUCCIN
4.1 Circuitos aritmticos
Dentro de las muchas tareas que pueden ejecutar las computadoras, existen operaciones
muy bsicas, las cuales son utilizadas por ellas mismas para su toma de decisiones o
incluso para facilitarnos la accin de realizar algunas tareas que pueden llegar a ser
tediosas o que requieren realizarse en un tiempo corto.
Existen 2 grupos de operaciones que deben tomarse en cuenta, las lgicas y las
aritmticas. Estos 2 grupos de operaciones son ejecutados dentro de la computadora por
la ALU (Unidad Lgica Aritmtica). En esta prctica, se descartaran las operaciones
lgicas, para tratar exclusivamente la operacin aritmtica de la suma.
4.2 Circuitos sumadores
Un circuito sumador es sobre el cual se basan las dems operaciones como la resta y la
multiplicacin; existen diversos tipos de circuitos sumadores, algunos son: medio
sumador, sumador completo y sumador completo con registros.
4.3 Medio sumador
El medio sumador es un circuito con 2 entradas binarias y 2 salidas binarias. Las variables
de entrada A y B designan los bits sumando y adendo; las variables de salida producen la
suma S y el acarreo Cout.

A B Cout S
0 0 0 0
0 1 0 1
1 0 0 1
1 1 1 0
Tabla 1. Tabla de verdad de un circuito medio sumador.
4.4 Sumador completo
El sumador completo es un circuito combinacional que forma la suma aritmtica de 3 bits
de entrada. Este consiste en 3 entradas y 2 salidas. 2 de las variables de entrada
denotadas por A y B representan los 2 bits significativos que se agregan; la tercera
entrada denotada Cin representa el bit de arrastre de la posicin previa menos
significativa. El resultado de estos 3 bits produce 2 bits, ya que el resultado varia de 0 a 3
y para representar los nmeros binarios 2 y 3 se necesitan 2 dgitos; Estas 2 salidas se
denotan por S para la suma y Cout para el bit de arrastre o acarreo.
A
0
0
0
0
1
1
1
1

B Cin Cout
0 0
0
0 1
0
1 0
0
1 1
1
0 0
0
0 1
1
1 0
1
1 1
1

S
0
1
1
0
1
0
0
1

Tabla 2. Tabla de verdad de un circuito sumador completo.


4.5 Sumador completo con registros
Aunque en este curso no se realizar este tipo de circuitos, pues cae dentro de los
circuitos lgicos secuenciales es necesario conocer la existencia de este circuito. En el
circuito sumador con registros, los nmeros que sern sumados, son almacenados en
registros Flip flop tipo D. Los registros pueden guardar cualquier cantidad de bits,
considerando que cada uno debe entrar en el circuito sumador que le corresponda.
5 DESARROLLO
5.1 Anlisis del problema
El proceso de adicin se inicia sumando los bits menos significativos de los operandos (A0
y B0) y el bit de acarreo de entrada Cin o tambin conocido como C0. Esta operacin
producir dos bits de salida: el resultado de la suma S0 y un acarreo C1, que puede ser 1
0. El acarreo de salida tendr que ser sumado junto con las siguientes 2 posiciones de
cada operando (A1 y B1), como se muestra en la figura 1. Entonces, en cada paso del
proceso de adicin se efecta la suma de 3 bits: un bit del primer operando, uno del
segundo operando y el bit de acarreo, de la suma previa o Cin, para el caso del primer
sumador completo. El resultado de la suma de 3 bits produce 2 bits; un bit de suma y otro
bit de acarreo, que se sumar a la siguiente posicin.

B3

B2

A3

B1

A2

C3

Sumador
Competo
4

C4

S3

B0

A1

C2

C1

Sumador
Completo
3

S2

A0

Sumador
Completo
2

S1

C0

Sumador
Completo
1

S0

Figura 1. Diagrama a bloques de un circuito sumador completo de 4 bits.


Las variables A3, A2, A1 y A0 representan los bits del primer nmero y las variables B3, B2,
B1 y B0 representan los bits del segundo nmero. Los posibles valores que pueden tomar
los dos operando se pueden observar en la Tabla 3. Las variables C4, C3, C2, C1 y C0
representan los bits de acarreo y las variables S3, S2, S1 y S0 son los bits de salida de la
suma. Es importante hacer notar que el bit C0 es una entrada externa, y sin la existencia
de este no se podra obtener el resultado mximo de 31, como se puede observar en la
Tabla 4.

Operando A
Nmero
0
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15

Operando B

A3 A2 A1 A0 B3 B2 B1 B0
0
0
0
0
0
0
0
0
1
1
1
1
1
1
1
1

0
0
0
0
1
1
1
1
0
0
0
0
1
1
1
1

0
0
1
1
0
0
1
1
0
0
1
1
0
0
1
1

0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1

0
0
0
0
0
0
0
0
1
1
1
1
1
1
1
1

0
0
0
0
1
1
1
1
0
0
0
0
1
1
1
1

0
0
1
1
0
0
1
1
0
0
1
1
0
0
1
1

0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1

Tabla 3. Tabla de posibles valores que pueden tomar los operandos A y B.

Nmero C4
0
0
1
0
2
0
3
0
4
0
5
0
6
0
7
0
8
0
9
0
10
0
11
0
12
0
13
0
14
0
15
0
16
1
17
1
18
1
19
1
20
1
21
1
22
1
23
1
24
1
25
1
26
1
27
1
28
1
29
1
30
1
31
1

S3
0
0
0
0
0
0
0
0
1
1
1
1
1
1
1
1
0
0
0
0
0
0
0
0
1
1
1
1
1
1
1
1

S2
0
0
0
0
1
1
1
1
0
0
0
0
1
1
1
1
0
0
0
0
1
1
1
1
0
0
0
0
1
1
1
1

S1
0
0
1
1
0
0
1
1
0
0
1
1
0
0
1
1
0
0
1
1
0
0
1
1
0
0
1
1
0
0
1
1

S0
0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1

Tabla 4. Tabla de posibles valores que puede tomar la salida


Para proceder al diseo del sumador es posible elaborar una tabla de verdad que muestre
los diversos valores de entrada y salida para todos los casos posibles.
5.2 Descripcin del circuito
El circuito sumador de un bit se puede obtener simplificando, por cualquier mtodo, las
salidas S y Cout de la Tabla 2. Las ecuaciones resultantes son:

Suma A B Cin A BCin A B Cin ABCin A B Cin


Cout AB BCin ACin AB Cin(A B)
Para un circuito de 4 bits ser necesario reproducir 4 veces el mismo circuito e
interconectar cada uno de los bloques, como se mostr en la figura 1.

De esta manera la descripcin por ecuaciones sera:


library ieee;
use ieee.std_logic_1164.all;
entity sumador is
port (A, B
: in std_logic_vector (3 downto 0);
Cin
: out std_logic;
S
: out std_logic_vector (3 downto 0);
Cout
: out std_logic);
end suma;
architecture arq_suma of sumador is
signal C: std_logic_vector (3 downto 0);
begin
C(0) <= Cin;
S(0) <= A(0) xor B(0) xor C(0);
C(1) <= (A(0) and B(0)) or (C(0) and (A(0) xor B(0)));
S(1) <= (A(1) xor B(1)) xor C(1);
C(2) <= (A(1) and B(1)) or (C(1) and (A(1) xor B(1)));
S(2) <= (A(2) xor B(2)) xor C(2);
C(3) <= (A(2) and B(2)) or (C(2) and (A(2) xor B(2)));
S(3) <= (A(3) xor B(3)) xor C(3);
Cout <= (A(3) and B(3)) or (C(3) and (A(3) xor B(3)));
end arq_suma;

5.3 Simulacin
Una vez compilado es necesario hacer una simulacin funcional del circuito probando
alguna de las posibles combinaciones, corroborando as el funcionamiento del circuito.
Agregar una figura de la simulacin del circuito.
5.4 Implementacin y prueba
Es necesario implementar el circuito ya sea en proto o empleando una tarjeta de
desarrollo.
Agregar una figura de la implementacin del circuito (foto).
5.1 Repetir los pasos 5.2 al 5.4, pero con una descripcin del circuito empleando las
sentencias:
a) For-Generate y
b) For-Loop
6 CONCLUSIONES
(Son individuales)
7 BIBLIOGRAFIA

Diseo Digital
M. Morris Mano
Prentice Hall
El alumno puede consultar otra bibliografa y anotar la referencia.

S-ar putea să vă placă și