Sunteți pe pagina 1din 4

ASIC-System on Chip-VLSI Design: Synthesis Con...

http://asic-soc.blogspot.in/2007/10/synthesis-cons...

ASIC-System on Chip-VLSI Design


31

Digital chip design articles, tutorials, classes and news

Home

Links

Verilog

Low Power VLSI

Static Timing Analysis

Physical Design

Synthesis

DFT

Formal Verification

Synthesis Constraints
Let us discuss something about ASIC front end design and its related issues. I would like post some articles
related to synthesis constraints, DFT and formal verification. I will consider Synopsys tools to support the
discussion.
Three types of constraints can be set for the design in Design Compiler (DC). They are:
1) DRC constraints
2) Optimization constraints
3) Environmental constraints.
DRC constraints exist in library. DRC constraints cant be relaxed. They can be chosen from library.
DRC constraints are: set_max_fanout, set_max_transition, set_max_capacitance.
If DRC constraints are not specified, then default values from the library are taken.
WRITE TO ASICSOCBLOG

Three types of optimizations are possible-area, power and timing. We have optimization constraints related to
all these.

Send your comments, suggestions, guest articles,


thesis, research papers to: asicsocblog@gmail.com

set_max_area, set_min_area are area constraints.


Only basic level of power optimization is carried out by DC. Its primary target is to meet timing constraints.
set_max_leakege and set_max_dynamic are the two power constraints that can be provided to DC.

To subscribe asic-soc blog enter your email


address:

Both DRC and optimization constraints follow environmental constraints. Setting up of operating conditions and
wire load model falls under environmental constraints. The constraints are: set_operating_conditions,
set_wire_load_model and set_wire_load_mode. By default enclosed wire load mode is considered by DC.
Synthesis is timing driven process. Several timing constraints are put to synthesis process of SAMM. No timing
specifications may be mentioned for a design. Hence to extract the possible value of clock,
derive_timing_constraints command is used. This gives a clock period of x. A nearest clock period less than
x can be chosen. This value of clock should satisfy slack requirement of DFT enabled circuit also.
Examples of timing constraints are listed bellow:
->set_clock -period 4.75 clock: Clock period constraint set at 4.75 (210 MHz).
->set_clock_uncertainty setup 0.475 clock: -ve clock skew can lead to setup violations. Possible value of ve
skew is provided to DC so that it can model for that. Generally setup uncertainty is taken as 10% of the clock.
->set_clock_uncertainty hold 0.27 clock: +ve clock skew can lead to hold violations. Possible value of +ve
skew is provided to DC so that it can model for that. Generally hold uncertainty is taken as 5% of the clock.
->set_clock_latency 0.45 clock: This provides possible network latency constraint to DC.
->set_clock_latency source 0.4 clock: Source latency of 0.45 is selected.

1 of 4

Subscribe
Top Posts
Process-Voltage-Temperature
(PVT) Variations and Static Timing
Analysis
The major design challenges of
ASIC design consist of
microscopic issues and
macroscopic issues [1]. The microscopic issues
are ultra-high s...
What is the difference between FPGA and ASIC?
This question is very popular in VLSI fresher
interviews. It looks simple but a deeper insight
into the subject reveals the fact that the...
Backend (Physical Design) Interview Questions

04/24/2014 03:31 PM

ASIC-System on Chip-VLSI Design: Synthesis Con...

http://asic-soc.blogspot.in/2007/10/synthesis-cons...
and Answers
Below are the sequence of questions asked for a
physical design engineer. In which field are you
interested? Answer to this question...

->set_clock_transition 0.04 clock: Clock transition time of 0.04 is modeled.


->set_input_delay 0.40 [all_inputs]: Input delay of 0.4 is set to all inputs.

Clock Gating
Clock tree consume more than 50
% of dynamic power. The
components of this power are: 1)
Power consumed by
combinatorial log...

->remove_input_delay [get_ports clock]: Constraining clock with input delay leads to wrong timing analysis. To
exclude clock port from the input delay this command is used.
->set_output_delay 0.40 [all_outputs]: Output delay of 0.4 is provided. If all outputs are registered this delay
does not affect the timing analysis.
I/O ports of the design become pads of the IC. Hence tool has to be informed about this so that it analyzes
delay, area and power appropriately. This is done using command set_port_is_pad, which sets the I/O ports as
pad and insert_pad, which inserts pad.

What is the difference between FPGA and


CPLD?
FPGA-Field Programmable Gate Array and
CPLD-Complex Programmable Logic Device-both are programmable logic devices made by
the same compani...

Reference

Clock Definitions
lock Definitions: Rising and falling
edge of the clock For a +ve edge
triggered design +ve (or rising)
edge is called ...

[1] Himanshu Bhatnagar, Advanced ASIC chip Synthesis Using Synopsys Design Compiler, Physical Compiler
and PrimeTime, Kluwer Academic Publishers, Second edition, 2002
[2] Design Compiler User Guide, Version X-2005.09, September 2005

Embedded System for Automatic


Washing Machine using Microchip
PIC18F Series Microcontroller
The design uses the PIC18F
series microcontroller. All the
control functionalities of the
system are built around this. Upgradeability is
th...

Related Articles
Optimization Methodology
Application of DFT technique
DFT enabled circuit analysis and fault coverage
Tags: Synthesis

Verilog code for asynchronous FIFO


Verilog code for asynchronous FIFO is given
below. The module a_fifo5 should be used for
Modelsim (or any other HDL simulator)
simulation...

1 comment:
vinoth April 2, 2009 at 2:14 PM
Is the code Synthesizable, if we use Switch Level Modelling in Verilog?
In case of structural, behavioral and gate level modelling, the RTL is translated to GTECH representation which is
technology independent. Then the logic is optimized and mapped to standard cells in technology library. The
standard cells will be primitive gates, flops. AOI, OAI cells etc...

Temperature controller using


Microchip PIC16F877A
Microcontroller
In continuously monitoring the
surrounding temperature of
industrial applications
Temperature Monitoring Control System play v...
Setup and hold slack
13. Setup and hold slack Slack
Slack is defined as difference
between actual or achieved time
and the desired time for a timing
...

I need to know whether any transistor components will be available in technology library. If the tech library does
not have NMOS, PMOS and CMOS transistors, then how mapping will happen and the switch level modelling will
properly get synthesized. Please let me know in this regard.
Reply

Search

Comment as:

Publish

Read More...

Select profile...

Preview

Your Comments... (comments are moderated)

Links to this post


Create a Link
Newer Post

Home

Older Post

Subscribe to: Post Comments (Atom)

Search This Blog

Search

2 of 4

Verilog
(38)
Synthesis (36)
ASIC
synthesis (34)
Verilog HDL
(34)
verilog
examples (32)
verilog
interview
questions (30)
verilog
tutorials (29)
Verification
(28)
verilog
tutorial
for
beginners (28)
ASIC (26) DSP
(22) HDL (19) Low
Power Techniques
(16) logic synhesis
(16) FPGA (15)
MATLAB
(15)
Static
Timing
Analysis (STA) (15)
Timing
Analysis
(15) logic synthesis

There was an error in


this gadget

Blog Archive
2014 (1)
2013 (58)
2012 (21)
2011 (7)
2010 (2)
2009 (14)
2008 (36)
2007 (109)
December 2007
(23)
November 2007
(10)
October 2007
(54)
3-D chip design
strategy
Limits of
Cu/low-k
interconnects
In scan chains if
some flip flops
are +ve edge
tri...
What is

04/24/2014 03:31 PM

ASIC-System on Chip-VLSI Design: Synthesis Con...


(13)
Mentor
Graphics
(12)
Verification IP (12)
Physical
Design
(11) DSP filters
(10) Digital design (9)
CMOS
(8)
Verilog
Classes
(8)
Asynchronous
FIFO
(7) 3-D ICs (6) Basic
gates using MUX (6)
Digital filters (6) PIC
Microcontroller (6) low
power (6) .lib (5)
Libraries
(5)
PIC
16F877A (5) interview
(5) Leakage Power (4)
VIP (4) VLSI (4)

http://asic-soc.blogspot.in/2007/10/synthesis-cons...

difference
between
normal buffer
and clock...
What is
difference
between HFN
synthesis and
CTS?
Is it possible to
have a zero
skew in the
design?
What you mean
by scan chain
reordering?
On what basis
we decide the
clock
frequency in
any...
What is JTAG?
Limitations of the
existing
interconnect
technolog...
Introduction to
Interconnect
Technologies
ASIC Design
Check List
Routing
Clock Tree
Synthesis
(CTS)
Placement
Timing Analysis
in Physical
Design
Digital design
Interview
Questions
Power Planning
Floor Planning
Inputsoutputs
from physical
design
process
Libraries In
Physical
Design
Physical Design
Flow
Formal
Verification
Example
Hybrid Formal
Verification
Techniques
Recent Advances
in SAT Based
Formal
Verification
Satisfiability
(SAT) Solvers
Theorem proving
Model Checking
Equivalence
Checking (EC)
Binary Decision
Diagram
(BDD)
Methodologies in
Formal
Verification
Concept of
Formal
Verification
Why Formal
Verification?
IC Fabrication
Verification
Interview
Questions
CMOS Design

3 of 4

04/24/2014 03:31 PM

ASIC-System on Chip-VLSI Design: Synthesis Con...

http://asic-soc.blogspot.in/2007/10/synthesis-cons...

Interview
Questions
Others
Design For
Manufacture-D
FM
Physical Design
Interview
Questions
ASIC General
Layout Inerview
Questions
Design For
Test-DFT
Timing Analysis
Interview
Questions
FPGA Interview
Questions
Synthesis
Interview
Questions
Verilog Interview
Questions
Basic
Microelectroni
cs Interview
Questions
Introduction to
Formal
Verification
Verilog Code for
Systolic Array
Matrix
Multiplier
VLSI FAQ ... just
the beginning !
DFT enabled
circuit analysis
and fault
coverage
Application of
DFT technique
Optimization
Methodology
Synthesis
Constraints
September 2007
(14)
July 2007 (6)
June 2007 (2)

There was an error in


this gadget

Copyright/Disclaimer

Listed in

This work is licensed under a Creative Commons


Attribution-Share Alike 2.5 India License.

"Nahi Jnanena Sadrusham". Simple template. Powered by Blogger.

4 of 4

04/24/2014 03:31 PM

S-ar putea să vă placă și