Sunteți pe pagina 1din 5

IMPLEMENTACION DE FUNCIONES BOOLEANAS USANDO

UNA GAL 22V10


AREA DE ARQUITECTURA DE COMPUTADORAS
Reporte Tecnico 01, Primavera 2007
Autor: Mc Apolonio Ata Prez.
Objetivo:
Programar funciones booleans en una gal
22v10

Al terminar la instalacin se crea la carpeta


Lattice
Semiconductor con los siguientes
programas.

Material :
Compilador vhdl: Isp design Expert
Simulador: Proteus v 6.9
Una Gal 22V10
Una Fuente digital
PROCEDIMIENTO
I.- Compilacin: Se tiene que disear el
archivo vhdl con las funciones bolleanas que
se quieren grabar, para eso se utiliza l
compilador isplever de la compaa LAttuce,
post6eriormenmtye se genera el archivo jdec,
II.- Simulacin: Se simula el
diseo
empleando el simulado proteus y el archivo
jdec generado,
III.- Programacin: Se programa en el lab el
gal.
IV.- Pruebas: Se prueba en el laboratorio.

2.- Ejecucin del compilador


Al ejecutar IspDesignEXPERT por primera
vez nos pide la licencia.
La licencia esta en el el archivo empaquetado
lattice_ispdesignexpert_starter_v8_2_10_50
Hay que copiar los archivos que contiene, en la
carpeta donde se instalo el programa en nuestro
caso es:
C:\ ispTools \ispcomp\ licence
Al ejecutar nuevamente aparece la siguiente
pantalla

I.- Compilacin y generacin del


archivo .jdec
Para esta tarea se utiliza el compilador
VHDL, ispDesignEXPERT_8.2 de la la
compaa LATTICE que es la misma que
fabrica los gal que se vanm a usar.
1.- Instalacin del compilador.
Desempaquete el archivo del compilador y
ejecute los programas en el siguiente orden:
1. ispDesigEXPERT v8.2 Part1
2. ispDesigEXPERT v8.2 Part2
3. ispDesigEXPERT v8.2 Part3
4. ispDesigEXPERT v8.2 Part4
5. ispDesigEXPERT v8.2 Service Pach
6. ispVM System 8.2

3.- Creamos un nuevo proyecto de trabajo para


ello damos el comando
File => NewProyect

Aparece un men, en proyect ponemos el


nombre de nuestro trabajo en nuestro caso
ponemos AND_OR.
En
proyect
Type,
ponemos
Schematic/VHDL
De el comado Guardar, aparece un men
para seleccionar en que carpeta se guardara
nuestro proyecto, hay que tener cuidado en
donde lo guardamos ya que posteriormente
haremos uso de el.
4.- Aparece la pantalla del Project Navigator

Family = GAL Device


Device = Gal22v10D,
Package = 24 PDIP
Damos OK
7.- Seleccinamos el Archivo fuente.
En el men principal damos el comando Source
=> New
Aparece una ventana con diferentes tipos de
archivos, Seleccione VHDL Module
Aparece la ventana de TEXT EDITOR y un
men damos:
nombre = AND_OR
Entity = nada
Architecture = nada
despus damos OK
En la ventana de Text Editor copiamos o
editamos el archivo fuente VHDL que vamos a
compilar.

5.- Seleccionamos el disposito Gal, para ello


Seleccionemos con el Mouse
y damos
doble clic, Aparece el men de Device
Selector

Para el ejemplo vamos a programar las


funciones:
F1 = A * B
F2 = A + B
Al editar el programa en VHDL queda:
6.- Seleccionamos el dispositivo en nuestro
caso damos:

7.- Importar archivos. Si queremos usar algn


archivo VHDL ya editado en otro editor de
texto, lo podemos
importar usando el
comando Source => Import
Aparece una ventana donde seleccionamos la
carpeta donde esta el archivo que deseamos
importar., damos abrir. Y aparece un men
pidiendo el typo de archivo, damos VHDL
Module

En la parte izquierda vamos seleccionando y


activando los 10 primeros procesos hasta que
todos tengan el smbolo

8.- Para compilar en el Proyect Manager


seleccionamos con el mouse,
Y damos

Process => Star

Aparece un mensaje al cual damos OK


Aparece una pantalla REPORT Viewer
Si existe un error aqu aparece indicandonos
el tipo de error y la linea donde esta el error.
En caso de no existir errores aparece el
mensaje:
Done:Completed Sucessfully
Aparece uan pantalla en la parte izquierda con
los procesos ha ejecutarse.

Los archivos con los que vamos a trabajar son


el de Chip Report y JEDEC File

9.- Al seleccionar Chip Report nos da


informacin acerca de la distribucin de las
terminales del Gal y las seales de entrada y
salida de acuerdo a la archivo VHDL
Selccionamos Chip Report y buscamos el
diagrama de nuestro GAL

II.- Simulacin del archivo .jed


usando el software Proteus v6.9.
1.- Instalacin
de
Proteus. Despus de
instalarlo y ejecutarlo por primera vez, nos pide
la licencia esta se obtiene usando el comando
Inicio =>Todos los programas => Proteus 6
profesional =>Licence Manager
Aparece una ventana con el nombre de Aviable
key active el comando Find All Key Files
despues de encontrar las llaves disponibles
ejecute Instalar, y en la ventana
Installed Keys debern aparecer las llaves ya
intaladas. Despus de esto ya se puede ya usar
el programa.
2.- Seleccione el dispositivo GAL 22V10
Ponga las entradas y salida de acuerdo al
diagrama obtenido en el Chip Report
X1

0
X2

El diagrama indica las terminales asignadas


para x1,x2,f1 y f2, GND y Vcc.
10.- JEDEC File. Genera el archivo .JED el
cual contiene la informacin de los fusibles ha
ser quemados en el GAl, para que implemente
las funciones booleanas deseadas.
11.- El siguiente paso es la simulacin y la
implementacin fsica, para la simulacin
usamos el simulador PROTEUS y el archivo
.JED

GAL 22V10
1
2
3
4
5
6
7
8
9
10
11
13

I0/CLK
I1
I2
I3
I4
I5
I6
I7
I8
I9
I10
I11

F2
IO0
IO1
IO2
IO3
IO4
IO5
IO6
IO7
IO8
IO9

23
22
21
20
19
18
17
16
15
14

F1

AM22V10

Seleccione la GAL usando el botn derecho del


mouse y posteriormente de clic en el botn
izquiero de esta forma aparece el men de
propiedades.
En JEDEC Fuse Map file de la ruta donde se
encuentra el archivo .jed ya generado en el
punto I. En caso de no acordarse utilice el
comando de bsqueda para encontrar los
archivos .jed
Corra la simulacin y compruebe que el GAL se
comporta de acuerdo a las funciones de boole
programadas:
F1 = A * B
F2 = A + B

III.- Programacin del GAL


Para la programacin se usara el programador
universal SUPERPRO \ L+, de la compaa
XELTEK.
1.- Conecte el cable paralelo, la fuente de
alimentacin y encienda el programador.
2.- Corra el programa dando el comando
Inicio=>Todos
los
programas
=>
SUPERPRO \ L+, aparece la pantalla de
trabajo.
3.- Seleccione el dispositivo a programar
dando Device => select
4.- Aparece un men donde seleccionamos:
Device Type = PLD
Manufacturer = LATTICE
Device Name = 22V10D

Aparece un buscador de archivo con este


seleccionamos el archivo and_or .jed

Aparece el mapa de fusible.

Este mapa es similar al contenido del archivo


and_or.jed

Damos OK y aparece la siguiente pantalla


dentro del rea de trabajo.

5.- Colocamos el Circuito


integrado en la base del
programador, hay que
tener cuidado en la
colocacin del integrado
ya que si se pone
incorrectamente
el
integrado SE DAA, para
ello identifiquemos la Terminal #1 de la base
del programador y la patita # 1 del integrado.
6.- Cargamos el archivo .jed que queremos
grabar usando el comando File => Load.

7.- Para grabar ejecutamos Device =>Run.


El programa checa si el GAL esta limpio
(Blank_Check) y si no lo esta lo borra (Erase),
luego lo graba (Program), posteriormente lo lee
(Read) y lo compara con el archivo original
(Verify), si no hay errores, da un mensaje de
OK
8.- El GAL ya grabado se prueba en el
laboratorio.

S-ar putea să vă placă și