Documente Academic
Documente Profesional
Documente Cultură
HDLs
Verilog
Mdulos
Interfaz
Variables
Comportamiento
Flujo de Diseo
Niveles de Abstraccin
Descripcin Estructural
Descripcin Algortmica
Test Benches
Simulacin
Sntesis
ISP (Circa 1977) Proyecto de investigacin del CMU (Carnegie Mellon University)
Simulacin pero no sintesis.
Similar a ADA;
Convertido en estadar IEEE (1076) en 1987.
module modulo_simple(A,B,C,x,y);
input A,B,C;
output x,y;
wire e;
assign e = A & B;
assign y = ~ C;
assign x = e | y;
endmodule
Entradas
CAJA NEGRA
(module)
Salidas
Modulos: Interfaz
Entradas
Module
Bidireccional
Salidas
parameter msb = 7;
parameter e = 25, f = 9;
parameter average_delay = (r + f) / 2;
parameter byte_size = 8, byte_mask =
byte_size - 1;
Modulos: Variables
module compuerta
( input wire [3:0] entrada1,
input wire [3:0] entrada2,
output wire [3:0] salida);
Modulos: Comportamiento
TOP-DOWN
BOTTOM-UP
De comportamiento (Behavioral)
Fsico
Procesador-Memoria
RTL (Register Transfer)
Gate o de compuertas
Transistor
Bloques bsicos
Representacin de las seales
Representacin del tiempo
Representacin del comportamiento
Representacin fsica
Descripcion
Representacin Representacion
Descripcin
Bloques tipicos
comportamental
de seales
del tiempo
Fsica
(behavioral)
Procesador- procesador,
memoria
Memoria
Register
Transfer
Gate o
compuerta
Transistor
FSM
Floor plan
(RT Level)
compuertas and,
0' y '1' lgicos
or, xor, flip-flop
Delay de
propagacin
Ecuaciones
Booleanas
Layout de
celdas
Transistores,
resistores
Funcion
continua
Ecuaciones
Diferenciales
Layout de
transistores
Tensin
Estructural
Processor-Memory
Switch
Register-Transfer
Funcional
Algoritmico
(behavioral)
Register-Transfer
Level
Compuertas
Ecuaciones Booleanas
Transistor
Ecuaciones Diferenciales
Menor Nivel
de Abstraccion Fsica (o geometrica)
Mayor Nivel
de Abstraccion
Y-chart
Gajski & Kahn
Descripcin Estructural
Descripcin Algortmica
nombre_modulo
#(
.parametro_modulo
)
nombre_instancia
(
.nombre_puerto_1
.nombre_puerto_2
);
(valor_parametro)
(conexion),
(conexion)
Test Bench
Test Vector
DUT
Results
analysis
initial
// Stimulus
begin
SEL = 0;
A = 0;
B = 0;
#10 A = 1;
#10 SEL = 1;
#10 B = 1;
end
// Analysis
initial $monitor($time, SEL, A, B, F);
$display
$monitor
$fopen, $fclose
$readmem
$time
$finish, $stop $end
$dumpvars
$setup, $hold
display values
trace value-changes
open, close a file
memory read tasks
simulation time
stop simulation
dump data to file for
waveform display
setup and hold timing checks
reg [7:0] A;
initial begin
A = 8b0000_1111 ;
$display (%d %b %0b %h %0h, A, A, A, A, A);
end