Sunteți pe pagina 1din 170

INSTITUTO TECNOLGICO DE SONORA

APORTACIN AL DESARROLLO DE UN INVERSOR


MONOFSICO PARA SISTEMAS FOTOVOLTAICOS
INTERCONECTADOS CON NFASIS EN LA TCNICA
DE COORDENADAS DQ

TESIS
QUE PARA OBTENER EL TTULO DE

INGENIERO EN ELECTRNICA

PRESENTA:

CINTHYA MARA VERDUGO BARCEL

CD. OBREGN, SONORA

JUNIO DE 2009

NDICE
Pgina

LISTA DE FIGURAS...........................................................................

vii

LISTA DE TABLAS............................................................................

xii

LISTA DE ACRNIMOS Y ABREVIATURAS............................................

xiii

RESUMEN.......................................................................................

xiv

CAPTULO I. Introduccin
1.1 Antecedentes tericos...................................................................

1.2 Planteamiento del problema.........................................................

1.3 Objetivo.........................................................................................

1.4 Justificacin...................................................................................

1.5 Delimitaciones...............................................................................

Referencias.........................................................................................

CAPTULO II. Marco terico


2.1 Energas renovables.....................................................................

2.1.1 Energa elica.................................................................

11

2.1.2 Energa geotrmica.........................................................

11

2.1.3 Energa hidrulica...........................................................

12

2.1.4 Energa solar...................................................................

12

2.2 Sistemas fotovoltaicos..................................................................

13

2.2.1 Sistema fotovoltaico autnomo.......................................

14

2.2.2 Sistema fotovoltaico conectado a la red elctrica...........

15

2.3 Energa fotovoltaica......................................................................

16

2.3.1 Celda fotovoltaica............................................................

17

2.3.2 Panel fotovoltaico............................................................

19

2.3.3 Seguimiento del Punto de Mxima Potencia (PMP).......

19

2.4 Inversores.....................................................................................

20

iv

2.4.1 Inversor monofsico puente completo............................

22

2.4.2 Filtro LC...........................................................................

25

2.4.3 Elementos de conmutacin.............................................

26

2.4.3.1 MOSFET............................................................

27

2.4.4 Tcnicas de modulacin..................................................

28

2.4.4.1 Modulacin por Ancho de Pulso Senoidal


(SPWM).............................................................

28

2.5 Control, medicin y proteccin......................................................

29

2.5.1 Control.............................................................................

30

2.5.2 Medicin..........................................................................

30

2.5.3 Proteccin.......................................................................

30

2.5.3.1 Proteccin en paneles fotovoltaicos..................

30

2.5.3.2 Proteccin en el inversor (islanding).................

31

2.6 Transformacin a coordenadas rotatorias DQ..............................

33

2.7 Control digital................................................................................

38

2.7.1 Control PID......................................................................

42

2.7.2 Lugar de las races..........................................................

43

2.8 Controlador digital de seales.......................................................

45

2.8.1 Familia dsPIC30f.............................................................

46

2.8.2 dsPIC30f2020..................................................................

46

2.8.2.1 Oscilador...........................................................

49

2.8.2.2 Mdulo PWM para fuentes conmutadas


(Power Supply PWM)........................................

50

2.8.2.3 Convertidor analgico digital (ADC)..................

54

2.9 MPLAB IDE...................................................................................

56

2.10 Lenguaje C..................................................................................

56

2.11 MPLAB C30................................................................................

57

Referencias.........................................................................................

58

CAPTULO III. Desarrollo


3.1 Requerimientos.............................................................................

63

3.2 Descripcin e implementacin de sistema....................................

63

3.3 Inversor.........................................................................................

64

3.3.1 Diseo del inversor..........................................................

65

3.3.2 Implementacin del inversor...........................................

66

3.4 Sensado y adecuacin de la seal para el DSC...........................

67

3.4.1 Sensado y adecuacin de la corriente de salida del


inversor............................................................................

67

3.4.2 Sensado y adecuacin del voltaje de la red elctrica.....

74

3.5 Implementacin digital de la transformacin a coordenadas DQ..

76

3.5.1 Eleccin del dispositivo a utilizar.....................................

76

3.5.2 Eleccin del lenguaje a utilizar........................................

76

3.5.3 Eleccin del software y el compilador para el lenguaje


elegido..............................................................................

77

3.5.4 Desarrollo del programa..................................................

77

3.5.4.1 Inicializacin de los mdulos del dsPIC30f2020

79

3.5.4.2 Declaracin de variables y constantes globales

79

3.5.4.3 Declaracin de funciones globales....................

81

3.5.4.4 Funcin main......................................................

81

3.5.4.5 Funcin ADC_config..........................................

82

3.5.4.6 Funcin PWM_config.........................................

83

3.5.4.7 Funcin Encendido............................................

84

3.5.4.8 Funcin Calculos................................................

84

3.5.4.9 Funcin de servicio a la interrupcin del ADC...

86

3.6 Diseo de un controlador lineal.....................................................

86

3.7 Implementacin del sistema a lazo abierto...................................

91

Referencias.........................................................................................

93

CAPTULO IV. Pruebas y resultados


4.1 Pruebas en el inversor..................................................................

95

4.2 Sensado y adecuacin..................................................................

101

4.3 Transformacin a coordenadas DQ..............................................

105

vi

4.3.1 Simulacin de la tcnica de transformacin a


coordenadas DQ..............................................................

105

4.3.2 Implementacin de la tcnica de transformacin a


coordenadas DQ..............................................................

107

4.4 Simulacin del control en coordenadas DQ..................................

108

4.4.1 Pruebas al controlador con carga de 30 ......................

109

4.4.2 Pruebas al controlador con carga de 70 ......................

114

4.4.3 Pruebas al controlador con carga de 100 ....................

119

4.4.4 Pruebas al controlador con carga de 110 ....................

123

Referencias.........................................................................................

127

CONCLUSIONES Y RECOMENDACIONES...........................................

128

BIBLIOGRAFA....................................................................................

131

APNDICES
Apndice A: Anlisis matemtico de la tcnica de coordenadas DQ..

136

Apndice B: Modelado del sistema en coordenadas DQ....................

139

Apndice C: Cdigo fuente del programa para la implementacin de


la transformacin a DQ............................................................

145

Apndice D: Diagrama esquemtico del sistema implementado........

149

ANEXOS
Hoja de datos del controlador digital de seales dsPIC30F2020........

151

vii

LISTA DE FIGURAS
Pgina
Figura 2.1

Grfica de la generacin de energa en Mxico........................

10

Figura 2.2

Esquema de un sistema fotovoltaico autnomo........................

14

Figura 2.3

Esquema de un sistema fotovoltaico conectado a red..............

15

Figura 2.4

Circuito equivalente de la celda fotovoltaica.............................

17

Figura 2.5

Curva caracterstica I-V de la celda fotovoltaica.......................

18

Figura 2.6

Circuito y formas de onda de un inversor monofsico medio


puente........................................................................................

Figura 2.7

21

Circuito y estados de conmutacin del inversor puente


completo....................................................................................

23

Figura 2.8

Formas de onda de un inversor monofsico.............................

24

Figura 2.9

Diagrama general de un inversor con filtro y armnicos a


eliminar por el mismo................................................................

25

Figura 2.10

Esquema de generacin de la modulacin SPWM...................

29

Figura 2.11

Diagrama esquemtico de un sistema fotovoltaico conectado


a red..........................................................................................

32

Figura 2.12

Circuito real e imaginario de un convertidor monofsico...........

35

Figura 2.13

Variables del circuito real e imaginario, XR y XI.........................

36

Figura 2.14

Variables del circuito real en DQ...............................................

37

Figura 2.15

Diagrama a bloques de la transformacin a coordenadas


rotatorias....................................................................................

38

Figura 2.16

Diagrama a bloques de un sistema de control digital................

39

Figura 2.17

Caractersticas dinmicas de un sistema discreto....................

41

Figura 2.18

Esquema de un controlador PID...............................................

43

Figura 2.19

Diagrama de pines del dsPIC30F2020 de 28 pines..................

47

Figura 2.20

Diagrama a bloques del dsPIC30F2020....................................

48

Figura 2.21

Diagrama a bloques del oscilador interno.................................

50

Figura 2.22

Diagrama a bloques del mdulo PWM......................................

52

Figura 2.23

Diagrama del funcionamiento del mdulo PWM.......................

53

viii

Figura 2.24

Diagrama mdulo ADC..............................................................

55

Figura 3.1

Inversor monofsico puente completo con salida a filtro LC.....

63

Figura 3.2

Diagrama a bloques de un sistema fotovoltaico con conexin


a red..........................................................................................

64

Figura 3.3

Generacin de disparos complementarios por el DSC.............

66

Figura 3.4

Configuracin del INA129P.......................................................

68

Figura 3.5

Configuracin bsica de un filtro pasabajas Butterworth de


3er orden...................................................................................

69

Figura 3.6

Implementacin del filtro pasabajas Butterworth.......................

70

Figura 3.7

Circuito
implementado
del
optoacoplador
lineal
HCNR200-000E.........................................................................

Figura 3.8

Configuracin tpica del desfasador de 90...............................

Figura 3.9

Desfasador de 90 implementado para el sensado de


corriente.....................................................................................

71
71

72

Figura 3.10

Configuracin tpica de un sumador no inversor.......................

73

Figura 3.11

Implementacin de sumadores para sensado de corriente.......

73

Figura 3.12

Divisor y seguidor de voltaje para el sensado de la red


elctrica.....................................................................................

74

Configuracin implementada del desfasador de 90 pa ra la


seal de la red...........................................................................

75

Figura 3.14

Implementacin de sumadores para sensado de la red............

75

Figura 3.15

Algoritmo del programa para la implementacin de la


transformacin a coordenadas DQ...........................................

78

Figura 3.13

Figura 3.16

Seleccin de librera y configuracin interna del


dsPIC30F2020...........................................................................

79

Figura 3.17

Cdigo para la declaracin de constantes y variables..............

80

Figura 3.18

Cdigo para la declaracin de funciones globales....................

81

Figura 3.19

Funcin main del cdigo............................................................

82

Figura 3.20

Cdigo de la configuracin del ADC..........................................

82

Figura 3.21

Diagrama de muestreo y conversin elegida............................

83

ix

Figura 3.22

Funcin PWM_config................................................................

83

Figura 3.23

Funcin Encendido....................................................................

84

Figura 3.24

Funcin Calculos.......................................................................

85

Figura 3.25

Rutina de interrupcin del ADC.................................................

86

Figura 3.26

Circuito de anlisis para el modelado del sistema....................

87

Figura 3.27

Respuesta del sistema ante un impulso....................................

89

Figura 3.28

Placa de circuito impreso del sistema implementado................

92

Figura 4.1

Disparos complementarios para los transistores.......................

95

Figura 4.2

Disparos alto y bajo de la primera y segunda rama..................

96

Figura 4.3

Tiempo muerto en los disparos de los transistores...................

97

Figura 4.4

Diagramas para la realizacin de pruebas en el inversor.........

97

Figura 4.5

Voltaje de emisor a colector en el transistor..............................

98

Figura 4.6

Forma de onda en la salida del inversor con carga resistiva....

99

Figura 4.7

Voltaje y corriente de salida del inversor con filtro LC...............

99

Figura 4.8

Voltaje y corriente de salida del inversor con filtro LC con


diferentes ndices de modulacin..............................................

100

Figura 4.9

Corriente en la carga y voltaje de sensado...............................

102

Figura 4.10

Voltaje de salida en el INA 129P...............................................

102

Figura 4.11

Voltaje de salida en el filtro pasabajas Butterworth...................

103

Figura 4.12

Seales real y ortogonal del sensado de corriente con offset...

104

Figura 4.13

Seales real y ortogonal del sensado de la red con offset........

104

Figura 4.14

Diagrama de simulacin de la tcnica de transformacin DQ...

105

Figura 4.15

Parte D y Q de la seal transformada.......................................

106

Figura 4.16

Seal antes y despus de aplicar la tcnica de transformacin

106

Figura 4.17

PWM generado para la tcnica de transformacin a


coordenadas DQ.......................................................................

107

Figura 4.18

Seales antes y despus de la aplicacin de la tcnica a


coordenadas DQ.......................................................................

108

Figura 4.19

Diagrama del controlador en coordenadas DQ en Simulink.....

109

Figura 4.20

Seales en DQ del sistema y la referencia para una carga de


30 ...........................................................................................

110

Figura 4.21

Error en coordenadas DQ para una carga de 30 ..................

110

Figura 4.22

Salida del controlador en coordenadas DQ para una carga de


30 ...........................................................................................

111

Figura 4.23

Generacin del PWM para una carga de 30 .........................

111

Figura 4.24

Seal de referencia y salida del sistema para una carga de


30 ...........................................................................................

112

Seales en DQ del sistema y la referencia para una carga de


30 al aplicar una perturbacin...............................................

113

Salida del controlador en coordenadas DQ para una carga de


30 al aplicar una perturbacin...............................................

113

Seal de referencia y salida del sistema para una carga de


30 al aplicar una perturbacin...............................................

114

Seales en DQ del sistema y la referencia para una carga de


70 ...........................................................................................

115

Figura 4.29

Error en coordenadas DQ para una carga de 70 ..................

115

Figura 4.30

Salida del controlador en coordenadas DQ para una carga de


70 ...........................................................................................

116

Seal de referencia y salida del sistema para una carga de


70 ...........................................................................................

117

Seales en DQ del sistema y la referencia para una carga de


70 al aplicar una perturbacin...............................................

117

Salida del controlador en coordenadas DQ para una carga de


70 al aplicar una perturbacin...............................................

118

Seal de referencia y salida del sistema para una carga de


70 al aplicar una perturbacin...............................................

118

Figura 4.25

Figura 4.26

Figura 4.27

Figura 4.28

Figura 4.31

Figura 4.32

Figura 4.33

Figura 4.34

xi

Figura 4.35

Seales en DQ del sistema y la referencia para una carga de


100 .........................................................................................

119

Figura 4.36

Error en coordenadas DQ para una carga de 100 ................

120

Figura 4.37

Salida del controlador en coordenadas DQ para una carga de


100 .........................................................................................

120

Seal de referencia y salida del sistema para una carga de


100 .........................................................................................

121

Seales en DQ del sistema y la referencia para una carga de


100 al aplicar una perturbacin.............................................

121

Salida del controlador en coordenadas DQ para una carga de


100 al aplicar una perturbacin.............................................

122

Seal de referencia y salida del sistema para una carga de


100 al aplicar una perturbacin.............................................

123

Seales en DQ del sistema y la referencia para una carga de


110 .........................................................................................

123

Figura 4.43

Generacin del PWM para una carga de 110 .......................

124

Figura 4.44

Seal de referencia y salida del sistema para una carga de


110 .........................................................................................

125

Figura 4.38

Figura 4.39

Figura 4.40

Figura 4.41

Figura 4.42

xii

LISTA DE TABLAS
Pgina
Tabla 2.1

Tabla 4.1

Estados de conmutacin del inversor monofsico puente


completo.........................................................................................

24

Variacin del ndice de modulacin en el inversor.........................

100

xiii

LISTA DE ACRNIMOS Y ABREVIATURAS


ADC

Convertidor anlogo a digital (Analog to Digital Converter)

PLL

Ciclo de amarre de fase (Phase Locked Loop)

PWM

Modulacin por ancho de pulso (Pulse Width Modulation)

SPWM

Modulacin por ancho de pulso senoidal (Sinusoidal Pulse Width


Modulation)

MSPS

Millones de muestras por segundo (Millions of Samples Per Second)

MIPS

Millones de instrucciones por segundo (Millions of Instructions Per


Second)

PV

Fotovoltaico (Photovoltaic)

Resumen

El objetivo del presente documento es crear una base para futuras investigaciones
relacionadas con la implementacin de sistemas fotovoltaicos interconectados a la
red de distribucin elctrica detallando la realizacin de un prototipo a lazo abierto de
este tipo de sistemas, ste est conformado de un inversor monofsico, la circuitera
necesaria para realizar el sensado y la adecuacin de la corriente de salida del
mismo, as como del voltaje de la red elctrica, la aplicacin de la tcnica de
transformacin a coordenadas DQ en un microcontrolador y el diseo de un control
lineal en el entorno de coordenadas rotatorias DQ. Cada unas de las partes
anteriores son descritas detalladamente y se presentan las pruebas realizadas para
comprobar su correcto funcionamiento dentro de un rango, cabe mencionar que el
sistema fue realizado a escala. Adems se presenta la importancia y la situacin
actual en el pas de las energas renovables haciendo nfasis en la energa solar
fotovoltaica que es en la que se enfoca este proyecto.

xv

El trabajo se encuentra dividido en cuatro captulos:


En el captulo I se hace una breve introduccin al uso de las energas renovables, as
como tambin se exponen los objetivos del presente proyecto y la razn por la cual
se llevo a cabo, adems se delimita el mismo.
El captulo II presenta una investigacin bibliogrfica de los trminos utilizados para
el desarrollo del presente trabajo en los cuales se pueden encontrar las diferentes
energas renovables que se utilizan en la actualidad haciendo nfasis en la energa
solar fotovoltaica, as como una descripcin detallada de los sistemas fotovoltaicos
interconectados y de los elementos que lo componen entre estos se encuentran: el
convertidor de potencia en este caso un inversor monofsico, el sensado y
adecuacin de la corriente del sistema y del voltaje de la red, la tcnica DQ y el
controlador lineal. Adems se realiza una descripcin de las caractersticas del
microcontrolador utilizado.
El captulo III muestra el desarrollo del proyecto realizando el anlisis y diseo de los
elementos que lo componen, mostrando cmo se realiz cada una de las partes, es
decir, la implementacin del convertidor de potencia, el sensado y adecuacin, el
diseo del controlador y la aplicacin de la tcnica de transformacin a coordenadas
DQ en un microcontrolador.
El captulo IV expone las pruebas realizadas a cada elemento del sistema, as como
los resultados de las mismas, comprobando su correcto funcionamiento. En este
adems se detallan las condiciones en que se hicieron dichas pruebas.
El presente trabajo fue realizado en conjunto con el trabajo de tesis Aportacin al
Desarrollo de un Inversor Monofsico para Sistemas Fotovoltaicos Interconectados
con nfasis en una Tcnica de Control Lineal que presenta Sara Yael Angulo
Guzmn y cuya aportacin es fundamental para el desarrollo de este trabajo.

CAPTULO I
Introduccin
La energa elctrica se obtiene tradicionalmente por medio de combustibles fsiles
como lo son el petrleo, el gas natural y el carbn, los cuales tienen un fuerte
impacto ambiental adems de ser energa no renovable, es decir, que la naturaleza
no puede regenerarlos en un perodo de tiempo corto sino que tardara millones de
aos. Por tal motivo, es necesario buscar nuevas formas de obtencin de energa las
cuales tengan un menor impacto ambiental y que sean abundantes en el medio. Uno
de estos recursos es el Sol, sin embargo, los mtodos que existen para su
aprovechamiento son an muy costosos en comparacin con una planta de
obtencin de energa de formas convencionales, adems de que su eficiencia es
baja. A pesar de esto, el inters que se ha mostrado acerca de esta forma de
obtencin de energa se ha visto reflejado en investigaciones y desarrollo de
proyectos para mejorar la eficiencia y reducir los costos en su produccin.

2
CAPTULO I. Introduccin

Una de las principales formas de aprovechamiento de energa solar son los sistemas
fotovoltaicos en los cuales se genera energa elctrica a partir de energa solar por
medio de paneles solares. Estos sistemas son una forma de adecuar la energa para
su uso, ya sea pequeos consumos aislados o con conexin a la red elctrica de
distribucin.
En los sistemas fotovoltaicos se hace uso de los sistemas electrnicos de potencia
con los cuales se realiza el control y la adecuacin de los niveles de voltaje y
corriente aprovechando de esta manera la potencia mxima entregada por el
sistema.
Los sistemas electrnicos de potencia se pueden clasificar en los siguientes tipos:
-

Rectificadores de diodo.

Convertidores de ca-cd (rectificadores controlados).

Convertidores de ca-ca (controladores de voltaje de ca).

Convertidores de cd-cd (convertidores de cd).

Convertidores de cd-ca (inversores).

Interruptores estticos.

Los convertidores ms utilizados en los sistemas fotovoltaicos son los convertidores


de cd y los inversores, con los cuales se puede elevar y convertir la tensin en cd
entregada por el panel fotovoltaico en tensin de ca.
En un sistema fotovoltaico conectado a red es importante y necesario realizar un
control, el cual proporcione estabilidad y un comportamiento acorde a las
caractersticas de la red, tales como frecuencia y potencia, hacindola adecuada
para una conexin a red.
Un control para una seal variante en el tiempo proporciona un comportamiento muy
deficiente. Un mtodo para este tipo de seales es realizar el control de forma lineal
considerando que la seal se encuentra en un punto de operacin en cd, lo que
conlleva a problemas debido a que el punto de operacin es en alterna.

3
CAPTULO I. Introduccin

Otro enfoque ha sido el uso de una estructura de control no lineal que consiste en
que la salida del compensador lineal de voltaje o corriente, que controla el valor rms
de voltaje y de corriente, es multiplicada por una senoidal para proveer control a la
etapa de potencia. Este enfoque produce una distorsin significativa y un alto
contenido de armnicos causando un efecto en la frecuencia de modulacin.
Por lo anterior, es necesario un prototipo de control para este tipo de sistemas, que
sea eficiente y de fcil implementacin, adems de garantizar una ganancia infinita
en la frecuencia fundamental para obtener un buen desempeo del controlador en
estado dinmico y minimizar el error en estado estable.

1.1 Antecedentes tericos


El desarrollo de la tecnologa solar en Mxico es un rea de investigacin en la que,
en comparacin con otros pases como Espaa, Alemania, Japn y Estados Unidos,
es muy nueva. Sin embargo, organizaciones y universidades han mostrado inters en
l desarrollo de proyectos enfocados al aprovechamiento de la energa solar.
Una de las organizaciones civiles ms importantes del pas es la Asociacin Nacional
de Energa Solar (ANES), la cual se encarga de difundir el aprovechamiento de la
energa solar, adems de ser miembro de la Sociedad Internacional de Energa Solar
(ISES, de sus siglas en ingls International Solar Energy Society) siendo sta la
organizacin ms grande del mundo que promueve el uso e implementacin de
energas renovables [1].
Algunas universidades han abierto un rea de investigacin en relacin al uso de
energa solar, una de ellas es la Universidad Autnoma de Mxico (UNAM) la cual
cuenta con un departamento de investigacin de energa solar, el Centro de
Investigacin de Energa (CIE). ste se enfoca en tres reas: Departamento de
Materiales Solares, Departamento de Sistemas Energticos y Departamento de
Termociencias. El primero de ellos estudia el desarrollo de materiales fotovoltaicos,

4
CAPTULO I. Introduccin

de dispositivos pticos y optoelectrnicos, as como la evaluacin de sistemas


fotovoltaicos [2].
En el Centro de Investigacin y Estudios Avanzados del IPN (CINVESTAV-IPN) en la
Seccin de Electrnica del Estado Slido existe un proyecto que consiste en una
planta de generacin de energa fotovoltaica por medio de celdas solares, la cual
tiene una capacidad de 24000 W anuales (sic). Adems se han instalado sistemas
fotovoltaicos integrales en diversas comunidades para telesecundarias, albergues
infantiles del Instituto Nacional Indigenista-SEP, repetidoras para telefona rural,
estaciones meteorolgicas, sistemas de bombeo de agua, y para apoyo docente en
escuelas y universidades [3].
En el Instituto Tecnolgico de Sonora se han instalado 5 paneles solares modelo SR100 de la marca SIEMENS, con capacidad de 100 W cada uno. Se han realizado
proyectos relacionados al seguimiento del mximo punto de potencia as como de la
caracterizacin de los paneles, sin embargo, el desarrollo de sistemas fotovoltaicos
interconectados con base a estos paneles no ha sido realizado [4] y [5].

1.2 Planteamiento del problema


La energa renovable ha tomado gran importancia, as como los mtodos de
obtencin de sta. A pesar de ello el estudio de la energa solar es un campo que no
ha sido completamente explorado en Mxico por lo que no existen muchas
investigaciones sobre el tema.
En Sonora, Ciudad Obregn recibe una insolacin anual de 6.5 kWh/m2
convirtindola en la regin con mayor insolacin del estado [4]. Por lo tanto, esta
zona es propicia para el aprovechamiento y la investigacin de energa solar.
Un mtodo para aprovechar la energa solar son los sistemas fotovoltaicos
interconectados a red, lamentablemente es un sistema de generacin que no ha sido
investigado en su totalidad, a pesar de la importancia que ha tomado ya que con este

5
CAPTULO I. Introduccin

se aporta a la red elctrica la energa producida o bien, para el abastecimiento de


pequeos consumos.
Para un mejor funcionamiento de estos sistemas es necesario el diseo e
implementacin de un control eficiente que permita adecuar la energa producida
para un mayor aprovechamiento de la misma al ser inyectada a la red. Por lo anterior
es necesaria la implementacin de una tcnica de control con la cual se garantice un
factor de potencia unitario al conectar a la red elctrica.

1.3 Objetivo
1.

Disear algunos de los elementos que conforman un sistema fotovoltaico

interconectado para obtener un prototipo del mismo.


1.1

Investigar la tcnica de coordenadas rotatorias DQ para aplicar una


tcnica de control lineal.

1.2

Investigar los distintos tipos de inversores para decidir cual se


implementar de acuerdo a las caractersticas de los mismos y las
necesidades del sistema.

1.3

Investigar mtodos de control lineal para elegir el que mejor se adapte a


los requerimientos del sistema.

1.4

Realizar el modelado del sistema para simular su respuesta.

2. Implementar las etapas del sistema fotovoltaico ya especificadas.


2.1

Implementar un inversor monofsico puente completo para que ste


sirva de interfaz entre la etapa de cd y la de ca.

2.2

Implementar la tcnica de coordenadas DQ en un controlador digital de


seales para controlar el sistema.

2.3

Disear y simular un controlador lineal de acuerdo a las mejoras


especificadas para el sistema.

6
CAPTULO I. Introduccin

3. Realizar pruebas a lazo abierto de las partes del sistema verificando su correcto
funcionamiento.

1.4 Justificacin
Los sistemas fotovoltaicos interconectados son una manera viable de aprovechar la
energa solar, su importancia radica en que la energa obtenida por los paneles
puede ser aprovechada por la carga del sistema y cuando sta no la demande puede
ser inyectada a la red elctrica.
Este trabajo presenta las bases para el desarrollo de un prototipo de un sistema
fotovoltaico interconectado con el diseo de un control digital implementado en
coordenadas rotatorias DQ que puede ser utilizado como punto de referencia para
futuros proyectos relacionados con este tema.

1.5 Delimitaciones
Debido a las caractersticas del sistema, la implementacin de la transformacin a
coordenadas rotatorias DQ se har en un controlador digital dsPIC de Microchip
Technology Inc. el cual se eligi porque sus propiedades lo hacen ideal para cumplir
con los requisitos del sistema. El control lineal ser diseado y probado en el entorno
del software Simulink de MATLAB.
Se realizarn pruebas de forma aislada en cada elemento del sistema llegando a los
lmites de su punto de operacin, verificando su funcionamiento en lazo abierto.

7
CAPTULO I. Introduccin

Referencias
[1]

ANES,

Asociacin

Nacional

de

Energa

Solar,

(Pgina

Web),

http://www.anes.org, Consultada en Noviembre de 2008.


[2]

Universidad Autnoma de Mxico, Centro de Investigacin de Energa,


(Pgina Web), http://xml.cie.unam.mx/xml/investigacion.xml, Consultada en
Noviembre de 2008.

[3]

CINVESTAV, Especialidad de Electrnica del Estado Slido, (Pgina Web),


http://www.sees.cinvestav.mx/, Consultada en Noviembre de 2008.

[4]

PEUELAS Machado Jos ngel, Algoritmo de Seguimiento del Mximo


Punto de Potencia para Sistemas Fotovoltaicos en Cd. Obregn, Sonora,
Tesis de Ingeniero en Electrnica, Instituto Tecnolgico de Sonora, Cd.
Obregn, Sonora, Octubre de 2008.

[5]

MELENDEZ Bertoti Frank Edmundo, Monitoreo de sistema fotovoltaico de dos


posiciones con respecto al ngulo de elevacin en Cd. Obregn, Sonora,
Tesis de Ingeniero en Electrnica, Instituto Tecnolgico de Sonora, Cd.
Obregn, Sonora, Diciembre de 2006.

CAPTULO II
Marco terico
En el presente captulo se exponen los conceptos y trminos empleados durante el
desarrollo de este trabajo, correspondientes a la metodologa utilizada, as como una
explicacin del funcionamiento y caractersticas de los mismos, tales como sistemas
fotovoltaicos interconectados, inversor monofsico, controlador digital de seales,
entre otros. Inicialmente se expone la situacin actual de las energas renovables en
Mxico destacando los proyectos de generacin que mayor contribucin tienen a la
produccin de energa elctrica en el pas. Adems se explican los elementos
necesarios para la implementacin, en un controlador digital de seales, de la tcnica
de transformacin a coordenadas rotatorias DQ para un sistema monofsico y la
aplicacin de una tcnica de control lineal.

9
CAPTULO II. Marco terico

2.1 Energas renovables


La energa renovable es aquella que se obtiene de fuentes naturales de energa que
se consideran inagotables, ya sea que se encuentran en abundancia en el medio o
se pueden regenerar por el mismo.
Desde tiempos remotos el hombre ha aprovechado las fuentes naturales de energa
como lo es el viento en los barcos de vela, los molinos de agua o de viento y la
disposicin de edificios para el aprovechamiento de energa solar.
En los ltimos aos el uso de energas renovables ha tomado gran importancia
debido al impacto ambiental y a la crisis energtica global que actualmente se
presenta, ya que las formas tradicionales de obtencin de energa son las principales
causas de contaminacin y calentamiento global causado por emisiones de CO2
debido a la quema de combustibles fsiles, considerando adems que los recursos
utilizados son finitos. Estos motivos dan pie a desarrollar mtodos con la misma
eficiencia que los tradicionales pero utilizando las fuentes de energa renovable,
dando como resultado la llamada energa limpia, teniendo un impacto ambiental
menor y al ser una fuente infinita de energa se garantiza que siempre existir.
En

la

actualidad

muchos

pases

estn

desarrollando

tecnologa

para

el

aprovechamiento de energas renovables como lo son Alemania, Estados Unidos,


Espaa y Japn siendo los mayores productores de energa limpia a nivel mundial
[1].
En Estados Unidos se est desarrollando un proyecto llamado Future Renewable
Electric Energy Delivery and Management (FREEDM) Systems con el cual se piensa
que se podr satisfacer ms del 50% de la energa que se utiliza diariamente en este
pas por medio de las energas renovables. En este proyecto se plantea cambiar la
forma de distribucin de energa de una forma centralizada a una forma distribuida,
esto es que aparte de la central elctrica se tengan conectados a la red elctrica
sistemas generadores de energa a partir de fuentes renovables situados en una

10
CAPTULO II. Marco terico

casa habitacin o una industria y que stos puedan consumir la energa producida
adems de aportar a la red elctrica [2].
El estudio de las energas alternas en Mxico an es un tema muy reciente y se
cuentan con pocas instalaciones de generacin de esta energa, algunos de ellos son
La Venta en Oaxaca, Guerrero Negro en Baja California Sur, los cuales son fuentes
elicas de energa.
De acuerdo a Comisin Federal de Electricidad (CFE) la generacin de energa en
Mxico por diversas fuentes hasta Septiembre del 2008 se muestra en la figura 2.1,
en la cual se puede observar que las energas alternas ya forman parte de la
produccin anual nacional [3].

Generacin por Fuente de Energa


Geotermia
3.01%
Hidrocarburos
36.79%

Carbn
7.35%
Nuclear
4.32%
Elica
0.10%

Hidralica
16.77%

Productores
Independientes
31.66%

Figura 2.1. Grfica de la generacin de energa en Mxico.

A continuacin se explicarn algunos de los tipos de energa renovable que aparecen


en la grfica anterior: elica, geotrmica, hidrulica, y ms detalladamente la energa
solar ya que es la base para este trabajo.

11
CAPTULO II. Marco terico

2.1.1 Energa elica


La energa elica es la energa que se obtiene del viento, es decir, la energa cintica
generada por las corrientes de aire, la cual es transformada en energa elctrica por
medio de aerogeneradores. El funcionamiento del aerogenerador se basa en que el
viento hace mover las hlices y mediante un sistema mecnico produce que el rotor
del generador se mueva y se genere energa elctrica.
La produccin mundial de energa elica en el 2007 fue de 93.8 GW en ms de 70
pases en los cuales Alemania, Estados Unidos y Espaa son los principales
productores [4].
Mxico es un pas con zonas muy aptas para el desarrollo de centrales elicas, el
Istmo de Tehuantepec es una de las mejores a nivel mundial, en Oaxaca hay zonas
con velocidades del viento medidas a 50 m de altura superiores a 8.5 m/s, con un
potencial de 6.25 GW, en Baja California las mejores zonas estn en las sierras de
La Rumorosa y San Pedro Mrtir. Yucatn y la Riviera Maya tienen suficiente
potencial para sustituir plantas que operan con combustleo, diesel y generadoras de
turbogas [5].
Actualmente las dos principales centrales elicas se encuentran en La Venta,
Oaxaca, y Guerrero Negro, Baja California Sur. La central de La Venta fue la primera
planta elica integrada a la red en Amrica Latina, con una capacidad instalada de
84,875 kW, consta de 105 aerogeneradores, ya que a partir de enero de 2007
entraron en operacin comercial 98 nuevas unidades generadoras. La central de
Guerrero Negro tiene una capacidad de 600 kW y se integra por un aerogenerador
[6].

2.1.2 Energa geotrmica


La energa geotrmica es aquella que se obtiene a travs del calor proveniente del
centro de la Tierra, aprovechando el calor y el agua acumulados en yacimientos
geotrmicos y slo puede generarse en zonas de actividad ssmica y volcnica.

12
CAPTULO II. Marco terico

La energa elctrica se genera por medio de pozos especficamente perforados


extrayendo el agua subterrnea, la cual contiene almacenada energa trmica, que al
llevarla a la superficie se transforma en vapor, el cual es utilizado para generar
energa elctrica.
En Mxico en los aos 50s se construy la primera planta geotermoelctrica de
Amrica en Path, Hidalgo. La segunda planta ms grande del mundo se encuentra
en Cerro Prieto, Baja California la cual es un sistema aislado del Sistema Elctrico
Nacional que produce casi el 50% de la energa elctrica que se distribuye en el
estado. Existen 7 centrales geotermoelctrica con las cuales en agosto del 2008 se
obtuvieron 964.5 MW lo que representa el 3.04% de la electricidad generada a nivel
nacional, demostrando con esto el potencial que tiene el pas en el desarrollo de la
obtencin de energa geotrmica [7].

2.1.3 Energa hidrulica


La energa hidrulica es la que se obtiene a partir de la energa cintica y potencial
de corrientes de agua, saltos de agua y mareas. La principal forma de
aprovechamiento es mediante centrales hidroelctricas en las que se almacena el
agua en represas y con el flujo de la misma se mueven turbinas de un generador
producindose as energa elctrica.
Actualmente en Mxico se encuentran funcionando 64 centrales hidroelctricas que
tienen una capacidad de produccin de 11,054.90 MW [8]. Las dos centrales
hidroelctricas con mayor capacidad se encuentran en Chiapas y una de ellas es la
central de Malpaso, con una capacidad de produccin de 1080 MW y la central
Manuel Moreno Torres con una capacidad de 2400 MW [9].

2.1.4 Energa solar


La energa solar se obtiene a partir de los rayos solares que inciden en la superficie
de la Tierra, esta energa puede ser aprovechada principalmente como energa
trmica y energa fotovoltaica. La primera se utiliza para calentamiento de fluidos por

13
CAPTULO II. Marco terico

medio de colectores, en cambio la energa fotovoltaica es la energa elctrica


obtenida a partir de paneles fotovoltaicos, los cuales estn conformados por celdas
solares, las cuales transforman la energa solar o luminosa en energa elctrica.
Las celdas funcionan bajo el principio del efecto fotoelctrico que seala que al incidir
un haz luminoso en un material semiconductor ste emite electrones, es decir, existe
la transformacin de fotones en electrones.
La energa solar en Mxico es una tecnologa relativamente nueva por lo que los
proyectos que actualmente existen son muy pocos, uno de ellos es una planta hbrida
construida por Comisin Federal de Electricidad (CFE) en San Juanico, Baja
California Sur, la cual est formada por 17 kW de un sistema fotovoltaico, 100 kW de
un sistema elico y un motogenerador diesel de 80 kW. CFE construir un campo
solar de 25 MW en Aguaprieta, Sonora que ser acoplado a un sistema hbrido de
generacin de energa elctrica [9].

2.2 Sistemas fotovoltaicos


El componente bsico de la tecnologa fotovoltaica es la celda fotovoltaica, ya que
mediante arreglos de conexin se forman los paneles fotovoltaicos, y para cubrir
mayores requerimientos de potencia se pueden conectar determinado nmero de
paneles, ya sea en serie o paralelo, para formar un sistema fotovoltaico.
Un sistema fotovoltaico puede estar compuesto de los siguientes subsistemas:
-

Subsistema de captacin de energa.

Subsistema de acumulacin de energa elctrica.

Subsistema de regulacin.

Subsistema de adaptacin del suministro elctrico.

Subsistema de control, medida y proteccin.

14
CAPTULO II. Marco terico

Los sistemas fotovoltaicos se clasifican de acuerdo a la posibilidad de estar o no


conectados a un red elctrica de distribucin pblica, esto es, autnomo e
interconectado.

2.2.1 Sistema fotovoltaico autnomo


En este tipo de sistemas la energa producida se absorbe por el propio sistema o
para el abastecimiento de pequeos consumos y es necesario el uso de bateras,
con su respectivo regulador, para el almacenamiento de la energa y la disponibilidad
de sta no slo cuando exista radiacin solar.
En la figura 2.2 se muestra un esquema de un sistema fotovoltaico autnomo en el
cual el panel fotovoltaico provee de energa elctrica al sistema, el regulador realiza
la funcin de adecuar el voltaje que ser almacenado en el acumulador o batera. El
convertidor es opcional, ya que slo se necesita cuando el voltaje requerido por las
cargas es mayor que el generado por los paneles. Si las cargas requieren de
corriente alterna entonces el sistema necesita de un inversor para que se puedan
alimentar.

Figura 2.2. Esquema de un sistema fotovoltaico autnomo.

Las aplicaciones ms comunes de los sistemas autnomos son:


-

Electrificacin de viviendas alejadas de la red elctrica.

Aplicaciones agrcolas y ganaderas: bombeo de agua, sistemas de riego,


iluminacin de invernaderos y granjas.

15
CAPTULO II. Marco terico

Sealizacin y comunicaciones: navegacin area y martima, sealizacin


de carreteras, repetidores de telecomunicaciones.

Iluminacin pblica de calles.

Sistemas de depuracin de agua, entre otros.

2.2.2 Sistema fotovoltaico conectado a la red elctrica


En estos sistemas la energa total producida, despus de un proceso de adecuacin,
puede ser utilizada por el propio sistema o entregada a la red elctrica ya sea en su
totalidad o slo una parte de ella.
Como se muestra en la figura 2.3 estos sistemas tienen menos componentes que los
autnomos ya que la energa producida no se almacena en bateras sino que en ese
instante es aprovechada por el mismo sistema o entregada a la red elctrica,
tomando en cuenta elementos de medida y proteccin necesarios.

Figura 2.3. Esquema de un sistema fotovoltaico conectado a red.

16
CAPTULO II. Marco terico

Las dos principales aplicaciones de este tipo de sistemas son:


- Centrales fotovoltaicas: En ellas toda la energa producida es entregada a la
red elctrica.
- Sistemas integrados en edificios: Parte de la energa producida satisface el
consumo del edificio en las horas pico, y la energa sobrante en las horas
de menor consumo es inyectada a la red elctrica.
Un sistema fotovoltaico con conexin a red est compuesto de los siguientes
subsistemas:
-

Paneles fotovoltaicos, los cuales se encargan de captar la energa procedente


del sol y transformarla en energa elctrica.

Inversor, ste se encarga de transformar la energa elctrica del sistema para


que pueda ser inyectada a la red elctrica, esto es, que a partir de energa en
corriente continua proporcionada por los paneles fotovoltaicos, sta se
convierte en energa de corriente alterna.

Control, medida y proteccin. Estos son necesarios para el correcto


funcionamiento del sistema de una manera fiable y segura.

En el transcurso de este captulo se abordarn ms a fondo los elementos del


sistema fotovoltaico interconectado descritos anteriormente.

2.3 Energa fotovoltaica


La energa fotovoltaica es la energa elctrica obtenida a partir de paneles
fotovoltaicos, este est conformado por celdas solares las cuales transforman la
energa solar o luminosa en energa elctrica. Las celdas funcionan bajo el principio
del efecto fotoelctrico que seala que al incidir un haz luminoso en un material
semiconductor ste emite electrones, es decir, existe la transformacin de fotones en
electrones.

17
CAPTULO II. Marco terico

2.3.1 Celda fotovoltaica


Las celdas fotovoltaicas son dispositivos que se encargan de obtener energa
elctrica a partir de energa solar. Al incidir el rayo luminoso sobre la superficie del
material semiconductor se produce el efecto fotoelctrico, la energa de los fotones
se absorbe por los electrones haciendo que stos se exciten y provoquen saltos
electrnicos producindose as una diferencia de potencial en los extremos de la
celda.
Las celdas fotovoltaicas se fabrican de material semiconductor el cual puede ser de
silicio monocristalino, policristalino y amorfo. La eficiencia en las celdas de silicio
monocristalino llega a ser del 18% y algunas hasta con 20 aos de vida, estas son
las ms eficientes, mientras que las de silicio policristalino y amorfo son ms
econmicas pero con una eficiencia menor [10].
El circuito elctrico equivalente de una celda solar, como el que se muestra en la
figura 2.4, est conformado por una resistencia en paralelo RP, una resistencia en
serie RS y un diodo. La resistencia RP se debe a las imperfecciones de la unin p-n
del material y ocasiona prdidas de corriente. La resistencia RS es una resistencia
interna de la celda y se debe a la resistencia de los contactos as como la resistencia
propia del material.

Figura 2.4. Circuito equivalente de la celda fotovoltaica.

La curva caracterstica se ve afectada por estos parmetros as como por el factor de


idealidad, el cual es un factor de correccin cuyo valor suele estar entre 1 y 2; para
celdas ideales se toma el valor de 1 y para celdas reales el valor se acerca a 2 [10].

18
CAPTULO II. Marco terico

Figura 2.5. Curva caracterstica I-V de la celda fotovoltaica.

En la figura 2.5 se muestra la curva caracterstica de corriente versus voltaje de una


celda fotovoltaica as como la potencia mxima que se extrae de la celda, Pcel. Los
principales parmetros elctricos que definen el funcionamiento de la celda solar son:
- Corriente de corto circuito, ISC,

cel:

Es la intensidad de la corriente elctrica

cuando no existe carga externa y el voltaje es cero en la celda, por lo tanto


sus terminales estn cortocircuitadas. Se considera la mxima corriente que
se puede extraer de la celda.
- Voltaje en circuito abierto, VOC,

cel:

Se considera el mximo voltaje que se

puede obtener de la celda, cuando esta se encuentra en circuito abierto.


- Potencia mxima, Pcel: Es el punto de operacin en el cual la potencia
entregada a la carga es la mxima y est dada por IP, cel, VP, cel mientras que
en estado de circuito abierto o corto circuito la potencia es nula.
Las caractersticas principales del panel fotovoltaico son el voltaje en circuito abierto
VOC,cel, la corriente en corto circuito ISC,cel y la potencia mxima Pcel, las cuales se
derivan de la curva caracterstica I-V de las celdas que lo componen.

19
CAPTULO II. Marco terico

2.3.2 Panel fotovoltaico


Un panel fotovoltaico es un dispositivo que consiste en un arreglo de celdas solares
que se conectan de tal forma que se consigan las condiciones ptimas para su
integracin a un sistema de generacin de energa fotovoltaica [10].
Para verificar el funcionamiento de los paneles fotovoltaicos se realizan pruebas bajo
las siguientes condiciones estndares. De acuerdo a estas condiciones la potencia
generada se conoce como potencia pico:
-

Irradiancia: 1.000W/m2.

Incidencia de la Luz: Perpendicular al panel.

Distribucin Espectral: AM 1.5

Temperatura de Celda: 25C.

De acuerdo con los requerimientos de voltaje y de corriente los paneles se pueden


conectar en serie o en paralelo, para un estudio ms a fondo consultar [11].

2.3.3 Seguimiento del Punto de Mxima Potencia (PMP)


El mximo punto de potencia en un panel fotovoltaico est dado por el voltaje
mximo y la corriente mxima con las cuales se obtiene la potencia mxima
entregada a la carga. Los mtodos de seguimiento del mximo punto de potencia se
aseguran de que el sistema permanezca en este punto, obteniendo as la mayor
cantidad de energa generada por los paneles.
Un sistema de seguimiento del mximo punto de potencia utiliza un convertidor cd-cd
en la salida del panel, as como algoritmos de control para ubicar al panel en el PMP.
Los algoritmos ms utilizados son:
-

Perturbar y Observar.

Conductancia Incremental.

Por Retroalimentacin en dP/dV o dP/dI.

20
CAPTULO II. Marco terico

Si se desea un estudio ms detallado consultar [11] y [12].

2.4 Inversores
Un inversor es un convertidor que tiene la funcin de cambiar un voltaje de entrada
de corriente directa (cd) a un voltaje simtrico de salida de corriente alterna (ca), es
decir, transfieren potencia de una fuente de cd a una carga de ca, con la magnitud y
frecuencia deseadas [13]. El objetivo del inversor es crear corriente alterna cuando
slo se encuentra disponible una fuente de corriente directa. Idealmente el voltaje de
salida de un inversor debera ser senoidal; sin embargo, en la realidad el voltaje de
salida contiene una serie de armnicos los cuales afectan a la seal deformndola y
hacindola de forma cuadrada, mediante tcnicas de conmutacin se puede reducir
el contenido de armnicos de forma notable mejorando la forma de onda de la seal.
Los usos ms comunes de los inversores en la industria son:
-

Impulsores de motor de ca y velocidad variable.

Calentamiento por induccin.

Fuentes de alimentacin de reserva.

Fuentes de alimentacin ininterrumpibles.

Filtros activos para eliminacin de armnicos.

Generacin esttica de potencia reactiva.

Compensacin esttica de potencia reactiva

La clasificacin de los inversores en sentido amplio se divide en dos tipos: inversores


monofsicos e inversores trifsicos. Debido a la naturaleza de este proyecto se
utilizar un inversor monofsico, por lo que slo ste ser desarrollado. Para una
consulta ms a detalle acerca de inversores trifsicos ir a referencias [13] y [14].
Las dos principales topologa de inversores monofsicos son los siguientes:

21
CAPTULO II. Marco terico

Puente Completo
Inversores Monofsicos
Medio Puente

El principio de operacin de los inversores monofsicos se puede explicar con la


figura 2.6a el cual es un diagrama de la topologa medio puente. El circuito consiste
en dos transistores Q1 y Q2. Cuando slo se enciende el transistor Q1 durante la
mitad del perodo de conmutacin 2, el voltaje de salida V0 en la carga es 2. Al
encender el transistor Q2 en un tiempo 2, el voltaje presente en la carga es 2.

Si Q1 y Q2 se encienden al mismo tiempo se produce un corto circuito por lo que ste


es un estado no vlido del inversor. Para evitar este estado se deben tomar en
cuenta los tiempos de conmutacin y para esto se implementa lo que se denomina
tiempo muerto, que es un tiempo entre el encendido y apagado de los transistores en
donde los dos se encuentran apagados. En la figura 2.6b se muestran las formas de
onda de un inversor monofsico con carga resistiva.

Figura 2.6. Circuito y formas de onda de un inversor monofsico medio puente. a) Circuito,
b) Formas de onda con carga resistiva.

22
CAPTULO II. Marco terico

En un inversor monofsico puente completo la potencia que se genera es cuatro


veces mayor que en un medio puente y la componente fundamental es el doble [13].
Por esta razn para este proyecto la topologa elegida es la de puente completo, en
la siguiente seccin se describirn ms a detalle sus caractersticas.

2.4.1 Inversor monofsico puente completo


Un inversor monofsico puente completo consiste en cuatro transistores que se
encienden y se apagan de forma peridica generando una seal en corriente alterna.
El voltaje de salida que puede presentarse en la carga es +Vcc, -Vcc y cero
dependiendo de los transistores que estn encendidos.
De acuerdo a la figura 2.7 en la que se muestran los estados de conmutacin del
inversor, se observa que al estar cerrados los transistores S1 y S2, el voltaje reflejado
en la carga es +Vcc. Cuando S3 y S4 se encienden, el voltaje presente en la carga es
Vcc. Para el caso en que S1 y S3, o S2 y S4 estn encendidos el voltaje a travs de la
carga ser cero.
Un aspecto importante a considerar es que los transistores de una sola rama nunca
deben conducir al mismo tiempo, ya que si llegara a presentarse este caso la fuente
de cd se cortocircuitara afectando tambin a los transistores.
Como se observa en la figura 2.7a, a cada transistor le corresponde un diodo en
antiparalelo, estos son conocidos como diodos de retroalimentacin. Su importancia
radica en que proveen un camino a la corriente cuando se tiene una carga reactiva y
por consiguiente almacena energa. Al estar el transistor abierto, si la corriente no
encuentra un camino de regreso a la fuente de cd, al volver al modo de conduccin
se produce un choque de niveles lo que causa un dao a la fuente de cd, al transistor
y a la carga.

23
CAPTULO II. Marco terico

Figura 2.7. Circuito y estados de conmutacin del inversor puente completo a) circuito, b) S1 y S2
cerrados, c) S3 y S4 cerrados, d) S1 y S3 cerrados, e) S4 y S2 cerrados.

En la figura 2.8 se muestran las formas de onda de la conmutacin de los


transistores y se puede observar que los disparos son complementarios, adems de
existir un tiempo muerto, que es un tiempo en que todos los transistores estn
desactivados, esto es para evitar que los transistores de una sola rama se activen al
mismo tiempo provocando un corto circuito que dae a los componentes del sistema.
Por ltimo se muestra la seal del voltaje en la carga, la cual es resultado de las
conmutaciones de los transistores presentndose + VCC.

24
CAPTULO II. Marco terico

Figura 2.8. Formas de onda de un inversor monofsico.

Los estados de conmutacin del inversor monofsico puente completo estn dados
en la tabla 2.1, los transistores se comportan como dispositivos de conmutacin, S1,
S2, S3 y S4. Si los transistores Q1 y Q2 estn encendidos al mismo tiempo, el estado
de conmutacin es 1, en caso contrario es 0.
Tabla 2.1 Estados de conmutacin del inversor monofsico puente completo.
Estado

S1 y S2 estn cerrados, y

Estado

Estado de

No.

interruptor

10

vao

vbo

vo

conducen

Vs/2

-Vs/2

Vs

01

-Vs/2

Vs/2

-Vs

11

Vs/2

Vs/2

00

-Vs/2

-Vs/2

D4 y S2, Si io > 0
S4 y D2, Si io < 0

S3 y S1 estn abiertos
S1, S2, S3 y S4 abiertos

S1 y D3 , Si io> 0
D1 y D3, Si io < 0

S4 y S2 estn abiertos
S4 y S2 estn cerrados, y

D1 y D3, Si io > 0
S4 y S3, Si io < 0

S1 y S2 estn abiertos
S1 y S3 estn cerrados, y

S1 y S2, Si io> 0
D1 y D2, Si io < 0

S3 y S4 estn abiertos
S4 y S3 estn cerrados, y

Componentes que

off

-Vs/2

Vs/2

-Vs

D4 y D3, Si io > 0

Vs/2

-Vs/2

Vs

D4 y D2, Si io > 0

25
CAPTULO II. Marco terico

2.4.2 Filtro LC
Para la obtencin de una seal senoidal a la salida del inversor es necesario situar
un filtro pasabajas que elimine los armnicos a altas frecuencias permitiendo slo el
paso de la frecuencia fundamental.

Figura 2.9 Diagrama general de un inversor con filtro y armnicos a eliminar por el mismo.

Para el diseo de un filtro se debe tomar en cuenta la carga del inversor, la


frecuencia de conmutacin y frecuencias que se desean eliminar. Debido a su
simplicidad y su eficacia el filtro LC es el ms utilizado, sin embargo, si la frecuencia
de corte del mismo se coloca muy cerca de la frecuencia fundamental puede llegar a
atenuarla.
En la figura 2.9, se observa un diagrama general de un inversor con salida a un filtro
pasabajas LC, el cual consiste en un inductor en serie y un capacitor en paralelo, la
seal con carga resistiva antes y despus de filtrarse as como los armnicos que se
deben eliminar o atenuar.
La relacin entre la frecuencia de corte y los componentes del sistema se definen
mediante la siguiente ecuacin:

26
CAPTULO II. Marco terico

 =

 = 2

(2.1)
(2.2)

donde 0 es la frecuencia de corte del filtro (en radianes), L es el inductor, C el


capacitor del filtro y fo la frecuencia de corte (en Hertz).

2.4.3 Elementos de conmutacin


Los dispositivos semiconductores son los que se encargan de conmutar para dar
forma a la seal de salida de tal manera que sea la deseada. En la eleccin de estos
dispositivos se debe tomar en cuenta las principales caractersticas del sistema
como son voltaje y corriente mximos as como frecuencia de conmutacin, tambin
se deben tomar en cuenta las caractersticas de conmutacin del dispositivo a
utilizar.
Los elementos de conmutacin ms comunes son los transistores de potencia, los
que se utilizan para el diseo de inversores son los siguientes:
-

MOSFET (por sus siglas en ingls Metal Oxide Semiconductor Field-Effect


Transistors).

IGBT (por sus siglas en ingls Insulated-Gate Bipolar Transistors).

BJT (por sus siglas en ingls Bipolar Junction Transistors).

GTO (por sus siglas en ingls Gate-Turn-Off Thyristor).

SCR (por sus siglas en ingls Silicon Controlled Rectifier).

El dispositivo semiconductor de potencia elegido es el MOSFET por lo que en la


siguiente seccin se har una descripcin general de sus caractersticas. Si se desea
ms informacin acerca del mismo as como de otros dispositivos semiconductores
consultar [13].

27
CAPTULO II. Marco terico

2.4.3.1 MOSFET
El transistor de efecto de campo basado en tecnologa MOS (Metal Oxido
Semiconductor), mejor conocido como MOSFET por sus siglas en ingls Metal Oxide
Semiconductor Field Effect Transistor, es un dispositivo controlado por voltaje el cual
slo requiere una pequea corriente de entrada en la compuerta para ser activado
[13].
En aplicaciones de baja potencia y alta frecuencia es muy utilizado ya que presenta
una velocidad de conmutacin muy alta as como tiempos de conmutacin muy bajos
en el orden de los nanosegundos, lo que provoca menores prdidas de conmutacin.
Este dispositivo presenta las siguientes ventajas:
-

Alta impedancia de entrada (Z 109 - 1011 ).

Controlado por voltaje.

Circuito de manejo simple.

Operacin ms lineal y menos armnicos

Bajas prdidas por conmutacin.

Alta velocidad de conmutacin.

Algunas de las desventajas al usar estos dispositivos son:


-

Alta resistencia de encendido.

Baja transconductancia.

Tiene problemas de descarga electrosttica.

Requieren de cuidados especiales en su manejo.

Es relativamente difcil protegerlos en condiciones de falla por corto


circuito.

28
CAPTULO II. Marco terico

2.4.4 Tcnicas de modulacin


En aplicaciones de baja potencia, es aceptable que se utilicen inversores con voltaje
de onda cuadrada, sin embargo, en casos de alta potencia es necesario que el
voltaje de salida sea senoidal. El uso de tcnicas de conmutacin es necesario para
reducir el contenido de armnicos en el voltaje de salida de los inversores buscando
as, una menor deformacin de la seal para un mayor acercamiento a una onda
senoidal.
Como se mencion, en aplicaciones de potencia es necesario controlar el voltaje de
salida de los inversores por lo que se necesita hacer frente a las variaciones de
voltaje de entrada de cd, regular el voltaje del inversor y satisfacer los requisitos de
control de voltaje y frecuencia constantes. En inversores monofsicos el mtodo ms
comn para controlar la ganancia del inversor y el voltaje de salida es incorporar
control por modulacin por anchura de pulso (PWM, por sus siglas en ingls Pulse
Width Modulation). Las tcnicas de modulacin ms utilizadas son:
-

Modulacin por ancho de un solo pulso.

Modulacin por ancho de pulsos mltiples.

Modulacin por ancho de pulso senoidal (SPWM, por sus siglas en ingls
Sinusoidal Pulse Width Modulation).

Modulacin por ancho de pulso senoidal modificado.

Control por desplazamiento de fase.

A continuacin de describir la tcnica de modulacin ancho de pulso senoidal, por lo


que si se requiere de una investigacin ms detallada ir a referencia [13].
2.4.4.1 Modulacin por Ancho de Pulso Senoidal (SPWM)
La modulacin por ancho de pulso senoidal hace variar la anchura de cada pulso en
proporcin con la amplitud de una onda senoidal. Comparando una onda senoidal de
referencia con una onda portadora triangular con cierta frecuencia se genera el
PWM.

29
CAPTULO II. Marco terico

La frecuencia de salida del inversor est determinada por la frecuencia de la seal de


referencia y con la amplitud de sta se controla el ndice de modulacin, en
consecuencia el voltaje de salida del inversor. La seal de la portadora determina la
cantidad de pulsos en el PWM.
En la figura 2.10 se observa que la seal resultante de la comparacin de una seal
senoidal con una seal triangular es una variacin de pulsos controlada por la seal
de referencia. Tambin se puede apreciar el tiempo muerto entre cada transicin de
las seales complementarias del PWM el cual es necesario para evitar dao al
sistema.

Figura 2.10 Esquema de generacin de la modulacin SPWM.

2.5 Control, medicin y proteccin


Una parte importante de un sistema interconectado es el control, medicin y
proteccin, los cuales se encargan de que el sistema tenga un funcionamiento fiable

30
CAPTULO II. Marco terico

y seguro. Esto se logra a travs de la implementacin de dispositivos que realicen


estas funciones.

2.5.1 Control
El control depende de los requerimientos del sistema ya que ste es el que determina
cuales son las funciones a realizar entre las cuales estn: registro de algunos
parmetros de la instalacin tales como temperatura, intensidad, tensin, demanda y
suministro de potencia, as como, los parmetros a controlar en la parte de inversin
de la energa y conexin a red.

2.5.2 Medicin
Al conectar un sistema fotovoltaico a la red elctrica es necesario instalar un medidor
bidireccional el cual permita conocer la cantidad de energa entregada a la red as
como la energa consumida por el sistema durante su perodo de funcionamiento.
Este dispositivo puede ser electromecnico o electrnico y depender del tipo de
lnea al cual se inyectar energa, monofsico o trifsico. Los medidores realizan la
lectura en kWh o KVArh entregada o consumida.

2.5.3 Proteccin
La proteccin en sistemas con conexin a red permite un funcionamiento con un alto
nivel de seguridad tanto para las personas como para los dispositivos que lo
componen. Por lo tanto, debe protegerse a s mismo contra fallas tanto en el lado de
la red elctrica como en el lado de corriente directa. Las protecciones se sitan
principalmente en los paneles, el inversor y en el lado de ca.

2.5.3.1 Proteccin en paneles fotovoltaicos


Las fallas ms comunes que se presentan en los paneles son:

31
CAPTULO II. Marco terico

Falla a tierra. Esta es causada por factores como rayos UV, humedad, calor,
envejecimiento, daos durante la instalacin. Produciendo descargas
elctricas as como prdidas de potencia.

Circuito abierto. Este se debe a una mala instalacin o componentes


inadecuados lo que causa prdida en la potencia y posibles arcos elctricos.

Sobrevoltaje directo e inducido. Se producen debido a descargas atmosfricas


y como consecuencia se presentan daos a los dispositivos y equipo
electrnico.

Un mtodo de proteccin para los paneles son los diodos de bloqueo, ste consiste
en colocar diodos en serie con la conexin de paneles protegindolos contra
corriente en sentido inverso causados por fallas de tierra y tambin evitando daos al
cableado de cd por sobrecorriente.
Una forma muy comn de proteger a los equipos y a personas contra fallas de
aislamiento es la puesta a tierra del equipo en la cual todas las partes metlicas que
no forman parte del circuito elctrico son conectadas a un solo punto de tierra del
lado de cd. Si existiera un contacto de un conductor con alguna estructura metlica
sta se mantiene en contacto con tierra, evitando riesgos de contacto indirecto entre
el personal y la estructura del sistema [10].
2.5.3.2 Proteccin en el inversor (Islanding).
Debido a que el inversor es la interfaz entre la etapa de cd y ca se deben considerar
medidas de proteccin adecuadas para evitar daos en ambas etapas, adems de
sus propios componentes.
El efecto islanding se presenta cuando el sistema contina energizando una parte de
la red elctrica la cual est desconectada produciendo daos a los equipos del
usuario y al inversor mismo, de manera que se puede comprometer la seguridad, el
restablecimiento del servicio y la fiabilidad del equipo.

32
CAPTULO II. Marco terico

En una configuracin esquemtica de un sistema fotovoltaico como el de la figura


2.11, el sistema est conformado por una fuente de generacin de energa (PV), un
inversor y un punto de conexin, Point of Common Coupling (PCC), entre la carga del
usuario y la red elctrica. Cuando el interruptor se abre y no existe conexin a la red,
el sistema contina alimentando a las cargas del usuario producindose el efecto
islanding.

Figura 2.11 Diagrama esquemtico de un sistema fotovoltaico conectado a red.

Podra presentarse la situacin en que la energa consumida en las cargas del


sistema coincida con la tensin de la red elctrica, por lo que la desconexin de la
red es difcil de detectar, lo que hace que este sea un factor importante al momento
de aplicar un mtodo anti-islanding.
Los sistemas fotovoltaicos deben ser provistos de sistemas de proteccin de cambios
en el nodo PCC de tensin y frecuencia que se encuentren fuera de los lmites
establecidos, de esta manera se detecta una falla en la conexin a red, causando
que el inversor deje de suministrarle energa.
Las causas ms comunes que generan el efecto islanding son:
-

Cambios repentinos de la red y sus cargas.

Desconexin de la red elctrica por mantenimiento.

Fallas humanas.

33
CAPTULO II. Marco terico

Accidente natural.

Las principales razones por las cuales se debe tener en cuenta el efecto islanding
son por la seguridad, responsabilidad y mantenimiento de la calidad de la energa
suministrada a los usuarios. Otros motivos son:
-

El equipo del usuario puede sufrir daos debido a que la red no controla la
tensin y la frecuencia.

Debido a que algunas lneas siguen activas, cuando supuestamente se


encuentran desconectadas, el efecto islanding puede suponer un peligro para
las personas que estn en contacto con el sistema.

Este efecto puede interferir en la reconexin del sistema.

Los mtodos para evitar el efecto islanding son los siguientes:


-

Mtodos activos: Se basa en perturbar y observar el circuito para determinar si


la red elctrica est conectada, si esto no es as el inversor cesa la
conversin. Algunos de estos mtodos son Medicin de Impedancia, Active
Frequency Drift y Frequency Jump.

Mtodos pasivos: En este se monitorizan los parmetros del sistema, si alguno


de ellos se encuentran fuera los lmites permitidos se interrumpe la actividad
del inversor. Algunos mtodos son Sobre/Sub Tensin, Sobre/Sub Frecuencia,
Deteccin de Armnicos de Corriente y Voltaje.

Para una consulta ms detallada ir a referencias [10] y [14].

2.6 Transformacin a coordenadas rotatorias DQ


En sistemas trifsicos, un control convencional es la realizacin de la transformacin
a coordenadas DQ, en el cual las tres fases del convertidor trifsico se convierten a
coordenadas rotatorias para balancearse llegando a ser valores de cd. En
coordenadas DQ, la compensacin de voltaje o corriente del sistema proporcionan
fcilmente un control infinito de ganancia en la frecuencia fundamental. A pesar de

34
CAPTULO II. Marco terico

que en un convertidor trifsico la transformacin a DQ y el diseo del controlador son


simples y efectivos, en un convertidor monofsico no se puede aplicar directamente,
ya que en el sistema trifsico era necesario balancear tres variables para mejorar el
punto de operacin en estado estable en cd y en un monofsico slo est disponible
una fase.
La implementacin de un control en coordenadas rotatorias DQ para un convertidor
monofsico fue patentada por Richard S. Zhang en Octubre de 2001, en ste se
ofrece una solucin

para controlar convertidores de potencia en los cuales las

seales son de corriente alterna, permitiendo con la transformacin que las seales
sean constantes garantizando una mayor eficiencia en el control del sistema [15].
Una alta ganancia de control en el punto de operacin en estado estable es deseable
para convertidores de potencia para minimizar el error en estado estable y para
mejorar el desempeo en estado dinmico. Para un convertidor cd/cd un control
infinito de ganancia en el punto de operacin estable puede obtenerse tericamente
usando un compensador Integral-Proporcional (PI). Para lograr esto en convertidores
monofsicos es difcil de llevar a cabo ya que las variables de voltaje y/o corriente del
sistema son variantes en el tiempo.
Para aplicar la transformacin a coordenadas rotatorias DQ en un inversor
monofsico se deben establecer las variables de estado del circuito ortogonal
imaginario, el cual se obtiene de un aumento del circuito original, como se muestra
en la figura 2.12. El circuito imaginario ortogonal comprende los mismos dispositivos
que el circuito real, sin embargo, las variables de estado la corriente del inductor (II) y
el voltaje del capacitor (VI) en estado estable tienen un retraso de 90 con re specto a
sus correspondientes en el circuito real, la corriente del inductor (IR) y el voltaje del
capacitor (IR). Esto es que cada variable del circuito imaginario XI es ortogonal a su
correspondiente en el circuito real XR como se ilustra en la figura 2.12.

35
CAPTULO II. Marco terico

Figura 2.12. Circuito real e imaginario de un convertidor monofsico.

La variable en estado estable del circuito real, XR y la variable correspondiente del


circuito imaginario XI, se pueden expresar como:
XR = XM cos (t + )

XI = XM sen (t + )

donde:
XM es el valor pico de la forma de onda senoidal.
es la fase inicial.
es la frecuencia fundamental.

(2.3)

36
CAPTULO II. Marco terico

Figura 2.13. Variables del circuito real e imaginario, XR y XI.

La matriz de transformacin a coordenadas rotatorias es la siguiente:


cos () sin ()
T = 

sin () cos ()

(2.4)

Aplicando la matriz (2.4) a las variables de estado estacionario de los circuitos real e
imaginario resulta en la siguiente matriz:
cos
X!
X
X  = T  #  = X % & sin (
X$
"

(2.5)

donde las variables rotatorias XD y XQ pueden representar cualquiera de los voltajes y


las corrientes en DQ.
Las variables en coordenadas rotatorias se convierten en valores en cd, como se
muestra en la matriz (2.4) y en la figura 2.14. De esta manera la transformacin en
coordenadas DQ se aplica a un convertidor de potencia monofsico siempre y
cuando el circuito imaginario est disponible.

37
CAPTULO II. Marco terico

Figura 2.14. Variables del circuito real en DQ.

La matriz de transformacin inversa de coordenadas rotatorias a las variables real e


imaginaria del circuito, se expresa como:
T)*+  = 

cos (t) sin (t)



sin (t) cos (t)

(2.6)

Dado que las variables del circuito imaginario no existen, stas se obtienen utilizando
las variables del circuito real con un retraso de 90 o un cuarto de ciclo, como se
muestra en la figura 2.15 que adems comprende el desarrollo de la transformacin a
DQ.
Para realizar la transformacin se aplica la matriz (2.4) a las variables XR y XI del
sistema dando como resultado las variables XD y XQ. Con las seales transformadas
es posible el diseo y la aplicacin de un controlador lineal el cual se desarrolla en la
seccin 2.7.
Las seales compensadas en DQ se transforman a coordenadas estacionarias por
medio de la matriz de transformacin inversa (2.6), obteniendo los ciclos tiles de los
circuitos real e imaginario, se descarta la ltima y se aplica slo la parte real DR.

38
CAPTULO II. Marco terico

Figura 2.15. Diagrama a bloques de la transformacin a coordenadas rotatorias.

2.7 Control digital


Con el desarrollo de la tecnologa digital se ha hecho posible la utilizacin de los
controladores discretos los cuales se utilizan para la optimizacin de sistemas, ya
que por su flexibilidad permiten la implementacin de distintas estrategias de control
a bajo costo. Los controladores analgicos estn rgidamente unidos al diseo del
hardware, lo que los hace inviables a cualquier cambio en su algoritmo de control sin
que haya que redisearlo completamente. Este inconveniente se resuelve con el uso

39
CAPTULO II. Marco terico

de microcontroladores y el uso de software para implementar controladores digitales


los cuales son cada vez ms rpidos y precisos.
En un sistema de control discreto la seal de salida se muestrea cada cierto tiempo y
se discretiza mediante un convertidor analgico/digital. Esta informacin se procesa y
se convierte nuevamente a analgica mediante un convertidor digital/analgico. Por
lo tanto internamente, el microcontrolador se independiza del tipo de seal con que
est trabajando y ve todas las magnitudes como una serie de valores discretos [16].

Figura 2.16. Diagrama a bloques de un sistema de control digital.

Un esquema general de un sistema de control digital se muestra en la figura 2.16 la


cual est formada de los siguientes elementos:
-

Muestreador: Convierte una seal continua en una seal discreta, este


elemento depende del tiempo de muestreo T que es el tiempo en que se
muestrea la seal de salida.

Convertidor A/D: Convierte una seal analgica en una seal digital con
datos codificados, normalmente estn dados en binario.

Convertidor D/A: Convierte una seal digital en una seal analgica.

Transductor o sensor: Capta o mide una determinada propiedad fsica


convirtindola en una magnitud elctrica, voltaje o corriente.

Algunas de las caractersticas bsicas del control digital son las siguientes:
-

No existe lmite en la complejidad del algoritmo.

Facilidad de ajuste y cambio.

40
CAPTULO II. Marco terico

Exactitud y estabilidad en el clculo debido a que no existen otras fuentes


de error.

No siempre se justifica un control digital ya que existe un costo mnimo que


lo hace inaplicable para un nmero reducido de variables.

Tendencia al control distribuido o jerrquico. Se ha pasado de la idea de


usar un nico microcontrolador para toda una planta a la de distribuir los
dispositivos inteligentes por variable o grupos de stas e ir formando
estructuras jerrquicas.

Al trabajar con sistemas discretos se manejan seales que toman valores slo en los
instantes de tiempo obtenidos por el proceso de muestreo. Estas seales se obtienen
al muestrear una seal continua x(t), slo en los instantes de muestreo, para obtener
la seal muestreada x(kT), donde T es el periodo de muestreo.
Un aspecto fundamental en el anlisis de los sistemas continuos y discretos de
control es el estudio de la estabilidad de los mismos. Pero adems de exigir a los
sistemas una estabilidad absoluta, se pretende que tengan unas caractersticas
dinmicas y estticas que se reflejan en el rgimen transitorio y permanente de la
respuesta. Un sistema discreto es estable si todos los polos del sistema estn dentro
del crculo unitario. Cualquier polo fuera del mismo har al sistema inestable.
La transformada Z es la herramienta fundamental para el anlisis de los sistemas
discretos del mismo modo que en los sistemas continuos se recurre a la
transformada de Laplace. La transformada Z convierte las ecuaciones en diferencias
en ecuaciones algebraicas en funcin de la variable z. En el caso de los sistemas
discretos, la estabilidad de los mismos est dado por la localizacin de los polos en el
plano z.
La posicin de los polos y ceros en lazo cerrado de un sistema discreto depender
del periodo de muestreo T. Un cambio de valor de ste parmetro modificar las
posiciones de los polos y ceros en el plano z, de manera que cambiar el
comportamiento del sistema.

41
CAPTULO II. Marco terico

En el caso de los sistemas continuos existen parmetros que definen el


comportamiento dinmico del sistema tales como tiempo de estabilizacin,
oscilacin en estado estable, mximo sobreimpulso, entre otros. As tambin, en los
sistemas discretos se tienen caractersticas dinmicas equivalentes a las de los
sistemas continuos, estos se definen a continuacin y pueden ser apreciados en la
figura 2.17:

Figura 2.17. Caractersticas dinmicas de un sistema discreto.

Intervalo de subida nr : Es el nmero de instantes que transcurren desde


que la salida pasa del 10% al 90% de su valor final en un sistema
sobreamortiguado y para un sistema subamortiguado el rango puede
establecerse del 5% al 95% o del 0% al 100%.

Intervalo de pico np: Es el nmero de instantes que transcurren hasta que


la salida alcanza su mximo valor por encima del valor final.

42
CAPTULO II. Marco terico

Intervalo de retardo nd: Es el nmero de instantes que transcurren hasta


que la salida alcanza la mitad de su valor final.

Pico de sobreoscilacin Mp: Es la amplitud sobre pasada con respecto al


valor final de la salida, el cual se expresa en porcentaje.

Intervalo de establecimiento ns: Es el nmero de instantes que transcurren


hasta alcanzar la salida el primer valor que queda acotado dentro de una
franja en torno al valor final y se suele establecer en el 2% o el 5%.

Estos parmetros as como la localizacin de los polos del sistema son necesarios en
el diseo de un controlador, ya que con ste se mejora el comportamiento del mismo.
Existen diversas tcnicas para el diseo pero una de las comunes y ms fciles de
implementar, adems de efectivo, es el controlador Proporcional Integral Derivativo
(PID) en el cual se enfoca este trabajo y se har una explicacin del mismo.

2.7.1 Control PID


El controlador PID es un controlador realimentado cuyo propsito es hacer que el
error en estado estacionario e(t), entre la seal de referencia y la seal de salida de
la planta y(t), sea cero lo que se logra mediante el uso de la accin integral. Adems
el controlador tiene un efecto predictivo sobre la salida del proceso a travs de la
accin derivativa.
Un controlador PID est compuesto de tres partes como se observa en la figura 2.18
las cuales son la parte proporcional, integral y derivativa, cada una de ellas tiene
diferentes efectos en la respuesta de la planta. La parte proporcional controla el error
en estado estable, se encarga de que ste sea lo ms prximo a cero mediante el
producto entre la seal de error y una constante proporcional. El propsito de la parte
integral es disminuir y eliminar el error en estado estable. La parte integral se agrega
a la proporcional para obtener una respuesta estable y sin error en estado
estacionario, a esto se le conoce como controlador PI. La parte derivativa se encarga
de corregir el error proporcionalmente con la misma velocidad que se produce,

43
CAPTULO II. Marco terico

evitando que el error incremente, adems, su inclusin en el controlador afecta el


tiempo de estabilizacin del sistema hacindolo menor.

Figura 2.18. Esquema de un controlador PID.

La planta se representa mediante un modelo matemtico con el cual se pueden


aplicar diversas tcnicas de diseo de control que permitan determinar los
parmetros del controlador con los cuales se cumplan las especificaciones en estado
dinmico y estable deseadas, sin embargo, en algunos casos cuando no es posible
obtener un modelo matemtico de la planta es necesario recurrir a los enfoques
experimentales para la sintonizacin de los controladores PID [17].
Existen diversos mtodos para encontrar los coeficientes para un controlador de este
tipo, uno de ellos muy til para sistemas de control lineal es el mtodo del lugar de
las races, ya que ste indica la forma en que hay que modificar la posicin de polos
y ceros a lazo abierto para que el sistema responda de la manera deseada, este
mtodo se describe a continuacin.

2.7.2 Lugar de las races


Los polos de lazo cerrado de un sistema determinan su respuesta transitoria por lo
que es importante ubicar los polos de lazo cerrado en el plano s, el diseo se realiza

44
CAPTULO II. Marco terico

a partir de los polos de lazo abierto ajustndolos para que al cerrar el lazo stos
queden en las posiciones deseadas del plano s [17].
Los polos de lazo cerrado son las races de la ecuacin caracterstica, para
encontrarlos se debe descomponer en factores el polinomio caracterstico. W. R.
Evans desarroll un mtodo para encontrar las races de la ecuacin caracterstica el
cual se denomina mtodo del lugar de las races. ste consiste en un procedimiento
en el cual se trazan las races de la ecuacin caractersticas para todos los valores
de un parmetro del sistema, generalmente la ganancia. Es un mtodo grfico que
permite conocer la naturaleza del sistema en lazo cerrado.
Los pasos a seguir para la obtencin del controlador del sistema es establecer los
polos de lazo abierto de acuerdo a la ecuacin caracterstica as como los
parmetros a modificar del sistema, esto es obtener los polos deseados. Ya que se
han establecido estos parmetros, se sitan en la grfica del lugar de las races. Se
obtiene la aportacin angular de los polos deseados respecto a los polos propios del
sistema, con la suma de los ngulos se obtiene la aportacin angular de los polos del
controlador. Con lo anterior, se sita sobre la grfica y se obtiene la ganancia
necesaria para que el sistema responda con base a los parmetros establecidos.
Este mtodo es utilizado para sistemas en tiempo continuo as como para sistemas
en tiempo discreto, slo habr una modificacin en el lmite de la estabilidad el cual
se define por el eje j en el plano s y el crculo unitario en el plano z.
Para una obtencin ms fiable del lugar de las races se han establecido las
siguientes reglas, con las cuales mediante la localizacin de puntos y asntotas
particulares, al calcular los ngulos de partida de los polos complejos y los ngulos
de llegada a los ceros complejos, es posible construir el lugar geomtrico de las
races.
1. Obtener la ecuacin caracterstica. Se factoriza la funcin de transferencia en
lazo abierto y se localizan los polos y ceros.

45
CAPTULO II. Marco terico

2. Determinar los puntos de inicio y terminacin del lugar geomtrico de las


races. Los puntos en el lugar geomtrico de las races que corresponden a
K=0 y los ceros a lazo abierto corresponde a K=.
3. Determinar el lugar de las races sobre el eje real.
4. Determinar las asntotas del lugar geomtrico de las races.
5. Encontrar los puntos de ruptura de salida y de entrada.
6. Determinar el ngulo de salida o de llegada del lugar geomtrico de las races
a partir de los polos o ceros complejos.
7. Encontrar los puntos donde los lugares geomtricos de las races crucen el eje
imaginario.
8. Cualquier punto de los lugares geomtricos de las races es un polo en lazo
cerrado posible.
En las referencias [16], [18] y [19] se puede encontrar un estudio detallado de estas
reglas as como ejemplos prcticos.

2.8 Controlador digital de seales


El controlador digital de seales (DSC, por sus siglas en ingls Digital Signal
Controller) es un circuito integrado o chip de 16 bits que integra de manera compacta
las capacidades de control de un microcontrolador (MCU) con las capacidades de
computacin y rendimiento de un procesador digital de seal (DSP, por sus siglas en
ingls Digital Signal Processor) y fue creado por la empresa Microchip Technology
Inc., al cual nombr dsPIC.
En la actualidad existen dos familias de dsPIC las cuales son muy amplias, estas
familias son:
-

Familia dsPIC30F

Familia dsPIC33F

46
CAPTULO II. Marco terico

Para la realizacin de ste trabajo se eligi un dispositivo de la familia dsPIC30F, por


lo que slo se abordar sta. Si se quiere realizar una consulta acerca de la familia
dsPIC33F ir a la referencia [20].

2.8.1 Familia dsPIC30F


Los dispositivos pertenecientes a esta familia se pueden dividir en tres categoras
dependiendo de la aplicacin a la que estn orientados sus perifricos, estas
categoras son:
-

Dispositivos de propsito general.

Dispositivos para control de motores y manejo de energa.

Dispositivos para control de sensores.

Para conocer sobre las caractersticas de cada una de las categoras de


controladores digitales se pueden consultar [21] y [22].
El controlador digital utilizado en la realizacin del trabajo pertenece a la categora de
control de motores y manejo de energa, dentro de esta categora se encuentra un
grupo de controladores orientado a fuentes de alimentacin conmutadas (SMPS, por
sus siglas en ingls Switch Mode Power Supply) y a dispositivos digitales de
conversin de energa, este grupo slo se compone de tres controladores digitales,
uno de ellos es el dsPIC30F2020 que se utiliz en la realizacin de ste trabajo, los
dos dispositivos restantes son el dsPIC30F1010 y dsPIC30F2023.

2.8.2 dsPIC30F2020
El dsPIC30F2020 cuenta con una arquitectura Harvard modificada con la cual se
permiten dos tipos de palabra una de 16 bits para datos y otra de 24 bits para
instrucciones, debido a que fsicamente son dos memorias separadas, con esto se
logra una mayor eficiencia de instrucciones y un procesamiento ms rpido.

47
CAPTULO II. Marco terico

El diagrama de pines del dsPIC30F2020 con empaquetado SDIP de 28 pines se


muestra en la figura 2.19.

Figura 2.19. Diagrama de pines del dsPIC30F2020 de 28 pines.

Algunas caractersticas tcnicas de este dispositivo son:


-

Memoria de programa de 12 kB.

Memoria RAM de 512 Bytes.

Velocidad de procesamiento de 30 MIPS (Millions of Instructions Per


Second).

Tres contadores de 16 bits con posibilidad de expandirlo a 32 bits.

Un mdulo PWM con cuatro generadores de tiempo base y ciclo til


independientes, con dos salidas cada uno. Cuenta con la opcin de tiempo
muerto programable.

Un mdulo ADC de 10 bits de resolucin, con 12 canales y un rango de


conversin de 12 MSPS (Millions of Samples Per Seconds).

La estructura interna del dispositivo (figura 2.20) muestra los distintos mdulos y
perifricos con que cuenta el dsPIC30F2020. A continuacin se har una breve
explicacin del oscilador, del mdulo PWM y del mdulo ADC que son los que se
utilizaron para ste proyecto. Para un estudio ms a fondo de este controlador digital
consultar [22] y [23].

48
CAPTULO II. Marco terico

Figura 2.20. Diagrama a bloques del dsPIC30F2020.

49
CAPTULO II. Marco terico

2.8.2.1 Oscilador
El dispositivo cuenta con tres fuentes para el oscilador, las cuales son:
1) Oscilador primario con dos modos:
-

HS, para frecuencias de 10 MHz a 25 MHz.

EC, para oscilador externo.

2) FRC, oscilador rpido interno RC (14.55 MHz).


3) LPRC, oscilador interno RC de baja velocidad (32 kHz).
Las tres fuentes se observan en la figura 2.21, tambin se puede apreciar un bloque
llamado PLL, el cual es el bloque multiplicador de la frecuencia de oscilacin, ste
proporciona una mayor frecuencia tanto al oscilador primario como al interno
aumentndolo 16 y 32 veces respectivamente, de esta manera se obtienen las
frecuencias necesarias para los mdulos PWM SMPS y ADC, adems de la seal de
reloj para el funcionamiento del controlador digital [23].
En este controlador digital la frecuencia de instruccin (FCY) es de la mitad de la
frecuencia de oscilacin.
./ = .02

(2.7)

Si el bloque PLL se encuentra activado la frecuencia de instruccin (FCY) se calcula


de la siguiente forma:
./ = 2 .23

(2.8)

50
CAPTULO II. Marco terico

Figura 2.21. Diagrama a bloques del oscilador interno.

2.8.2.2 Mdulo PWM para fuentes conmutadas (Power Supply PWM)


Este mdulo est especialmente diseado como generador de seales PWM para
convertidores de potencia. Soporta una amplia variedad de modos de este tipo de
modulacin con distintos formatos de salida. A continuacin se dan las
caractersticas principales de este mdulo:
-

4 generadores PWM que pueden manejar hasta 8 pines de salida.

4 bases de tiempo independientes.

51
CAPTULO II. Marco terico

Resolucin de ciclo til de 1.1ns @ 30MIPS.

Resolucin de frecuencia de 8.4ns @ 30MIPS.

4 generadores de tiempo muerto incluidos con una resolucin de 4.2ns @


30MIPS.

Soporta 9 diferentes modos de PWM.

Cada generador posee la capacidad de disparar una conversin del ADC.

Este mdulo fue diseado para aplicaciones que requieren alta resolucin del ciclo
til, los modos que puede soportar el mdulo PWM se mencionan a continuacin:
-

Modo estndar de PWM.

Modo complementario.

Modo Push-Pull.

Modo multi-fase.

Modo de fase variable.

Reset por falla de corriente.

Modo PWM limitado por corriente.

Modo con base de tiempo independiente.

En la figura 2.22 se muestra el diagrama a bloques simplificado del mdulo PWM


para fuentes conmutadas. En l se pueden observar los 4 generadores de PWM y de
tiempo muerto, as como todos los registros de control asociados al mdulo SMPS
PWM (Switched Mode Power Supply PWM).
Para utilizar el mdulo PWM, el bloque PLL del oscilador deber estar encendido, ya
que proporciona la base de tiempo para los generadores de PWM. El mdulo PWM
funciona de manera muy simple, bsicamente es un comparador entre un contador o
temporizador llamado PTMR, que es la base de tiempo de los generadores PWM y el
registro denominado PTPER que es el registro que determina el periodo de la seal
de PWM, cuando el contador PTMR alcanza el valor de PTPER, el contador se
reinicia y el pin toma un valor lgico de 1.

52
CAPTULO II. Marco terico

Figura 2.22. Diagrama a bloques del mdulo PWM.

53
CAPTULO II. Marco terico

El ciclo til o tiempo de encendido de la seal de PWM se forma de manera similar,


para ste hay dos formas, una es comparando el valor de registro MDC con el valor
del PTMR cuando se tiene seleccionado el mismo tiempo de encendido para los
cuatro generadores PWM y la otra es comparando cada uno de los registros PDC1,
PDC2, PDC3 y PDC4 cuando se tiene seleccionado tiempos de encendido
individuales, al ser iguales los valores del contador de la base de tiempo y los
registros de ciclo til el pin de salida PWM toma un valor lgico de 0. La figura 2.23
muestra grficamente este funcionamiento.

Figura 2.23. Diagrama del funcionamiento del mdulo PWM.

Para determinar el periodo de la seal de PWM es necesario cargar un valor al


registro PTPER. Con la ecuacin (2.9) se puede determinar el valor del periodo
dependiendo del valor del registro PTPER cuando el oscilador del controlador digital
est configurado para que ste trabaje a 30 MIPS.
456 =

47489:
;6<=

@ 30ABCD

(2.9)

54
CAPTULO II. Marco terico

Por lo tanto, de aqu se puede obtener el valor a cargar al registro PTPER


dependiendo de un periodo deseado, esto se muestra con la ecuacin (2.10).
PTPER = (120AFG 456 ) 1 @ 30ABCD

(2.10)

Mediante el registro PTCON se controla la habilitacin del mdulo PWM, adems se


controlan las caractersticas globales importantes del mdulo.
Cada uno de los cuatro generadores PWM posee dos pines de salida, PWMH y
PWML. Los pines de salida del mdulo PWM se controlan por el registro IOCON,
cada generador posee un registro IOCON y en cada uno de estos registros se
determinan todas las condiciones de operacin de los pines de salida del mdulo
PWM, los bits PENH y PENL de cada registro IOCON indican si los pines de salida
se controlan por el mdulo PWM o se manejan como pines de entrada salida.
Para conocer todas las caractersticas funcionales de este mdulo PWM se pueden
consultar [11], [22] y [23].
2.8.2.3 Convertidor analgico-digital (ADC)
El controlador digital dsPIC30F2020 contiene un convertidor analgico a digital (ADC,
por sus siglas en ingls Analog to Digital Converter) con las caractersticas que se
enlistan a continuacin.
-

10 bits de resolucin.

Conversin por aproximaciones sucesivas.

2 MSPS (Millions of Samples Per Second).

8 entradas analgicas.

5 circuitos de muestreo y retencin.

Capacidad de muestrear dos entradas analgicas al mismo tiempo.

Este mdulo, mostrado en la figura 2.24, est optimizado para trabajar con
convertidores de potencia y con cualquier aplicacin que necesite muy poco tiempo

55
CAPTULO II. Marco terico

entre la solicitud de una conversin y la obtencin del resultado. El convertidor puede


muestrear y convertir una seal en 1s, reduciendo considerablemente el tiempo que
tarda el ciclo de control.

Figura 2.24. Diagrama mdulo ADC.

56
CAPTULO II. Marco terico

Se puede observar que el convertidor ADC cuenta con cinco circuitos de muestreo y
retencin para contar con la posibilidad de muestrear dos seales al mismo tiempo.
Cada canal de nmero par cuenta con un circuito de muestreo y retencin exclusivo
mientras que los cuatro canales restantes estn multiplexados a un solo circuito de
muestreo y retencin.
Para una explicacin acerca del funcionamiento y otras caractersticas de este
mdulo consultar [11], [22] y [23].

2.9 MPLAB IDE


El software MPLAB es un entorno IDE (por sus siglas en ingls Integrated
Development Environment), es una herramienta distribuida por Microchip para el
desarrollo de aplicaciones con PICs y dsPICs. Este software permite desde la
creacin de programas fuente hasta la simulacin paso a paso del programa creado.
En este entorno de desarrollo es posible programar los PICs y dsPICs tanto en
lenguaje ensamblador como en lenguaje C si se cuenta con el compilador adecuado
para el tipo de dispositivo, en este proyecto se utiliza el lenguaje C. Para consultar la
forma de utilizar y crear proyectos en el software MPLAB se pueden consultar [24] y
[25].

2.10 Lenguaje C
C es un lenguaje de programacin estructurado de propsito general, es considerado
un lenguaje de nivel intermedio ya que incluye caractersticas que permiten su uso a
un nivel ms bajo, cubriendo as el vaco entre el lenguaje mquina y los lenguajes
de alto nivel ms convencionales.
El lenguaje C fue desarrollado originalmente en los aos setenta por Dennis Ritchie
en Bell Telephone Laboratories, Inc., (ahora AT&T Bell Laboratories). Es el resultado
de dos lenguajes anteriores, el BCPL y el B, que se desarrollaron tambin en Bell

57
CAPTULO II. Marco terico

Laboratories. C estuvo confinado al uso en los laboratorios hasta 1978, cuando Brian
Kernighan y Ritchie publicaron una descripcin definitiva del lenguaje.

La mayora de las implementaciones comerciales de C, difieren en algo de la


definicin original de Kernighan y Ritchie. Esto ha creado algunas pequeas
incompatibilidades entre las diferentes implementaciones del lenguaje, disminuyendo
la portabilidad [25].
Para un estudio general de este lenguaje puede consultar [26].

2.11 MPLAB C30


MPLAB C30 es un compilador de lenguaje C optimizado para el uso de controladores
digitales de seales, especialmente dsPIC30F. Este software es una aplicacin de
ambiente Windows que provee una plataforma de desarrollo de lenguaje C.
En MPLAB C30 se realiza la compilacin de archivos de lenguaje C produciendo
archivos en lenguaje ensamblador, con ste se puede crear el archivo ejecutable que
se puede cargar al dsPIC. Para ms informacin sobre el funcionamiento y las
caractersticas del compilador MPLAB C30 se pueden consultar [25], [26] y [27].
Hasta aqu se han visto los conceptos bsicos necesarios para la comprensin de
este trabajo. En el siguiente captulo se explica de forma detallada la metodologa
utilizada para el desarrollo del proyecto.

58
CAPTULO II. Marco terico

Referencias
[1]

Asociacin de Promotores y Productores de Energas Renovables de


Andaluca, (Pgina Web), http://www.aprean.com/index.html, Consultada en
Abril de 2009.

[2]

A. Huang, Energy Internet - Future Renewable Electric Energy Delivery and


Management

(FREEDM)

Systems,

IEEE

Power

Electronics

Society

Newsletter, vol. 20 ED-4, pp 8-9, Oct. 2008.


[3]

Comisin

Federal

de

Electricidad,

(Pgina

http://www.cfe.gob.mx/es/laempresa/generacionelectricidad,

Web),

Consultada

en

Diciembre de 2008.
[4]

World

Wind

Energy,

(Pgina

Web),

http://www.wwindea.org/home/index.php?option=com_content&task=view&id=
198&Itemid=43, Consultada en Noviembre de 2008.
[5]

Comisin

Nacional

Para

el

Ahorro

de

Energa,

(Pgina

Web),

http://www.conae.gob.mx/work/sites/CONAE/resources/LocalContent/4830/2/E
RM06.pdf, Consultada en Noviembre de 2008.
[6]

Comisin

Federal

de

Electricidad,

(Pgina

Web),

http://www.cfe.gob.mx/es/LaEmpresa/generacionelectricidad/eoloelectrica/,
Consultada en Noviembre de 2008.
[7]

Comisin

Federal

de

Electricidad,

(Pgina

Web),

http://www.cfe.gob.mx/es/Templates/otras/cmsVerImprimible.aspx?guid=%7B2
9667C60-C1D1-4EF3-8EBB-1D44F9A7A26C%7D, Consultada en Noviembre
de 2008.

59
CAPTULO II. Marco terico

[8]

Comisin

Federal

de

Electricidad,

(Pgina

http://www.cfe.gob.mx/es/laempresa/generacionelectricidad,

Web),

Consultada

en

Noviembre de 2008.

[9]

Comisin

Federal

de

Electricidad,

(Pgina

Web),

http://www.cfe.gob.mx/es/LaEmpresa/generacionelectricidad/lisctralesgenerad
ora,Consultada en Noviembre de 2008.
[10]

IBAEZ Plana M., Tecnologa Solar, Mundi Prensa, 2005.

[11]

PEUELAS Machado Jos ngel, Algoritmo de Seguimiento del Mximo


Punto de Potencia para Sistemas Fotovoltaicos en Cd. Obregn, Sonora,
Tesis de Ingeniero en Electrnica, Instituto Tecnolgico de Sonora, Cd.
Obregn, Sonora, Octubre de 2008.

[12]

TRISHAN Esram and Chapman Patrick L., Comparison of Photovoltaic Array


Maximum Power Point Tracking Techniques, IEEE Transactions on energy
conversion, 2006.

[13]

MUHAMMAD H. Rashid, Electrnica de potencia. Circuitos, Dispositivos y


aplicaciones, Editorial Pearson Educacin, Tercera Edicin, 2004.

[14]

PIETZSCH Garca Mnica, Convertidores CC/CA para la conexin directa a


red de sistemas fotovoltaicos: comparacin entre topologas de 2 y 3 niveles,
(Pgina Web), http://upcommons.upc.edu/pfc/handle/2099.1/2696, consultada
en Marzo 2009.

[15]

RICHARD S. Zhang, Control of single-phase power converter in D-Q rotating


coordinates, U.S. Patent 6 621 251 B2, September 16, 2003.

[16]

AL-HADITHI M. Basil, Sistemas Discretos de Control, Editorial Visionnet,


Primera Edicin, 2007.

60
CAPTULO II. Marco terico

[17]

OGATA Katsuhico, Ingeniera de Control Moderna, Editorial Pearson,


Tercera Edicin, 1998.

[18]

ROHRS Charles E., Sistemas de Control Lineal, Editorial McGraw-Hill,


Primera Edicin, 1994.

[19]

OGATA Katsuhico, Sistemas de Control en Tiempo Discreto, Editorial


Pearson, Segunda Edicin, 1996.

[20]

MICROCHIP Technology Inc., dsPIC33F Family Reference Manual, (Pgina


Web),
http://www.microchip.com/stellent/idcplg?IdcService=SS_GET_PAGE&nodeId
=2573, consultada en Noviembre de 2008.

[21]

MICROCHIP Technology Inc., Getting Started with dsPIC30F Digital Signal


Controllers

Users

Guide,

2005,

(Pgina

Web),

http://ww1.microchip.com/downloads/en/DeviceDoc/70151a.pdf, consultada en
Noviembre 2008.
[22]

MICROCHIP Technology Inc., dsPIC30F Family Reference Manual, (Pgina


Web),
http://www.microchip.com/stellent/idcplg?IdcService=SS_GET_PAGE&nodeId
=2574, consultada en Noviembre de 2008.

[23]

MICROCHIP Technology Inc., dsPIC30F1010/202X, Datasheet, (Pgina


Web),

http://ww1.microchip.com/downloads/en/DeviceDoc/70178C.pdf,

consultada en Noviembre 2008.


[24]

MICROCHIP Technology Inc., MPLAB IDE Users Guide, 2006, (Pgina


Web),

http://ww1.microchip.com/downloads/en/DeviceDoc/51519a.pdf,

consultada en Noviembre 2008.

61
CAPTULO II. Marco terico

[25]

IRIBE Quintero Vctor, Tcnica de Modulacin por Vectores Espaciales


Implementada en un dsPIC30F3010, Tesis de Ingeniero en Electrnica,
Instituto Tecnolgico de Sonora, Cd. Obregn, Sonora, Diciembre de 2007.

[26]

DOMITSU Kono Manuel, Programacin en el lenguaje C, (Pgina Web),


http://www.itson.mx/die/mdomitsu/bibliotecaDigital/Programacion_Lenguaje_C,
consultada en Noviembre 2008.

[27]

MICROCHIP Technology Inc., MPLAB C30 C Compiler User`s Guide, 2007,


(Pgina

Web),

http://ww1.microchip.com/downloads/en/DeviceDoc/C30_Users_Guide_51284
e.pdf, consultada en Noviembre 2008.

CAPTULO III
Desarrollo
En el presente captulo se describe el procedimiento que se sigui para la
implementacin de este proyecto. Lo primero que se aborda es la etapa de
adecuacin de las seales sensadas, tanto de la seal de corriente del sistema a
inyectar como del voltaje de la red elctrica, seguido de la implementacin del cdigo
para realizar la tcnica de transformacin a coordenadas DQ.

Por ltimo se detalla el procedimiento que se sigui para el diseo y aplicacin de un


controlador lineal as como los pasos para su aplicacin en forma digital. El
controlador ser diseado y simulado en el software Simulink de MATLAB.

63
CAPTULO III. Desarrollo

3.1 Requerimientos
Se requiere implementar un inversor monofsico de topologa puente completo para
sistemas interconectados, aplicando una tcnica de control en coordenadas
rotatorias DQ. El objetivo del sistema es que exista una base para llevar a cabo una
conexin a red a partir de paneles fotovoltaicos. En la figura 3.1 se muestra el
esquema del inversor con filtro LC a la salida as como la resistencia de sensado de
corriente Rs.

Figura 3.1. Inversor monofsico puente completo con salida a filtro LC.

3.2 Descripcin e implementacin de sistema


Un sistema fotovoltaico interconectado est conformado de cuatro etapas que se
muestran en la figura 3.2. El primer bloque del sistema corresponde a los paneles
fotovoltaicos en los cuales se realiza la transformacin de energa solar a energa
elctrica; el siguiente bloque es un convertidor cd-ca para obtener un voltaje y
corriente en continua. Debido a que se requiere que el sistema inyecte una corriente
fija a la red elctrica, se sensa la corriente del sistema y se compensa por medio de
un controlador en coordenadas DQ el cual controla los ciclos tiles del inversor.

64
CAPTULO III. Desarrollo

En este proyecto se implement el convertidor cd-ca, adems se verific la


transformacin a coordenadas DQ. Se realiz tambin la implementacin de la
adecuacin necesaria para el sensado del sistema, adems del diseo del
controlador..

Figura 3.2. Diagrama a bloques de un sistema fotovoltaico con conexin a red.

El sistema debe cumplir con las siguientes caractersticas:


-

Implementar un inversor puente completo con filtro LC a la salida para


lograr una seal senoidal en corriente alterna.

Implementar la transformacin a coordenadas DQ en un DSC.

Disear un controlador lineal para que el sistema entregue una corriente


fija y debe ser capaz de seguir una referencia, haciendo que la salida del
inversor tenga la misma fase, amplitud y frecuencia que la referencia.

Sensar el sistema e implementar una adecuacin que permita que la seal


pueda ser procesada por el DSC.

3.3 Inversor
La metodologa que se plante para la etapa del inversor se divide en los puntos
siguientes:
-

Diseo del inversor

Implementacin del inversor

65
CAPTULO III. Desarrollo

3.3.1 Diseo del inversor


De acuerdo al enfoque que tiene este proyecto el inversor ms adecuado es del tipo
monofsico ya que la conexin ser a la lnea monofsica de 120 Volts debido a que
esta lnea es la de uso ms comn en Mxico. La topologa elegida fue de tipo
puente completo ya que dentro de los inversores monofsicos ste es el que ms
ventaja presenta en cuanto a potencia, ste proporciona cuatro veces ms potencia y
la componente fundamental es el doble que en el tipo medio puente [1].
El inversor se dise para soportar un mximo de 100 Volts en cd con una salida de
42 Vrms a una frecuencia de conmutacin de 21 kHz. El voltaje de salida en alterna
corresponde a un tercio de los 127 Vrms de la lnea monofsica esto debido a que
este es un prototipo a escala.
El filtro LC est diseado para tener una frecuencia de corte a un dcimo de la
frecuencia de conmutacin de los dispositivos del inversor esto es para eliminar
armnicos de alta frecuencia que puedan afectar la frecuencia fundamental del
sistema.
Para determinar el valor de los componentes del filtro se parti de las ecuaciones
(2.1) y (2.2), las cuales se basan en la frecuencia de corte y en los valores capacitivo
e inductivo del mismo. El primer paso es proponer un valor comercial del capacitor, el
cual es de 6.6 F; la frecuencia de corte se eligi a un dcimo de la frecuencia de
conmutacin, es decir, a 2.1 kHz para evitar armnicos a alta frecuencia en la carga.
A partir de estos datos se obtiene el valor del inductor, por lo tanto el valor del
inductor se calcul con la siguiente ecuacin:
=

(2 

(6.6)

= 870 H

Se hicieron algunas pruebas con inductores cercanos a este valor y con diferentes
ncleos, sin embargo ninguno de ellos es apropiado para trabajar a dicha frecuencia,
y el que mejor desempeo present tiene el valor de 2.1 mH con un toroide de polvo

66
CAPTULO III. Desarrollo

de ferrita. La frecuencia de corte con este valor de inductor es de 1.35 kHz la cual es
menor a la frecuencia de corte planteada a un dcimo de la frecuencia de
conmutacin. Esto quiere decir que el filtro eliminar armnicas ms cercanas a la
frecuencia fundamental de 60 Hz pero tambin podra afectar a la seal de salida
atenundola por lo que no es recomendable situar la frecuencia de corte del filtro
muy cerca de la frecuencia fundamental.

3.3.2 Implementacin del inversor


La implementacin del inversor se realiz con base a los parmetros de diseo
tomados en cuenta para la eleccin de los dispositivos de conmutacin. Pueden ser
utilizados diversos modelos de transistores que soporten el voltaje y corriente
requeridos en el inversor adems de la frecuencia de conmutacin los cuales son
MOSFET IRF840 que estn diseados para voltajes de hasta 500 V y 8 A.

Figura 3.3. Generacin de disparos complementarios por el DSC.

El dsPIC30F2020 genera los disparos en el mdulo PWM con una frecuencia de


conmutacin de 21 kHz y un tiempo muerto de 1 s. El mdulo PWM del DSC es
capaz de generar dos disparos complementarios, como se muestra en la figura 3.3,

67
CAPTULO III. Desarrollo

con la misma frecuencia y el mismo tiempo muerto los cuales se encargan del
encendido y apagado de los transistores.
Cada disparo utiliza un optoacoplador para aislar la etapa digital de la etapa de
potencia con el fin de evitar daos en el microcontrolador y en la etapa de
adecuacin, en caso de cortocircuito en el lado del inversor. El optoacoplador
utilizado es el 6N137 el cual es un dispositivo de alta velocidad y trabaja con seales
de tipo TTL, por lo tanto es apto para trabajar con los PWM generados por el dsPIC.
El inversor puente completo est formado por dos ramas de dos transistores cada
una. En cada rama hay un transistor referido a tierra y el otro referido a un punto
diferente de tierra. Para disparar ambos transistores de una rama se utiliza el driver
IR2110 el cual tiene dos canales independientes uno para disparo alto y otro para el
disparo bajo.

3.4 Sensado y adecuacin de la seal para el DSC


El sensado de la corriente en el inversor se llev a cabo con una resistencia y el
sensado de la red por medio de un transformador. En el caso del sensado de la
corriente es necesario utilizar un filtro pasabajas para eliminar ruidos de alta
frecuencia. Para realizar la transformacin a coordenadas DQ es necesario obtener
una seal desfasada 90 de ambas seales sensadas e sto se logra por medio de un
desfasador analgico. Debido a la naturaleza del ADC, ste slo recibe seales de 0
a 5 V por lo que las 4 seales que deben ser procesadas por el ADC deben estar en
dicho rango, para esto se utilizaron sumadores. Este procedimiento se detallar en
los siguientes puntos.

3.4.1 Sensado y adecuacin de la corriente de salida del inversor


El sensado de corriente en la salida del inversor se llev a cabo mediante una
resistencia, Rs, de 0.1 como se muestra en la figura 3.1, de esta forma mediante la
ley de Ohm se establece una relacin proporcional de voltaje y corriente que fluye a

68
CAPTULO III. Desarrollo

travs de la resistencia. En este caso la corriente establecida es de 1 Arms por lo


tanto el voltaje que se presenta en la resistencia es de 100 mVrms.
Debido a la magnitud del voltaje de sensado es necesario procesar la seal para un
fcil manejo y que la seal est dentro de los rangos establecidos por el ADC del
dsPIC, es decir, una seal de voltaje de 0 a 5 V.
Ya que en este caso el sensado se realiz con una resistencia no se cuenta con un
aislamiento elctrico por lo que es necesario un dispositivo con el cual se pueda
tratar la seal sin que existan problemas de tierra o aislamiento. El dispositivo que se
eligi fue el INA129P el cual es un operacional de instrumentacin que permite
amplificar seales de muy bajo voltaje en el orden de los miliVolts con ganancias de
1 a 10000 veces el voltaje de entrada. La ganancia que se utiliz en la configuracin
es de 50, esta se logr por medio de una resistencia de 1 kk.. La configuracin
utilizada es la que se muestra en la figura 3.4, donde la resistencia Rs es la
resistencia de sensado.

Figura 3.4. Configuracin del INA129P.

Se implement un filtro pasabajas B


Butterworth de 3er orden con una frecuencia de
corte de 600 Hz, esto es con la finalidad de eliminar ruidos por conmutacin y que
slo permita el paso de la frecuencia fundamental de 60 Hz.

69
CAPTULO III. Desarrollo

En la figura 3.5 se muestra la configuracin bsica de este tipo de filtro el cual se


implementa con amplificadores operacionales y consta de dos etapas, una de primer
orden y la otra de segundo orden.

Figura 3.5. Configuracin bsica de un filtro pasabajas Butterworth de 3er orden.

Para el diseo del filtro se utiliz el polinomio de Butterworth de 3er orden el cual es:
 +  + 
 +  + 1

(3.1)

donde:
a, b y c son las constantes del polinomio de Butterworth.
Para obtener el valor de resistencia en la etapa de 1er orden se utiliz la siguiente
ecuacin:

=
donde:
R es la resistencia de entrada.
C es igual a 100 nF (valor propuesto).
c es frecuencia de corte.



(3.2)

70
CAPTULO III. Desarrollo

En la etapa de segundo orden se utilizaron las siguientes ecuaciones para obtener


los valores de los elementos requeridos.

 =
# 

!" 
$

%&" " "

(3.3)

(3.4)

donde:
Rx es igual a R1 y a R2.
C2 es igual a 100 nF.
En la figura 3.6 se muestra el circuito implementado, los valores calculados de
resistencia y capacitor fueron adecuados a valores comerciales.

Figura 3.6. Implementacin del filtro pasabajas Butterworth.

Debido a que se necesita aislamiento entre la parte del sensado y la parte digital se
utiliza de un optoacoplador lineal que separe las tierras y con esto se eviten daos a
los dispositivos del circuito. El optoacoplador utilizado es el HCNR200-000E
HCNR200
el cual
est compuesto por un LED ((Light Emitting Diode) y dos fotodiodos.
En la figura 3.7 se muestra la configuracin implementada para la seal de sensado.
Esta configuracin necesita de dos operacionales, el primero de ellos es para
controlar la corriente de entrada que alimenta al diodo evitando que llegue al lmite y

71
CAPTULO III. Desarrollo

se dae, esto es, que no sobre pase los 25 mA especificados en las hojas de datos
del fabricante. El segundo operacional permite recuperar el voltaje de entrada pero
con una referencia diferente. En el caso de las seales de ca el optoacoplador
requiere de un offset en las seales de entrada y salida para que el dispositivo slo
maneje valores positivos de la seal.

Figura 3.7. Circuito implementado del optoacoplador lineal HCNR200-000E.

Con la finalidad de obtener la seal ortogonal de la seal sensada, que se necesita


para la realizar de la transformacin a coordenadas DQ, se utiliz un desfasador
analgico de 90 implementado por medio de un ampli ficador operacional, la
configuracin se muestra en la figura 3.8.

Figura 3.8. Configuracin del desfasador de 90.

72
CAPTULO III. Desarrollo

Los valores utilizados de resistencias y capacitor se observan en la figura 3.9, estos


valores fijan la ganancia unitaria y mediante el restato se controla el desfase.

Figura 3.9. Desfasador de 90


90 implementado para el sensado de corriente.
corriente

Ya que se obtuvieron las seales real y ortogonal del sensado de la corriente el


siguiente paso es procesarlas por el ADC del dsPIC para esto es preciso ajustar las
seales en un rango
o de 0 a 5 V. Esto se hace posible mediante el uso de sumadores
en una configuracin no inversora para evitar cambios en la fase de la seal, la
configuracin tpica de ste
ste se muestra en la figura 3.10. El voltaje de salida est
dado por la siguiente ecuacin:
cin:
%
%/0

'( = ) *,  1 ' - . /" ' 
' 2
%/0 1 %/"
%/0 1 %/"
+

(3.5)

73
CAPTULO III. Desarrollo

Figura 3.10. Configuracin tpica de un sumador no inversor.

En la implementacin se utilizaron los diseos que se muestran en la figura 3.11,


para el caso de la seal real se implement el circuito a) y para la seal ortogonal se
implement el circuito b) de esta manera se asegura que las seales permanezcan
en el rango permitido por el ADC.
Como se observa, estos tienen valores de resistencias diferentes debido a que la
configuracin
guracin utilizada para desfasar la seal produce un offset en la seal de salida
por lo que al ajustar la seal en un rango de 0 a 5 V el voltaje que se debe sumar es
diferente para cada uno de los casos.

a)

b)

Figura 3.11. Implementacin de sumadores para sensado de corriente. a) Sumador no inversor para
seal real, b) Sumador no inversor para seal ortogonal.

74
CAPTULO III. Desarrollo

3.4.2 Sensado y adecuacin del voltaje de la red elctrica


El sensado del voltaje de la red elctrica se realiz por medio de un transformador de
120 Vrms a 12 Vrms, por lo tanto es necesario atenuar la seal para poderla
procesar. Para lograr esto se utiliz un divisor de voltaje en el cual se redujo la seal
a 1.6 Vpp.
Para un acoplamiento de impedancias entre el divisor y el desfasador se puso el
seguidor de voltaje en el cual la seal no sufre ninguna modificacin de ganancia o
fase, y se muestra en la figura 3.12. El voltaje de salida est dado en la ecuacin
(3.6).
'( = '*

(3.6)

donde:
V0 es el voltaje de salida.
VI es el voltaje de entrada.

Figura 3.12.Divisor y seguidor de voltaje para el sensado de la red elctrica.

El desfasador utilizado para obtener la seal ortogonal de la red se encuentra en la


figura 3.13.

75
CAPTULO III. Desarrollo

Figura 3.13. Configuracin implementada del desfasador de 90 para la seal de la red.


red

De la misma manera que en la etapa del sensado de corriente, se implementaron


sumadores no inversores para conseguir el offset requerido por el dsPIC los cuales
se observan
ervan en la figura 3.14.

a)

b)

Figura 3.14. Implementacin de sumadores para sensado de la red. a) Sumador no inversor para
seal real, b) Sumador no inversor para seal ortogonal.

76
CAPTULO III. Desarrollo

3.5 Implementacin digital de la transformacin a coordenadas DQ


Para la implementacin de la transformacin a coordenadas DQ se sigui la siguiente
metodologa la cual ser descrita en este apartado:
-

Eleccin del dispositivo a utilizar.

Eleccin del lenguaje a utilizar.

Eleccin del software y el compilador para el lenguaje elegido.

Desarrollo del programa.

Implementacin del circuito del DSC.

3.5.1 Eleccin del dispositivo a utilizar


El dispositivo que se utiliz es el DSC de la familia dsPIC30F modelo dsPIC30F2020
empaquetado SPDIP de 16 bits de Microchip Technology Inc. el cual se muestra en
la figura 2.20.
ste se eligi debido a su alta velocidad de procesamiento y est optimizado para
controlar convertidores de potencia, est compuesto por un mdulo PWM que puede
ser utilizado en diferentes modalidades, adems de un convertidor analgico a digital
de 10 bits de resolucin a 2 millones de muestras por segundo [2].

3.5.2 Eleccin del lenguaje a utilizar


El lenguaje utilizado para el desarrollo del programa es el lenguaje C, debido a su
simplicidad para la realizacin de operaciones necesarias para el funcionamiento del
programa, adems de permitir un mejor aprovechamiento de las caractersticas del
dsPIC.

77
CAPTULO III. Desarrollo

3.5.3 Eleccin del software y el compilador para el lenguaje elegido


El software de desarrollo para el programa es el MPLAB Integrated Development
Enviroment (IDE) v8.0 de Microchip Technology Inc., el cual se describi en el
captulo 2.
Debido al lenguaje de programacin elegido, el compilador ms adecuado es el es el
MPLAB C30 Compiler v3.02, ste permite el manejo para el lenguaje C de las
familias PIC24, dsPIC30F y dsPIC33F.

3.5.4 Desarrollo del programa


El objetivo del programa es la implementacin de la transformacin a coordenadas
rotatorias DQ de acuerdo a la metodologa explicada en el captulo dos.
Para realizar la transformacin se muestrean las

seales que provienen del

sensado, siendo estas las variables real y ortogonal del voltaje de la referencia y la
corriente del sistema; a estas seales se les aplica la matriz de transformacin T
(2.4) obteniendo as las componentes D y Q del voltaje y la corriente (VD, VQ, ID, IQ).
A stas se les aplica la matriz de antitransformacin Tinv (2.6) lo que da como
resultado el ciclo til con el cual se genera el PWM que ser aplicado al inversor.
El programa est diseado para trabajar a una velocidad de 30 MIPS (Million of
Instructions per Second) con un ciclo de instruccin de 33.33 ns lo que permite una
mayor velocidad al ejecutar una instruccin. El mdulo ADC se configur para
trabajar a 2 MSPS (Million of Samples per Second) lo que permite un muestreo
rpido en los canales AN0, AN1, AN2 y AN3 en los cuales se muestrean las seales
analgicas de voltaje y corriente. El mdulo PWM se program para una frecuencia
de conmutacin de 21 kHz lo que permite un periodo de conmutacin de 46 s
adems ste genera dos salidas complementarias con un tiempo muerto programado
de 1 s.

78
CAPTULO III. Desarrollo

El algoritmo empleado para la implementacin de la transformacin a coordenadas


rotatorias DQ es el que se muestra en la figura 3.15. En l se describen la estructura
del programa y a continuacin se explica el funcionamiento del mismo.

Configuracin del
dsPIC30F2020

Declaracin de constantes
y variables globales

ISR_ ADC
Inicializacin de:
Puertos
PWM
ADC
Timer

Guardar los datos


convertidos en una
variable.

Eliminar el offset

La bandera
listo = 1?

NO

Bandera listo = 1

Bits de ADSTAT = 0
SI

Realiza
Transformacin a DQ

Realiza
Transformacin de DQ
al tiempo

TMR1 = 0

Regreso de la
ISR

Se aplica el ciclo til al


inversor

a)

b)

Figura 3.15. Algoritmo del programa para la implementacin de la transformacin a coordenadas DQ.
a) Programa principal. b) Rutina de interrupcin del ADC.

79
CAPTULO III. Desarrollo

3.5.4.1 Inicializacin de los mdulos del dsPIC30F2020


Es necesario especificar la librera para el dispositivo as como la configuracin
interna del dsPIC a utilizar, en este caso es el dsPIC30F2020. Este cdigo puede
observarse en la figura 3.16.
#include <p30F2020.h>
_FOSCSEL(FRC_PLL)
_FOSC(CSW_FSCM_OFF& FRC_HI_RANGE & OSC2_IO)
_FWDT(FWDTEN_OFF)
_FPOR(PWRT_128)
_FGS(CODE_PROT_OFF)
_FBS(BSS_NO_FLASH)

Figura 3.16. Seleccin de librera y configuracin interna del dsPIC30F2020.

La configuracin interna del dsPIC que aparece en el cdigo tiene las siguientes
caractersticas:
-

Oscilador interno con mdulo PLL activado.

Mdulo detector de fallos del reloj (FSCM) apagado, oscilador en rango


alto y el pin OSC2 configurado como entrada/salida.

Temporizador perro guardin (WDT) desactivado.

Reset al encendido, se produce un reset a los 128ms de que la fuente de


alimentacin sobrepasa un voltaje de umbral de 1.85 V.

Proteccin del cdigo deshabilitada.

Proteccin de la memoria flash deshabilitada.

Una vez realizada la configuracin del dispositivo as como la eleccin de la librera


correspondiente se procede a la declaracin de variables y funciones globales.
3.5.4.2 Declaracin de variables y constantes globales
En la declaracin de variables y constantes se utiliz el cdigo mostrado en la figura
3.17.

80
CAPTULO III. Desarrollo

int XDv, XQv, XDi, XQi, Periodo, t, T, XRV, XRI, XIV, XII;
char listo = 0;
const char coseno[360] = {100, 99, 99, 99, 99,, -94,-93,-93,-92,-92,, 99,99,99,99,99};
const char seno[360] = {0,1,3,5,6,, -92,-93,-93,-94,-95,, -8,-6,-5,-3,-1};

Figura 3.17. Cdigo para la declaracin de constantes y variables.

Cada una de las variables y constantes declaradas tienen una funcin especfica las
cuales se definen a continuacin:
-

XRV, variable que guarda el valor de la parte real del voltaje sensado de la
referencia y que es muestreada por el ADC.

XIV, variable que guarda el valor de la parte imaginaria del voltaje sensado
de la referencia y que es muestreada por el ADC.

XRI, variable que guarda el valor de la parte real de la corriente sensada


del sistema y que es muestreada por el ADC.

XII, variable que guarda el valor de la parte imaginaria de la corriente


sensada del sistema y que es muestreada por el ADC.

XDv, almacena la parte directa del voltaje sensado de la referencia.

XQv, almacena la parte de cuadratura del voltaje sensado de la referencia.

XDi, almacena la parte directa de la corriente sensada del sistema.

XQi, almacena la parte de cuadratura de la corriente sensada del sistema.

T, variable que almacena el valor del ciclo til.

Periodo, valor que se carga al PWM correspondiente al ciclo til.

listo, bandera que indica que deben realizarse los clculos establecidos
para obtener un nuevo ciclo til.

t, variable que indica el valor del tiempo de seno o coseno necesarios para
aplicar la matriz de transformacin y antitransformacin.

coseno, constante de tipo arreglo que establece el valor del coseno


dependiendo del valor de la constante t.

81
CAPTULO III. Desarrollo

seno, constante de tipo arreglo que establece el valor del seno


dependiendo del valor de la constante t.

3.5.4.3 Declaracin de funciones globales


En la figura 3.18 se muestra el cdigo donde se declaran las funciones globales para
la realizacin del programa:

void ADC_config(void);
void PWM_config(void);
void Encendido(void);
void Calculos(void);

Figura 3.18. Cdigo para la declaracin de funciones globales.

Cada una de las funciones globales se encarga de una accin especfica las cuales
son las siguientes:
-

ADC_config, funcin que se encarga de configurar el mdulo ADC.

PWM_config, funcin que se encarga de configurar el mdulo PWM.

Encendido, funcin que se encarga de encender los mdulos ADC, PWM


as como el Timer que controla el ADC.

Calculos, funcin que obtiene el valor del ciclo til y lo carga al mdulo
PWM.

3.5.4.4 Funcin main


La funcin main es la principal del programa en la cual primero se establece la
frecuencia mxima a la que puede trabajar el oscilador, adems se configuran los
puertos y se manda llamar las funciones que configuran los mdulos PWM, ADC y el
encendido de los mismos. Ya que se han configurado los mdulos el programa
espera a que suceda una interrupcin que indica que el ADC ya muestre y convirti
las seales y manda llamar la subrutina que realiza los clculos. El cdigo para
funcin main se muestra la figura 3.19.

82
CAPTULO III. Desarrollo

int main(void)
{
OSCTUNbits.TUN = 7;
TRISB = 0x0FF;
TRISF = 0;
ADC_config();
PWM_config();
Encendido();
while (1)
{
if(listo == 1)
{
Calculos();
}
}
}

Figura 3.19. Funcin main del cdigo.

3.5.4.5 Funcin ADC_config


En esta funcin se configura el ADC para que muestree cuatro canales al mismo
tiempo tambin el trigger del ADC se dispara por el Timer 1 al cual se le especifica un
valor que corresponde al tiempo que debe pasar para comenzar a muestrear el cual
es de 46 s. El cdigo se muestra en la figura 3.20.

void ADC_config(void)
{
ADCONbits.ADSIDL = 0;
ADCONbits.FORM = 0;
ADCONbits.EIE = 0;
ADCONbits.ORDER = 0;
ADCONbits.SEQSAMP = 1;
ADCONbits.ADCS = 0;
ADPCFG = 0xFFF0;
ADSTAT = 0;
ADCPC0bits.TRGSRC0 = 0x000C;
ADCPC0bits.TRGSRC1 = 0x000C;
ADCPC0bits.IRQEN0 = 1;
}

Figura 3.20. Cdigo de la configuracin del ADC.

83
CAPTULO III. Desarrollo

El mdulo ADC opera a una frecuencia de 120 MHz, la secuencia de muestreo y


conversin elegida consiste en muestrear los canales pares primero e iniciar la
conversin de uno y despus contina con los siguientes canales, sta se muestra
ms a detalle en la figura 3.21. Tambin en esta funcin se establecen cuales son los
canales que se van a utilizar.

Figura 3.21. Diagrama de muestreo y conversin elegida.

3.5.4.6 Funcin PWM_config


En la figura 3.22 se detalla la configuracin del mdulo PWM. Al configurar el mdulo
PWM se establece el tiempo de conmutacin de 46 s, ciclo til del 50% y tiempo
muerto de 1 s. Se activa la opcin de generar dos salidas PWM complementarias y
se establecen las salidas en el puerto.

void PWM_config(void)
{
PTPER = 28700;
PDC1 = 14350;
DTR1 = 565;
IOCON1bits.PMOD = 0x0;
IOCON1bits.PENH = 0x0001;
IOCON1bits.PENL = 0x0001;
}

Figura 3.22. Funcin PWM_config

84
CAPTULO III. Desarrollo

3.5.4.7 Funcin Encendido


El objetivo de la funcin es encender los mdulos PWM y ADC adems de la
configuracin del Timer 1 y habilitar la interrupcin del ADC. En la configuracin del
Timer se establece un tiempo correspondiente al periodo de conmutacin para que el
ADC comience a muestrear. La funcin Encendido se muestra en la figura 3.23.

void Encendido(void)
{
T1CON = 0x0000;
TMR1 = 0x0000;
PR1 = 843;
IFS0bits.ADIF = 0x0000;
IEC0bits.ADIE = 0x0001;
ADCONbits.ADON = 0x0001;
T1CONbits.TON = 0x0001;
PTCONbits.PTEN = 0x0001;
t = 0;
}

Figura 3.23. Funcin Encendido.

3.5.4.8 Funcin Calculos


Su funcin es aplicar la matriz de transformacin a la parte real y ortogonal de la
seal muestreada de esta manera obtener las partes directa y de cuadratura. Debido
a que el seno y coseno estn en un rango de valores de + 100 es necesario
recuperar el valor real de las seales por lo tanto se tiene que efectuar una divisin.
Ya que se tiene el valor real de la seal se efecta la transformacin de coordenadas
DQ al tiempo aplicando la matriz de antitransformacin. Para que este dato se cargue
al registro que genera el ciclo til, se debe realizar una adecuacin para que est
entre los lmites del valor del periodo de conmutacin. Cuando se realiza esto la
bandera que indica que termin de realizar los clculos se pone en cero para que no
vuelva a realizar la transformacin en ese periodo. Adems se aumenta una variable
que es la que indica el valor del seno y coseno que se debe cargar.

85
CAPTULO III. Desarrollo

En la figura 3.24 se presenta el cdigo implementado para llevar a cabo la


transformacin y antitransformacin a coordenadas DQ.

void Calculos(void)
{
XDv = (XRV*coseno[t]) + (XIV*seno[t]);
XQv = (-XRV*seno[t]) + (XIV*coseno[t]);
XDi = (XRI*coseno[t]) + (XII*seno[t]);
XQi = (-XRI*seno[t]) + (XII*coseno[t]);
XDv = (XDv)/100;
XQv = (XQv)/100;
XDi = (XDi)/100;
XQi = (XQi)/100;
T = (XDv*coseno[t]) - (XQv*seno[t]);
T = T/5;
Periodo = 14350 + T;
if(Periodo < 500)
{
Periodo = 500;
}

if(Periodo > 27000)


{
Periodo = 27000;
}
PDC1 = Periodo;
listo = 0;
if(t<=359)
{
t++;
if (t == 359)
t = 0;
}
}

Figura 3.24. Funcin Calculos.

86
CAPTULO III. Desarrollo

3.5.4.9 Funcin de servicio a la interrupcin del ADC


La rutina de interrupcin es la que se encarga de cargar los valores muestreados y
convertidos en las variables asignadas. Tambin se elimina el offset de la seal de
entrada para que no existan conflictos durante la transformacin a DQ ya que los
valores del seno y coseno no tienen offset y es importante que estn en el mismo
nivel. Por medio de una bandera se indica que los datos han sido tomados y que se
puede ejecutar la funcin Calculos.

void __attribute__ ((interrupt,auto_psv)) _ADCInterrupt(void)


{
IFS0bits.ADIF = 0;
XRI = (ADCBUF0 >> 2);
XII = (ADCBUF1 >> 2);
XRV = (ADCBUF2 >> 2);
XIV = (ADCBUF3 >> 2);
XRI = (signed int)(XRI - 127);
XII = (signed int)(XII - 127);
XRV = (signed int)(XRV - 127);
XIV = (signed int)(XIV - 127);
listo = 1;
ADSTATbits.P0RDY= 0;
ADSTATbits.P1RDY= 0;
TMR1 = 0x0000;
}

Figura 3.25. Rutina de interrupcin del ADC.

3.6 Diseo de un controlador lineal


El objetivo del controlador es que mantenga una corriente fija sin importar los
cambios de carga que sucedan, debido a que cuando se conecte a la Red Elctrica
este debe generar una potencia fija. Otra funcin importante que debe cumplir es que
tenga una buena respuesta ante cambios de carga, esto es, que no existan picos de

87
CAPTULO III. Desarrollo

corriente muy elevados y que sea capaz de estabilizarse en un tiempo en el orden de


los milisegundos. Adems debe seguir la referencia, que en este caso es la red
elctrica, en fase y la amplitud que corresponde a un nivel de corriente as como un
factor de potencia unitario. Todo esto se realiza en coordenadas DQ por lo que la
fase de la seal est dada por la proporcin entre la parte directa y la de cuadratura y
la amplitud la establece la magnitud de dichas seales. La ventaja que se presenta al
realizar un controlador lineal en coordenadas DQ es que las seales a compensar
son del tipo cd. Para que se cumplan estos objetivos se debe analizar el
comportamiento del sistema y de acuerdo a la respuesta del mismo realizar el diseo
del controlador.
El controlador fue diseado con base al modelado de la planta con el cual se observa
el comportamiento del sistema. Para obtener las ecuaciones de estado se analiz el
circuito de la figura 3.26 y como puede observarse es un inversor puente completo
monofsico con salida en filtro LC que representa al sistema.

Figura 3.26. Circuito de anlisis para el modelado del sistema.

Debido a la naturaleza de los componentes, existen dos variables de estado, stas


son la corriente del inductor y el voltaje en el capacitor. En el voltaje de entrada del
filtro se reflejan las funciones de conmutacin de los transistores y aplicando la teora
de circuitos se obtienen las ecuaciones de estado (3.7) y (3.8).

88
CAPTULO III. Desarrollo

0
78
9= :
'
1,
#

3 = 4 + 5

=>? @ =A?
1,
7
< 6 8 9 + ) 8 - 'BCD
'
1,
0
#

7
7E = F0 1, G 6 8 9
'

(3.7)

(3.8)

Como se observa en la ecuacin (3.8), la salida del sistema se obtuvo en funcin a la


corriente, ya que ste es el parmetro a controlar y con base a sta se hace el
diseo. Con estas ecuaciones se obtiene la funcin de transferencia a la que se le
aplica un impulso para ver su comportamiento y con base a ste proponer los
parmetros a mejorar por el controlador.
Para obtener la funcin de transferencia del sistema, ecuacin (3.9), se utiliz el
software MATLAB en el cual se introdujeron los valores para cada dispositivo L = 2.1
mH, C = 6.6 F, R = 30 y Vi = 64 V en las ecuaciones de estado (3.7) y (3.8).
HI
HJ

KLKMN LOO(LOPNPKKNMQ

L(NMOKKNL MQR " 1 LMMLONKKLPLP O NR 1 QQPM QO(NOPPP (((((

(3.9)

En la figura 3.27 se presenta la grfica de la respuesta del sistema ante un impulso,


los parmetros que se evalan son el tiempo de estabilizacin que es de 2.15 ms y el
mximo sobreimpulso de la seal el cual es del 29%. Tambin se observa que la
respuesta es sub-amortiguada por lo tanto tiene polos complejos conjugados dados
en la funcin de transferencia. A partir de estos se propone un nuevo tiempo de
estabilizacin, as como un mximo sobreimpulso de tal forma que el sistema
reaccione de la manera deseada, por lo que se proponen un tiempo menor de
estabilizacin y un Mp (mximo sobreimpulso) menor para evitar picos muy altos al
existir una variacin en la carga del sistema.

89
CAPTULO III. Desarrollo

Figura 3.27. Respuesta del sistema ante un impulso.

Los polos del sistema (3.10) estn dados por la funcin de transferencia en lazo
abierto (3.9) los cuales determinan las caractersticas propias del sistema y con base
a ellos y a los parmetros de diseo se aplica el mtodo del lugar de las races.
 = 3030.3 U7935.2

(3.10)

Las ecuaciones para obtener los polos deseados del sistema a partir de los
parmetros deseados son las siguientes (3.11). La primera est dada por el tiempo
de estabilizacin ts, donde la parte real del polo deseado la define . La segunda
ecuacin relaciona al mximo sobreimpulso con la parte imaginaria del polo deseado,
d.

tY =

donde:
es la parte real del polo deseado.
d es la parte imaginaria del polo deseado.

_`

[\] ^ @ab

(3.11)

90
CAPTULO III. Desarrollo

Se propone un tiempo de estabilizacin de 1 ms y un Mp del 5% y mediante las


ecuaciones (3.11) se obtienen los polos deseados con posicin en (3.12)
 = 4000 U4202.8

(3.12)

Con estos datos se hace posible aplicar el mtodo del lugar de las races utilizando el
procedimiento que se explic en el captulo 2. Para obtener el cero del controlador se
agrega un integrador para asegurar que el error en estado estable sea cero y se
realiza un anlisis de ngulos de llegada de los polos en lazo abierto respecto a los
polos deseados en el plano s.
La ganancia del controlador se obtiene mediante la ecuacin (3.13) que relaciona la
funcin de transferencia en lazo cerrado con los polos deseados, el integrador y el
cero obtenido.
d$(R1$CBE)
R

ef|R]K(((1hK ( .N = 1

(3.13)

Despejando Kc se obtiene la ganancia del controlador:


i = 0.675
El controlador con el cero (3.14) y la ganancia obtenidos se muestran en la ecuacin
(3.15).
 = 500

G(s) =

(.QOPR1LLO.P
R

(3.14)
(3.15)

Para realizar la implementacin de manera digital es necesario discretizar el


controlador lo cual se logra con la ayuda del software MATLAB. El controlador
discretizado est dado en la ecuacin (3.16).

G(z) =

(.QOPm@(.QPML
m@

(3.16)

91
CAPTULO III. Desarrollo

Realizando la transformacin inversa z se llega a la ecuacin (3.17) que puede ser


implementada en un microcontrolador. En esta ecuacin se muestra la salida
anterior, el error anterior y actual a los cuales se les aplica una ganancia y de esta
manera controlar el sistema.
nopq = 0.675 ^opq 0.6593 ^op 1q nop 1q

(3.17)

Finalmente, el sistema en lazo cerrado se simula en Simulink dentro del entorno de


las coordenadas DQ, se hace la variacin de la carga y se establece el rango en el
cual el controlador podr responder.
En la referencia [3] se puede encontrar de forma detallada el diseo del controlador
para este sistema.

3.7 Implementacin del sistema en lazo abierto


En la figura 3.28 se muestra la placa de circuito impreso en la que se encuentran
implementados el inversor monofsico puente completo, el filtro LC, la adecuacin
para la seal de la red elctrica as como del sensado de corriente del sistema. En
ella se muestran cada parte del sistema y sus componentes.
En este captulo se desarroll el mtodo de diseo e implementacin de los
elementos planteados de un sistema fotovoltaico interconectado. En el siguiente
captulo se presentan las pruebas realizadas a las etapas implementadas del sistema
en forma prctica y las simulaciones del controlador en Simulink de MATLAB.

92
CAPTULO III. Desarrollo

Figura 3.28. Placa de circuito impreso del sistema implementado

93
CAPTULO III. Desarrollo

Referencias
[1]

Muhammad H. Rashid, Electrnica de potencia. Circuitos, Dispositivos y


aplicaciones, Editorial Pearson Educacin, Tercera edicin, 2004.

[2]

MICROCHIP Technology Inc., dsPIC30F1010/202X, Datasheet, (Pgina Web),


http://ww1.microchip.com/downloads/en/DeviceDoc/70178C.pdf, consultada en
Noviembre 2008.

[3]

ANGULO Guzmn Sara Yael, Aportacin al Desarrollo de un Inversor


Monofsico para Sistemas Fotovoltaicos Interconectados con nfasis en una
Tcnica de Control Lineal, Tesis de Ingeniero en Electrnica, Instituto
Tecnolgico de Sonora, Cd. Obregn, Sonora, Mayo de 2009.

CAPTULO IV
Pruebas y Resultados
En el desarrollo del presente captulo se presentan las pruebas realizadas a este
proyecto, el cual incluye un inversor, el sensado y la adecuacin del mismo, la
implementacin de la tcnica de transformacin a DQ y una simulacin de un
controlador en coordenadas DQ. El desempeo del inversor se prob con una carga
puramente resistiva y con un filtro LC. La transformacin a coordenadas rotatorias
DQ se realiz con un microcontrolador comparando las seales antes y despus de
aplicar las matrices de transformacin. Por ltimo, las pruebas del controlador se
realizaron en el programa Simulink de MATLAB con diferentes resistencias de carga
en las ecuaciones de estado del sistema.

95
CAPTULO IV. Pruebas y resultados

4.1 Pruebas en el inversor


Para comprobar el correcto funcionamiento del inversor se realizaron pruebas con
una carga resistiva y con un filtro LC en la salida variando el ndice de modulacin.
Antes de realizar cualquier prueba es necesario comprobar que la sealizacin sea
correcta, es decir, que los transistores de una misma rama no conduzcan al mismo
tiempo, esto se realiza con el fin de evitar que se produzcan daos en los dispositivos
a causa de un cortocircuito en la fuente de cd. Para que lo anterior se cumpla los
disparos deben ser complementarios y con un tiempo muerto entre ellos. La figura
4.1 muestra los disparos alto y bajo para los transistores que son generados por el
mdulo PWM del dsPIC, se puede observar que son complementarios.

Figura 4.1. Disparos complementarios para los transistores.

En la figura 4.2 se pueden observar los disparos altos y bajos de la primera y


segunda rama del inversor.

96
CAPTULO IV. Pruebas y resultados

a)

b)
Figura 4.2. Disparos Alto y bajo de la primera y segunda rama. a) Disparo Alto y bajo de la primera
rama. b) Disparo alto y bajo de la segunda rama.

El microcontrolador genera el tiempo muerto de 1 s, como se observa en la figura


4.3, es un tiempo entre el encendido y el apagado de los transistores donde ambos
permanecen apagados.

97
CAPTULO IV. Pruebas y resultados

Figura 4.3. Tiempo muerto en los disparos de los transistores.

Una vez que se comprob que las seales de PWM para los transistores son
correctas se pueden empezar a realizar las pruebas al inversor, en las cuales el
inversor se prob de dos maneras como se muestra en la figura 4.4 la primera con
una carga resistiva de 25 y la segunda con filtro LC conformado de un inductor de
2.1 mH, un capacitor de 6.6 F y una resistencia de 25 .

a)

b)

Figura 4.4. Diagramas para la realizacin de pruebas en el inversor. a) Con carga solamente resistiva.
b) Con filtro LC a la salida.

98
CAPTULO IV. Pruebas y resultados

Las pruebas a las que se someti el inversor con una fuente de cd a 100 V consisten
en variaciones en el ndice de modulacin y en una variacin de la carga de
puramente resistiva a una salida con filtro LC. Estas se presentan a continuacin.
En la figura 4.5 se muestra el voltaje de colector a emisor en el transistor el cual
presenta una amplitud de 105 Vpp.

Figura 4.5. Voltaje de emisor a colector en el transistor.

Al tener en la salida del inversor una carga resistiva de 25 la forma de onda de


salida del mismo es la que se puede apreciar en la figura 4.6 en la cual se pueden
apreciar variaciones en el ancho de pulso de la seal, caracterstico en una seal de
tipo SPWM. Al ser un inversor con salida bipolar la amplitud del voltaje de salida es
de 216 Vpp.
Al colocar en la salida del inversor un filtro LC la forma de onda que se obtiene es
una senoidal con la misma frecuencia que la fundamental, tanto en voltaje como en
corriente, como la que se muestra en la figura 4.7 y en este caso el voltaje tiene una
amplitud de 123.6 Vpp y la magnitud de la corriente es de 1.42 Arms. Se realiz una
medicin del total de distorsin armnica (THD) en el voltaje y corriente, con las
condiciones anteriores y este result ser de:

99
CAPTULO IV. Pruebas y resultados

THDVoltaje = 2.6 %

THDCorriente = 2.9 %

Figura 4.6. Forma de onda en la salida del inversor con carga resistiva.

Figura 4.7. Voltaje y corriente de salida del inversor con filtro LC.

100
CAPTULO IV. Pruebas y resultados

a)

b)

c)

d)

Figura 4.8. Voltaje y corriente de salida del inversor con filtro LC con diferentes ndices de
modulacin. a) m = 0.2. b) m= 0.4. c) m = 0.6. d) m = 0.8.
Tabla 4.1 Variacin del ndice de modulacin en el inversor.

Vrms

Vpp

Arms

App

0.2

7.96

23.4

0.264

0.784

0.4

16.33

48.72

0.555

1.692

0.6

25.24

74.88

0.859

2.56

0.8

33.6

100

1.147

3.37

En la figura 4.8 se muestran las distintas formas de onda de voltaje y corriente


correspondiente a distintos ndices de modulacin, de 0.2 a 0.8, se puede observar

101
CAPTULO IV. Pruebas y resultados

que con un ndice de modulacin de 0.2 se presenta una mayor distorsin. Las
magnitudes resultantes de voltaje y corriente ante estos cambios se presentan en la
tabla 4.1.
Con las pruebas anteriores se demuestra que el inversor funciona correctamente en
distintas condiciones tanto de potencia como de carga, el siguiente paso es
comprobar el sensado y la adecuacin de la seal.

4.2 Sensado y adecuacin


El sensado de la corriente en la salida del inversor se realiza por medio de una
resistencia de 0.1 , donde la diferencia de potencial que se presenta en la
resistencia es proporcional a la corriente que fluye en ella.
La adecuacin del sensado se realiz para una corriente de 2.64 App, es decir, con
este valor de corriente se obtiene a la entrada del ADC del microcontrolador dos
seales desfasadas 90 que van de 0 a 5 V.
En la figura 4.9 se muestra la corriente en la carga la cual es de 2.64 App y el voltaje
en la resistencia de sensado el cual es de 272 mVpp, como se puede observar el
voltaje de sensado presenta picos los cuales son causados por armnicos de alta
frecuencia debido a la conmutacin de los transistores.
Una vez realizado el sensado el siguiente paso es que la seal proveniente del
sensor sea procesada por el INA129P el cual es un operacional de instrumentacin
que maneja seales de muy bajo voltaje y que se puede configurar para generar una
ganancia en la seal, en este caso est configurado para un ganancia de 50. En la
figura 4.10 se muestra dicha seal la cual tiene una amplitud de 15.6 Vpp, en la
forma de onda se puede apreciar el ruido de alta frecuencia generado por la
conmutacin que se present en la seal de sensado.

102
CAPTULO IV. Pruebas y resultados

Figura 4.9. Corriente en la carga y voltaje de sensado.

Figura 4.10. Voltaje de salida en el INA 129P.

103
CAPTULO IV. Pruebas y resultados

Debido a que los ruidos de alta frecuencia estn presentes en la seal es necesario
realizar un filtrado de la misma, esto se logr mediante un filtro pasabajas
Butterworth de 3er orden con una frecuencia de corte de 600 Hz, el cual se detall en
la seccin 3.4, la seal ya filtrada se muestra en la figura 4.11 y tiene una magnitud
de 0.6 Vpp, como se puede observar esta es de menor amplitud que la salida del
operacional de instrumentacin esto se debe a que la seal se atenu
empricamente, mediante un operacional configurado como un atenuador, para que
pudiera ser procesada por el filtro sin que ste la deformara.

Figura 4.11. Voltaje de salida en el filtro pasabajas Butterworth.

En la siguiente etapa se realiz un aislamiento con ayuda de un optoacoplador lineal


con el fin de proveer proteccin a la parte digital. Ya con el aislamiento de la parte del
sensado a la parte digital se realiza el desfasamiento de 90 con el fin de obtener las
seales real y ortogonal necesarias para realizar la transformacin a coordenadas
DQ.
En la figura 4.12 se pueden observar la seal real y ortogonal ya con el offset
generado por los sumadores y estas van de 0 a 5 V.

104
CAPTULO IV. Pruebas y resultados

Figura 4.12. Seales real y ortogonal del sensado de corriente con offset.

Figura 4.13. Seales real y ortogonal del sensado de la red con offset.

Para realizar el sensado de la red este se hace con un transformador despus esta
seal se atena con un divisor de voltaje, y se realiza un desfasamiento de 90 para

105
CAPTULO IV. Pruebas y resultados

despus sumarles un offset y as las seales quedan de 0 a 5 V como se muestran


en la figura 4.13.

4.3 Transformacin a coordenadas DQ


Antes de hacer la implementacin de la tcnica de transformacin a coordenadas DQ
se realiz la simulacin de la misma en el programa Simulink de MATLAB, ambas se
detallan a continuacin.

4.3.1 Simulacin de la tcnica de transformacin a coordenadas DQ


La simulacin se realiz como se muestra en la figura 4.14, a una seal senoidal se
le aplica el bloque de transformacin a DQ, el cual realiza el retraso de 90 adems
de la transformacin, y despus con el otro bloque se realiza la transformacin al
tiempo con lo cual se vuelve a obtener la seal senoidal.

Figura 4.14. Diagrama de simulacin de la tcnica de transformacin DQ.

En el bloque de transformacin a DQ se obtiene la seal real y ortogonal, y con estas


se aplica la matriz de transformacin, la cual es una multiplicacin y suma de senos y
cosenos tal como se explic en el captulo 2, a la salida del bloque se tienen las dos
partes de la seal tanto la directa (D) como la de cuadratura (Q), las cuales se
muestran en la figura 4.15 y como se puede observar las dos seales despus de un
tiempo de estabilizacin se transforman en seales de cd, por lo que se demuestra
que el bloque funciona correctamente.

106
CAPTULO IV. Pruebas y resultados

Figura 4.15. Parte D y Q de la seal transformada.

Figura 4.16. Seal antes y despus de aplicar la tcnica de transformacin.

Con las partes D y Q de la seal se aplica la matriz de antitransformacin, la cual ya


se abord en el captulo 2, en el bloque de transformacin al tiempo y con esto se
obtiene la seal senoidal, y como se puede observar en la figura 4.16 la seal original
y a la que se le aplic la transformacin son exactamente iguales ya que no existen
cambios en forma, fase, amplitud y frecuencia, por lo tanto la tcnica de
transformacin no afecta a la seal de ninguna manera.

107
CAPTULO IV. Pruebas y resultados

4.3.2 Implementacin de la tcnica de transformacin a coordenadas DQ


Para comprobar que la implementacin digital de la tcnica de transformacin a
coordenadas DQ funciona correctamente se realiz una prueba en la cual a las
seales real y ortogonal del sensado de la red se les aplic la matriz de
transformacin y antitransformacin en un microcontrolador, con el cdigo que se
detalla en la seccin 3.5, despus de esto se manda al mdulo PWM, generando as
una seal del tipo mostrado en la figura 4.17, despus de eso se filtra para slo
obtener la frecuencia fundamental y eliminar los componentes de alta frecuencia.

Figura 4.17. PWM generado para la tcnica de transformacin a coordenadas DQ.

En la figura 4.18 se muestran las seales antes y despus de aplicar la tcnica de


coordenadas DQ y se observan que ambas seales son iguales lo que indica que la
implementacin de la tcnica funciona, ya que la seal como entra es como se
recupera despus del filtrado.

108
CAPTULO IV. Pruebas y resultados

Figura 4.18. Seales antes y despus de la aplicacin de la tcnica a coordenadas DQ.

4.4 Simulacin del control en coordenadas DQ


Las pruebas en el controlador se realizaron en el programa Simulink de MATLAB
utilizando el diagrama que se muestra en la figura 4.19 en esta se muestra cada
bloque. Como se puede observar a la salida del bloque de la planta y a la referencia
se les aplica la transformacin a coordenadas DQ, ya con las componentes directa y
de cuadratura se obtiene el error tanto de D como de Q, se realiza la compensacin
en ambas partes y se aplica la matriz de antitransformacin para obtener una seal
en el tiempo, esta seal se compara con una diente de sierra para obtener el PWM
que es la entrada del sistema.
El control se prob con un voltaje de entrada en el sistema de 100 V en cd y la
referencia con una amplitud de 1.25 Vpp, con esto se espera que la salida del
sistema se 1.25 App, adems se vari la carga en el sistema con cuatro valores
diferentes: 30 , 70 , 100 y 110 , esto se hace porque el controlador debe

109
CAPTULO IV. Pruebas y resultados

hacer que el sistema siempre tenga la misma corriente de salida sin importar la
carga, adems de establecer el rango de valores en que ste funciona.

Figura 4.19. Diagrama del controlador en coordenadas DQ en Simulink.

4.4.1 Pruebas al controlador con carga de 30


La primera prueba se realiz con una carga de 30 a continuacin se detallan los
resultados obtenidos en cada parte para ste valor de resistencia, es decir, desde la
transformacin a DQ hasta la salida del sistema, adems de la respuesta del
controlador ante una perturbacin.
En la figura 4.20 se muestran las partes de directa y cuadratura de la seal de salida
del sistema y la seal de referencia, como se puede apreciar una vez que el sistema
se estabiliza stas se transforman de seales en ca a cd, otro punto importante es
que la parte directa de la corriente oscila en cero al igual que la parte directa de la
referencia y esto mismo ocurre con las partes de cuadratura de las seales ambas
oscilan en -0.6, esto se debe a que el controlador est trabajando y hace que el
sistema tenga una salida igual a la referencia.
La amplitud de la parte directa como la de cuadratura tiene que ver con la amplitud
de la seal transformada y la relacin de la magnitud de ambas partes depende de la
fase que la seal tenga cuando se le aplique la matriz de transformacin.

110
CAPTULO IV. Pruebas y resultados

Figura 4.20. Seales en DQ del sistema y la referencia para una carga de 30 . a) Parte D del
sistema. b) Parte Q del sistema. c) Parte D de la referencia. d) Parte Q de la referencia.

Una vez que ambas seales estn en DQ lo que se procede a hacer es calcular el
error, el cual se muestra en la figura 4.21 es importante notar que ste vara en cero
tanto la parte directa como la parte de cuadratura una vez que el sistema se
estabiliza.

Figura 4.21. Error en coordenadas DQ para una carga de 30 . a) Error de la parte D. b) Error de la
parte Q.

111
CAPTULO IV. Pruebas y resultados

Figura 4.22. Salida del controlador en coordenadas DQ para una carga de 30 . a) Salida del
controlador de la parte D. b) Salida del controlador de la parte Q.

En la figura 4.22 las salidas de los controladores tanto el de la parte directa como el
de la parte de cuadratura y se puede observar que una vez estabilizados estos son
seales en cd, el tiempo que transcurre para que el sistema se estabilice se conoce
como tiempo de estabilizacin.

Figura 4.23. Generacin del PWM para una carga de 30 . a) Seal diente de sierra. b) Salida del
controlador en el tiempo. c) Seal PWM.

112
CAPTULO IV. Pruebas y resultados

Ya que se aplic el controlador lo que sigue es transformar la seal de DQ al tiempo


de sta manera se obtiene una senoidal como salida y se compara una diente de
sierra para generar una seal PWM, como se observa en la figura 4.23.
En la figura 2.24 se comprueba que el controlador si funciona con una resistencia de
30 ya que la salida del sistema una vez que se estabiliza es idntica a la referencia
tanto en amplitud como en fase, con un tiempo de estabilizacin en la corriente de 60
ms, el cual es un tiempo de estabilizacin corto, el nico detalle que se presenta es
en las crestas que tiene un poco de oscilacin.

Figura 4.24. Seal de referencia y salida del sistema para una carga de 30 .

Se realiz otra prueba en el controlador en el cual se le aplica una perturbacin en


estado estable, esta consisti en cambiar la fase de la referencia cuando el
controlador se encontraba en estado estable, esta es una manera de probar la
respuesta del controlador ante un cambio en el sistema. A continuacin se detallan
los resultados de dicha prueba.
En la figura 4.25 se muestran las seales despus de la transformacin a
coordenadas DQ, como se puede observar al cambiar la fase de la referencia se
producen unas oscilaciones y la seal tarda un tiempo en volver a estabilizarse, sin
embargo logra volver a convertirse una seal de cd.

113
CAPTULO IV. Pruebas y resultados

Figura 4.25. Seales en DQ del sistema y la referencia para una carga de 30 al aplicar una
perturbacin. a) Parte D del sistema. b) Parte Q del sistema. c) Parte D de la referencia. d) Parte Q de
la referencia.

La salida del controlador para la parte directa y de cuadratura se observa en la figura


4.26 en sta se puede apreciar que ambas tardan lo mismo para estabilizarse
despus del cambio de fase.

Figura 4.26. Salida del controlador en coordenadas DQ para una carga de 30 al aplicar una
perturbacin. a) Salida del controlador de la parte D. b) Salida del controlador de la parte Q.

114
CAPTULO IV. Pruebas y resultados

En la figura 4.27 se muestra una comparacin de la seal de referencia y la salida del


sistema y se puede observar que al realizar el cambio de fase en la referencia la
salida del sistema tarda un tiempo en estabilizarse, por lo que el controlador tiene
una buena respuesta ante una perturbacin en estado estable ya que este vuelve a
seguir a la referencia.

Figura 4.27. Seal de referencia y salida del sistema para una carga de 30 al aplicar una
perturbacin.

4.4.2 Pruebas al controlador con carga de 70


En las ecuaciones de estado del sistema se realiz una variacin de la resistencia de
30 a 70 , a continuacin de se detalla la respuesta del controlador para ste
caso.
Las partes directa y de cuadratura de las seales del sistema y de referencia se
muestran en la figura 4.28 como se puede apreciar las seales tardan un tiempo en
estabilizarse en el caso de las seales del sistema esto se debe a la respuesta del
controlador.

115
CAPTULO IV. Pruebas y resultados

Figura 4.28. Seales en DQ del Sistema y la referencia para una carga de 70 . a) Parte D del
sistema. b) Parte Q del sistema. c) Parte D de la referencia. d) Parte Q de la referencia.

Sin embargo, las dos seales directas se estabilizan donde mismo al igual que las
dos seales de cuadratura, debido a esto una vez que se estabiliza el error oscila en
cero como se muestra en la figura 4.29.

Figura 4.29. Error en coordenadas DQ para una carga de 70 . a) Error de la parte D. b) Error de la
parte Q.

116
CAPTULO IV. Pruebas y resultados

En la figura 4.30 se muestra la salida del controlador en coordenadas DQ por lo que


estas son seales en cd y cuando se transforma al tiempo es una seal senoidal, es
necesario que esta sea una seal de este tipo ya que despus se compara con una
diente de sierra y de esta manera se genera el PWM, adems de que esta al venir
del controlador da los ciclos de trabajo para el sistema.

Figura 4.30. Salida del controlador en coordenadas DQ para una carga de 70 . a) Salida del
controlador de la parte D. b) Salida del controlador de la parte Q.

La comparacin entre la corriente de salida del sistema y la referencia se muestra en


la figura 4.31, se puede observar que despus de un tiempo de 18 ms stas son
completamente iguales, esto es porque en ese el tiempo el sistema llega a su estado
estable.
Se puede apreciar que en la seal de salida del sistema no existen oscilaciones en
las crestas como sucede con la carga de 30 y tiene un tiempo de estabilizacin
menor, por lo cual se puede concluir el controlador responde mejor con la carga de
70 que a 30 .
La respuesta del controlador con este valor de resistencia al realizar un cambio de
fase en la seal de referencia se detalla a continuacin.

117
CAPTULO IV. Pruebas y resultados

Figura 4.31. Seal de referencia y salida del sistema para una carga de 70 .

En la figura 4.32 se muestran las partes de directa y cuadratura de la seal de salida


del sistema y la seal de referencia, como se puede apreciar una vez que el sistema
se estabiliza stas se transforman de seales en ca a cd, y al aplicar la perturbacin
sucede lo mismo, tarda un tiempo en volver a estabilizarse.

Figura 4.32. Seales en DQ del sistema y la referencia para una carga de 70 al aplicar una
perturbacin. a) Parte D del sistema. b) Parte Q del sistema. c) Parte D de la referencia. d) Parte Q de
la referencia.

118
CAPTULO IV. Pruebas y resultados

Figura 4.33. Salida del controlador en coordenadas DQ para una carga de 70 al aplicar una
perturbacin. a) Salida del controlador de la parte D. b) Salida del controlador de la parte Q.

La salida del controlador se muestra en la figura 4.33 y en esta se observa que al


suceder el cambio de fase el controlador compensa la salida y sigue la nueva fase de
la referencia, al volver a la fase deseada el controlador compensa al sistema para
que siga a la seal.

Figura 4.34. Seal de referencia y salida del sistema para una carga de 70 al aplicar una
perturbacin.

119
CAPTULO IV. Pruebas y resultados

Al observar la figura 4.34 se puede comprobar que el controlador con una carga de
70 responde bien ante el cambio de fase de la referencia, ya que despus de un
tiempo de estabilizacin la salida del sistema vuelve a seguir la fase y amplitud de la
seal de referencia.

4.4.3 Pruebas al controlador con carga de 100


Se realiz un cambio de resistencia una con un valor de 70 a 100 , a
continuacin de se detalla la respuesta del controlador para este caso.
En la figura 4.35 se observan las seales en coordenadas DQ las cuales al igual que
con las otras resistencias tardan un tiempo en estabilizarse y despus se mantienen
oscilando en 0 y - 0.6 respectivamente.

Figura 4.35. Seales en DQ del sistema y la referencia para una carga de 100 . a) Parte D del
sistema. b) Parte Q del sistema. c) Parte D de la referencia. d) Parte Q de la referencia.

El error de la parte real y de cuadratura es aproximadamente cero como se muestra


en la figura 4.36 lo que indica que el compensador est trabajando correctamente al
igual que con las resistencias anteriores.

120
CAPTULO IV. Pruebas y resultados

Figura 4.36. Error en coordenadas DQ para una carga de 100 . a) Error de la parte D. b) Error de la
parte Q.

Es importante sealar que las salidas del controlador son las que indican el tiempo
til en el PWM, en la figura 4.37 estas se muestran en coordenadas DQ, y debido a
que en el tiempo esta es una seal senoidal se compara con una seal diente de
sierra y se genera el PWM.

Figura 4.37. Salida del controlador en coordenadas DQ para una carga de 100 . a) Salida del
controlador de la parte D. b) Salida del controlador de la parte Q.

121
CAPTULO IV. Pruebas y resultados

Figura 4.38. Seal de referencia y salida del sistema para una carga de 100 .

Como se muestra en la figura 4.38 despus de un tiempo de estabilizacin de 30 ms


la corriente de salida del sistema es idntica a la referencia lo que demuestra que el
controlador trabaja correctamente para ste valor de carga.

Figura 4.39. Seales en DQ del sistema y la referencia para una carga de 100 al aplicar una
perturbacin. a) Parte D del sistema. b) Parte Q del sistema. c) Parte D de la referencia. d) Parte Q de
la referencia.

122
CAPTULO IV. Pruebas y resultados

Para probar la respuesta del controlador ante un cambio del sistema en estado
estable se realiz un cambio de fase en la seal de referencia. En la figura 4.39 se
muestran las partes directa y de cuadratura del sistema y se observa que el sistema
se estabiliza y sigue a la referencia despus del cambio de fase.
La figura 4.40 muestra como el controlador al suceder la perturbacin responde para
que el sistema vuelva a seguir la referencia y cuando esto sucede se estabiliza.

Figura 4.40. Salida del controlador en coordenadas DQ para una carga de 100 al aplicar una
perturbacin . a) Salida del controlador de la parte D. b) Salida del controlador de la parte Q.

Como se puede observar en la figura 4.41 el sistema tarda un tiempo en lograr seguir
la referencia despus del cambio de fase de sta, por lo que el controlador tambin
tiene una buena respuesta ante una perturbacin en este valor de resistencia.
A continuacin se detalla la respuesta del controlador con un valor de resistencia de
110 , con esto ya se logra probar el comportamiento del controlador con una rango
ms amplio para poder establecer el rango de resistencia en que ste trabaja
correctamente.

123
CAPTULO IV. Pruebas y resultados

Figura 4.41. Seal de referencia y salida del sistema para una carga de 100 al aplicar una
perturbacin.

4.4.4 Pruebas al controlador con carga de 110


La ltima prueba realizada al controlador en la simulacin fue utilizando una carga de
110 en las ecuaciones de estado del sistema, con esto se abarca un rango de
resistencias para llegar a los lmites en que el control funciona correctamente.

Figura 4.42. Seales en DQ del sistema y la referencia para una carga de 110 . a) Parte D del
sistema. b) Parte Q del sistema. c) Parte D de la referencia. d) Parte Q de la referencia.

124
CAPTULO IV. Pruebas y resultados

En la figura 4.42 se muestran las partes directa y de cuadratura de la seal de


referencia y de la salida del sistema, se puede observar que la tcnica de
transformacin a coordenadas DQ se realiz correctamente, ya que no son seales
senoidales.
En la generacin del PWM mostrada en la figura 4.43 se puede observar que existe
una sobremodulacin, esto se presenta cuando la amplitud de la seal senoidal es
mayor que la amplitud de la seal diente de sierra por lo que al realizar la
comparacin de ambas durante una parte del periodo no se producen cambios en el
ciclo til del PWM.

Figura 4.43. Generacin del PWM para una carga de 110 . a) Seal diente de sierra. b) Salida del
controlador en el tiempo. c) Seal PWM.

En la figura 4.44 se puede apreciar la comparacin de la seal de salida sistema y la


referencia, se observa que la seal de salida presenta saturacin en las crestas, con
esto se puede concluir que el controlador no funciona correctamente para este valor
de resistencia, por lo que se puede establecer el rango de valores de la carga entre
30 y 100 .

125
CAPTULO IV. Pruebas y resultados

Figura 4.44. Seal de referencia y salida del sistema para una carga de 110 .

El controlador funciona correctamente en tres valores de resistencias, 30 , 70 y


100 , ya que en los tres casos la salida de inversor es idntica en fase, frecuencia y
amplitud a la seal de referencia, a excepcin de cuando se utiliza la resistencia de
30 que en las crestas de la seal aparece una pequea oscilacin, la diferencia
principal que se presenta en la respuesta del controlador es el tiempo de
estabilizacin los cuales son:
-

R = 30 , ts = 60 ms.

R = 70 , ts = 18 ms.

R = 100 , ts = 30 ms.

Como se puede observar todos los tiempos de estabilizacin son mayores al


propuesto al disear el controlador que es de 1 ms, sin embargo el controlador
funciona ya que la salida del sistema logra seguir la referencia a pesar de las
perturbaciones que se aplicaron al sistema.
Segn los resultados obtenidos con la resistencia de 70 tiempo de estabilizacin
es menor que con los otros y la seal de salida no presenta ninguna oscilacin por lo
cual la mejor respuesta del controlador la tiene con esta resistencia.

126
CAPTULO IV. Pruebas y resultados

En el siguiente captulo se muestran las conclusiones a las que se llegaron con la


realizacin de este proyecto, y se mencionan diferentes recomendaciones para
mejorar el desempeo del sistema en futuras investigaciones.

127
CAPTULO IV. Pruebas y resultados

Referencias
[1]

Hart W. Daniel, Electrnica de potencia, Editorial Pearson Educacin,


Primera edicin, 2001.

Conclusiones y recomendaciones
Durante el desarrollo de este trabajo se logr cumplir con el objetivo desde un punto
de vista muy general de obtener un prototipo a lazo abierto de un sistema fotovoltaico
interconectado,

el

proyecto

se

dividi

principalmente

en

cuatro

partes:

implementacin de un inversor monofsico, sensado y adecuacin de la corriente del


sistema y del voltaje de la red elctrica, implementacin de la tcnica de
transformacin a coordenadas DQ en un microcontrolador, as como el diseo y
simulacin de una tcnica de control dentro de DQ.
Un objetivo de este proyecto es dejar las bases para futuras investigaciones acerca
de sistemas fotovoltaicos interconectados dentro del Instituto Tecnolgico de Sonora,
ya que es la primera vez que se realiza un trabajo de este tipo en la institucin,
adems de que el aprovechamiento de energa en los ltimos aos ha tomado gran
importancia a nivel mundial a causa de situacin actual de las energas alternas. Otro
punto importante es el clima en la regin que al tener un alto grado de insolacin la

129
CONCLUSIONES Y RECOMENDACIONES

mayor parte del ao es ideal para aprovechar la energa solar, por lo cual es
importante desarrollar formas de aprovechamiento de este tipo de energa.
La utilizacin de la tcnica de transformacin a DQ resulta interesante ya que
tpicamente esta se utiliza para inversores trifsicos y durante el desarrollo de este
proyecto se implement para controlar ms fcilmente un inversor monofsico y
convirtiendo las seales de tipo ca a cd las cuales son ms fciles de controlar.
Adems esta tcnica se puede aplicar a otros convertidores de potencia monofsicos
como lo es: rectificadores controlador con correccin del factor de potencia.
Respecto al diseo del controlador, este es relativamente sencillo y la simulacin
prob que ste funciona correctamente con distintos valores de resistencias ya que
se obtiene una corriente fija en la salida, con esto se logra el objetivo, adems de que
sta es igual a la referencia en fase, frecuencia y amplitud.
Para el sensado de la corriente en el inversor se utiliz una resistencia la cual es un
poco inadecuada ya que esta no provee aislamiento y es muy propensa al ruido por
conmutacin de los transistores, sera interesante que para un futuro proyecto se
utilice un sensor de corriente ms apropiado como lo es un transformador, y de esta
manera ya se contar con el aislamiento necesario.
Una mejora que se puede hacer al proyecto es al momento de implementar el
desfasamiento de 90, necesario para poder aplicar la matriz de transformacin a
coordenadas DQ, se realice de manera digital dentro del microcontrolador, con esto
se evitaran problemas de precisin al momento de hacer el desfasamiento de
manera analgica y con el offset al momento de aplicar los sumadores ya que es
muy difcil que las dos seales queden completamente iguales y todo eso le afecta al
DCS al momento de procesar las seales y aplicar la tcnica, puede ser que el
resultado no sea como se espere.
Dentro de las recomendaciones para futuros proyectos es agregar un medidor a la
salida del inversor para saber la cantidad de potencia que se est generando a partir
de los paneles solares, el cual debe de ser bidirecional, otro elemento a incluir es un

130
CONCLUSIONES Y RECOMENDACIONES

sistema de anti-islanding el cual es una proteccin necesaria para este tipo de


sistemas que estn conectados a la Red Elctrica, ya que ste es para la seguridad
de las personas que estn en contacto con el sistema. Otro elemento que debe estar
presente en un sistema de este tipo es un seguidor del mximo punto de potencia, ya
que ste permite aumentar la eficiencia en los paneles fotovoltaicos, por lo que sera
interesante desarrollar un sistema que contenga todos estos elementos.
Por ltimo, es muy interesante realizar proyectos de este tipo, ya que son proyectos
que necesitan de otras ramas de la electrnica, aparte de la electrnica de potencia,
como lo son el control y el procesamiento digital de seales, por lo cual el
conocimiento adquirido durante el desarrollo del trabajo es bastante y diverso ya que
no se centra en una sola rea, sino que permite trabajo en proyectos
multidisciplinarios.

BIBLIOGRAFA
1.

ANES,

Asociacin

Nacional

de

Energa

Solar,

(Pgina

Web),

http://www.anes.org, Consultada en Noviembre de 2008.

2.

Universidad Autnoma de Mxico, Centro de Investigacin de Energa,


(Pgina Web), http://xml.cie.unam.mx/xml/investigacion.xml, Consultada en
Noviembre de 2008.

3.

CINVESTAV, Especialidad de Electrnica del Estado Slido, (Pgina Web),


http://www.sees.cinvestav.mx/, Consultada en Noviembre de 2008.

4.

PEUELAS Machado Jos ngel, Algoritmo de Seguimiento del Mximo


Punto de Potencia para Sistemas Fotovoltaicos en Cd. Obregn, Sonora,
Tesis de Ingeniero en Electrnica, Instituto Tecnolgico de Sonora, Cd.
Obregn, Sonora, Octubre de 2008.

5.

MELENDEZ Bertoti Frank Edmundo, Monitoreo de sistema fotovoltaico de dos


posiciones con respecto al ngulo de elevacin en Cd. Obregn, Sonora,
Tesis de Ingeniero en Electrnica, Instituto Tecnolgico de Sonora, Cd.
Obregn, Sonora, Diciembre de 2006.

6.

Asociacin de Promotores y Productores de Energas Renovables de


Andaluca, (Pgina Web), http://www.aprean.com/index.html, Consultada en
Abril de 2009.

7.

A. Huang, Energy Internet - Future Renewable Electric Energy Delivery and


Management

(FREEDM)

Systems,

IEEE

Newsletter, vol. 20 ED-4, pp 8-9, Oct. 2008.

Power

Electronics

Society

132
BIBLIOGRAFA

8.

Comisin

Federal

de

Electricidad,

(Pgina

http://www.cfe.gob.mx/es/laempresa/generacionelectricidad,

Web),

Consultada

en

Diciembre de 2008.

9.

World

Wind

Energy,

(Pgina

Web),

http://www.wwindea.org/home/index.php?option=com_content&task=view&id=
198&Itemid=43, Consultada en Noviembre de 2008.

10.

Comisin

Nacional

Para

el

Ahorro

de

Energa,

(Pgina

Web),

http://www.conae.gob.mx/work/sites/CONAE/resources/LocalContent/4830/2/E
RM06.pdf, Consultada en Noviembre de 2008.

11.

Comisin

Federal

de

Electricidad,

(Pgina

Web),

http://www.cfe.gob.mx/es/LaEmpresa/generacionelectricidad/eoloelectrica/,
Consultada en Noviembre de 2008.

12.

Comisin

Federal

de

Electricidad,

(Pgina

Web),

http://www.cfe.gob.mx/es/Templates/otras/cmsVerImprimible.aspx?guid=%7B2
9667C60-C1D1-4EF3-8EBB-1D44F9A7A26C%7D, Consultada en Noviembre
de 2008.

13.

Comisin

Federal

de

Electricidad,

(Pgina

http://www.cfe.gob.mx/es/laempresa/generacionelectricidad,

Web),

Consultada

en

Noviembre de 2008.

14.

Comisin

Federal

de

Electricidad,

(Pgina

Web),

http://www.cfe.gob.mx/es/LaEmpresa/generacionelectricidad/lisctralesgenerad
ora,Consultada en Noviembre de 2008.

15.

IBAEZ Plana M., Tecnologa Solar, Mundi Prensa, 2005.

133
BIBLIOGRAFA

16.

TRISHAN Esram and Chapman Patrick L., Comparison of Photovoltaic Array


Maximum Power Point Tracking Techniques, IEEE Transactions on energy
conversion, 2006.

17.

MUHAMMAD H. Rashid, Electrnica de potencia. Circuitos, Dispositivos y


aplicaciones, Editorial Pearson Educacin, Tercera Edicin, 2004.

18.

PIETZSCH Garca Mnica, Convertidores CC/CA para la conexin directa a


red de sistemas fotovoltaicos: comparacin entre topologas de 2 y 3 niveles,
(Pgina Web), http://upcommons.upc.edu/pfc/handle/2099.1/2696, consultada
en Marzo 2009.

19.

RICHARD S. Zhang, Control of single-phase power converter in D-Q rotating


coordinates, U.S. Patent 6 621 251 B2, September 16, 2003.

20.

AL-HADITHI M. Basil, Sistemas Discretos de Control, Editorial Visionnet,


Primera Edicin, 2007.

21.

OGATA Katsuhico, Ingeniera de Control Moderna, Editorial Pearson,


Tercera Edicin, 1998.

22.

ROHRS Charles E., Sistemas de Control Lineal, Editorial McGraw-Hill,


Primera Edicin, 1994.

23.

OGATA Katsuhico, Sistemas de Control en Tiempo Discreto, Editorial


Pearson, Segunda Edicin, 1996.

24.

MICROCHIP Technology Inc., dsPIC33F Family Reference Manual, (Pgina


Web),
http://www.microchip.com/stellent/idcplg?IdcService=SS_GET_PAGE&nodeId
=2573, consultada en Noviembre de 2008.

134
BIBLIOGRAFA

25.

MICROCHIP Technology Inc., Getting Started with dsPIC30F Digital Signal


Controllers

Users

Guide,

2005,

(Pgina

Web),

http://ww1.microchip.com/downloads/en/DeviceDoc/70151a.pdf, consultada en
Noviembre 2008.

26.

MICROCHIP Technology Inc., dsPIC30F Family Reference Manual, (Pgina


Web),
http://www.microchip.com/stellent/idcplg?IdcService=SS_GET_PAGE&nodeId
=2574, consultada en Noviembre de 2008.

27.

MICROCHIP Technology Inc., dsPIC30F1010/202X, Datasheet, (Pgina


Web),

http://ww1.microchip.com/downloads/en/DeviceDoc/70178C.pdf,

consultada en Noviembre 2008.

28.

MICROCHIP Technology Inc., MPLAB IDE Users Guide, 2006, (Pgina


Web),

http://ww1.microchip.com/downloads/en/DeviceDoc/51519a.pdf,

consultada en Noviembre 2008.

29.

IRIBE Quintero Vctor, Tcnica de Modulacin por Vectores Espaciales


Implementada en un dsPIC30F3010, Tesis de Ingeniero en Electrnica,
Instituto Tecnolgico de Sonora, Cd. Obregn, Sonora, Diciembre de 2007.

30.

DOMITSU Kono Manuel, Programacin en el lenguaje C, (Pgina Web),


http://www.itson.mx/die/mdomitsu/bibliotecaDigital/Programacion_Lenguaje_C,
consultada en Noviembre 2008.

31.

MICROCHIP Technology Inc., MPLAB C30 C Compiler User`s Guide, 2007,


(Pgina

Web),

http://ww1.microchip.com/downloads/en/DeviceDoc/C30_Users_Guide_51284
e.pdf, consultada en Noviembre 2008.

135
BIBLIOGRAFA

32.

MICROCHIP Technology Inc., dsPIC30F1010/202X, Datasheet, (Pgina Web),


http://ww1.microchip.com/downloads/en/DeviceDoc/70178C.pdf, consultada en
Noviembre 2008.

33.

ANGULO Guzmn Sara Yael, Aportacin al Desarrollo de un Inversor


Monofsico para Sistemas Fotovoltaicos Interconectados con nfasis en una
Tcnica de Control Lineal, Tesis de Ingeniero en Electrnica, Instituto
Tecnolgico de Sonora, Cd. Obregn, Sonora, Mayo de 2009.

34.

W. Hart, Daniel, Electrnica de Potencia, Editorial Prentice Hall, Primera


edicin, 2001.

APNDICE A

Anlisis matemtico de la tcnica de


coordenadas DQ

137
APNDICE A

En la figura se muestra un plano en coordenadas y , las cuales son


coordenadas estacionarias, los ejes d y q giran a la misma velocidad que el vector
que representa a la seal de referencia, por lo que si la seal se mide con
referencia a los vectores dq esta se puede considerar estacionaria.

Figura A1. Coordenadas DQ en el plano y .

A partir de la grfica se obtienen las siguientes relaciones que determinan los


valores de la parte directa y de cuadratura del voltaje:
+
=
+
 =

+

=

138
APNDICE A

Con las frmulas anteriores se obtiene la siguiente matriz que constituye la matriz
de transformacin a coordenadas DQ:

El valor total de la seal es la suma de ambas partes, directa y de cuadratura, este


se muestra a continuacin:
= +
Sustituyendo los valores de las partes directa y de cuadratura el valor del voltaje
es el siguiente:
+
+

=

+



=
=

Realizando el mismo procedimiento con los otros parmetros se obtienen la


corriente y el ciclo til que se muestran a continuacin:
=

=
donde:
D: Parte directa.
Q: Parte de cuadratura.
iL: Corriente en la bobina.
d: Ciclo til de los transistores.

APNDICE B

Modelado del sistema en coordenadas DQ

140
APNDICE B

A partir del anlisis del siguiente circuito se obtienen las ecuaciones en espacio de
estados del convertidor cd-ca.
= +
=

Figura B1. Circuito de anlisis para el modelado del sistema.

Las ecuaciones deben estar en funcin de las variables activas del sistema las
cuales son el voltaje en el capacitor y la corriente en la bobina:
=

=
=
+ + = 0
=

Al dejar en funcin de la derivada de la corriente de la bobina:


=

141
APNDICE B

Realizando el anlisis para encontrar el voltaje en el capacitor:


= +
=
=

Debido a que la variable a controlar es la corriente, se debe encontrar la ecuacin de


la corriente de salida:
=
=
=

Las ecuaciones en espacio de estados son las siguientes:

Con salida en corriente:

Con salida en voltaje:


=

142
APNDICE B

Anlisis para obtener las ecuaciones en espacio de estados en coordenadas


DQ.
Para la transformacin DQ se utilizan las siguientes ecuaciones que relacionan los
voltajes y corrientes de directa y de cuadratura con los senos y cosenos.

=
=
=

1 +

1 1

= ;

Se obtienen los voltajes y corrientes de directa y cuadratura:

cos = 1 +

1)

2)

cos = 1 +

Sen = 1

Sen = + 1

143
APNDICE B

Cos = 1 1

3)

4)

Cos = + 1 1

Sen = 1 + 1

Sen = + 1 1

Para eliminar la dependencia al tiempo:


= +
=
=

+
2

+
2

= + + +
= 2 +

De esta manera se obtiene la matriz del inversor con filtro LC en coordenadas DQ:


=
+

=
+


= +
+

144
APNDICE B

= +
+

APNDICE C

Cdigo fuente del programa para la


implementacin de la transformacin a DQ

146
APNDICE C
#include <p30F2020.h>
_FOSCSEL(FRC_PLL)
_FOSC(CSW_FSCM_OFF & FRC_HI_RANGE & OSC2_IO)
_FWDT(FWDTEN_OFF)
_FPOR(PWRT_128)
_FGS(CODE_PROT_OFF)
_FBS(BSS_NO_FLASH)

void ADC_config(void);
void Encendido(void);
void PWM_config(void);
void Calculos(void);

int XRV, XRI, XIV, XII, XDv, XQv, XDi, XQi, Periodo, t, XD, XQ, E, F, G, H, T, XDanterior = 0, XQanterior = 0, XDanterior2 = 0, XQanterior2 = 0;
int YD, YQ, YDanterior = 0, YQanterior = 0, YDanterior2 = 0, YQanterior2 = 0;
char listo = 0;

const signed char seno[360] = {0,2,3,5,7,9,10,12,14,16,17,19,21,22,24,26,28,29,31,33,34,36,37,39,41,42,44,45,47,48,50,52,53,54,56,57,59,60,62,


63,64,66,67,68,69,71,72,73,74,75,77,78,79,80,81,82,83,84,85,86,87,87,88,89,90,91,91,92,93,93,94,95,95,96,96,97,97,97,98,98,98,99,99,99,99,
100,100,100,100,100,100,100,100,100,100,100,99,99,99,99,98,98,98,97,97,97,96,96,95,95,94,93,93,92,91,91,90,89,88,87,87,86,85,84,83,82,81,
80,79,78,77,75,74,73,72,71,69,68,67,66,64,63,62,60,59,57,56,54,53,52,50,48,47,45,44,42,41,39,37,36,34,33,31,29,28,26,24,22,21,19,17,16,14,
12,10,9,7,5,3,2,0,-2,-3,-5,-7,-9,-10,-12,-14,-16,-17,-19,-21,-22,-24,-26,-28,-29,-31,-33,-34,-36,-37,-39,-41,-42,-44,-45,-47,-48,-50,-52,-53,-54,-56,
-57,-59,-60,-62,-63,-64,-66,-67,-68,-69,-71,-72,-73,-74,-75,-77,-78,-79,-80,-81,-82,-83,-84,-85,-86,-87,-87,-88,-89,-90,-91,-91,-92,-93,-93,-94,-95,
-95,-96,-96,-97,-97,-97,-98,-98,-98,-99,-99,-99,-99,-100,-100,-100,-100,-100,-100,-100,-100,-100,-100,-100,-99,-99,-99,-99,-98,-98,-98,-97,-97,-97,
-96,-96,-95,-95,-94,-93,-93,-92,-91,-91,-90,-89,-88,-87,-87,-86,-85,-84,-83,-82,-81,-80,-79,-78,-77,-75,-74,-73,-72,-71,-69,-68,-67,-66,-64,-63,-62,
-60,-59,-57,-56,-54,-53,-52,-50,-48,-47,-45,-44,-42,-41,-39,-37,-36,-34,-33,-31,-29,-28,-26,-24,-22,-21,-19,-17,-16,-14,-12,-10,-9,-7,-5,-3,-2};

const signed char coseno[360] = {100,100,100,100,100,100,99,99,99,99,98,98,98,97,97,97,96,96,95,95,94,93,93,92,91,91,90,89,88,87,87,86,85,


84,83,82,81,80,79,78,77,75,74,73,72,71,69,68,67,66,64,63,62,60,59,57,56,54,53,52,50,48,47,45,44,42,41,39,37,36,34,33,31,29,28,26,24,22,21,
19,17,16,14,12,10,9,7,5,3,2,0,-2,-3,-5,-7,-9,-10,-12,-14,-16,-17,-19,-21,-22,-24,-26,-28,-29,-31,-33,-34,-36,-37,-39,-41,-42,-44,-45,-47,-48,-50,-52,
-53,-54,-56,-57,-59,-60,-62,-63,-64,-66,-67,-68,-69,-71,-72,-73,-74,-75,-77,-78,-79,-80,-81,-82,-83,-84,-85,-86,-87,-87,-88,-89,-90,-91,-91,-92,-93,
-93,-94,-95,-95,-96,-96,-97,-97,-97,-98,-98,-98,-99,-99,-99,-99,-100,-100,-100,-100,-100,-100,-100,-100,-100,-100,-100,-99,-99,-99,-99,-98,-98,-98,
-97,-97,-97,-96,-96,-95,-95,-94,-93,-93,-92,-91,-91,-90,-89,-88,-87,-87,-86,-85,-84,-83,-82,-81,-80,-79,-78,-77,-75,-74,-73,-72,-71,-69,-68,-67,-66,
-64,-63,-62,-60,-59,-57,-56,-54,-53,-52,-50,-48,-47,-45,-44,-42,-41,-39,-37,-36,-34,-33,-31,-29,-28,-26,-24,-22,-21,-19,-17,-16,-14,-12,-10,-9,-7,-5,
-3,-2,0,2,3,5,7,9,10,12,14,16,17,19,21,22,24,26,28,29,31,33,34,36,37,39,41,42,44,45,47,48,50,52,53,54,56,57,59,60,62,63,64,66,67,68,69,71,72,
73,74,75,77,78,79,80,81,82,83,84,85,86,87,87,88,89,90,91,91,92,93,93,94,95,95,96,96,97,97,97,98,98,98,99,99,99,99,100,100,100,100,100};

int main(void)
{
OSCTUNbits.TUN = 7;

// Se establece Mxima frecuencia

ADC_config();
PWM_config();
Encendido();

while (1)
{
if(listo == 1)
{
Calculos();
}
}
}

147
APNDICE C
void ADC_config(void)
{
ADCONbits.ADSIDL = 0;

// Opera en Modo Idle

ADCONbits.FORM = 0;

// Formato de salida Integer

ADCONbits.EIE = 0;

// Interrupciones tempranas deshabilitadas

ADCONbits.ORDER = 0;

// Muestreo de cada canal primero

ADCONbits.SEQSAMP = 1;

// Muestreo secuencial habilitado

ADCONbits.ADCS = 0;

// Clock Fosc/4

ADPCFG = 0xFFF0;

// AN0, AN1, AN2 y AN3 como entradas analgicas

ADSTAT = 0;

// Se limpia registro ADSTAT

ADCPC0bits.TRGSRC0 = 0x000C;

// Conversin disparada por Timer1 (AN0 y AN1)

ADCPC0bits.TRGSRC1 = 0x000C;

// Conversin disparada por Timer1 (AN2 y AN3)

ADCPC0bits.IRQEN0 = 1;

// Habilita Interrupcin Canal 1

void PWM_config(void)
{
PTPER = 28700;

// Periodo de 46.27us

PDC1 = 14350;

// Ciclo util 50%

DTR1 = 565;

// Tiempo muerto de 1us

IOCON1bits.PMOD = 0x0;

// Activar salidas complementarias

IOCON1bits.PENH = 0x0001;

// Salidas PWM-H controladas por PWM

IOCON1bits.PENL = 0x0001;

// Salidas PWM-L controladas por PWM

T1CON = 0x0000;

// Prescalador 1:1

TMR1 = 0x0000;

// Se limpia el contador de Timer1

void Encendido(void)
{

PR1 = 843;

// Se establece un perodo de 46useg

IFS0bits.ADIF = 0x0000;

// Se limpia bandera del ADC

IEC0bits.ADIE = 0x0001;

// Se habilita la interrupcin del ADC

ADCONbits.ADON = 0x0001;

// Se enciende el ADC

T1CONbits.TON = 0x0001;

// Se enciende el Timer1

PTCONbits.PTEN = 0x0001;

// Se enciende el mdulo PWM

t = 0;
}

void Calculos(void)
{
XDv = (XRV*coseno[t]) + (XIV*seno[t]);

// Transformacion de Tiempo a DQ

XQv = (-XRV*seno[t]) + (XIV*coseno[t]);


XDi = (XRI*coseno[t]) + (XII*seno[t]);
XQi = (-XRI*seno[t]) + (XII*coseno[t]);

XDv = (XDv)/100;
XQv = (XQv)/100;
XDi = (XDi)/100;
XQi = (XQi)/100;

E = (XDv*coseno[t]);
E = E/100;
F = (XQv*seno[t]);
F = F/100;

//Antitransformacin de DQ al Tiempo

148
APNDICE C

T = (E - F);

Periodo = 14350 + T;

if(Periodo < 500)


{
Periodo = 500;
}

if(Periodo > 27000)


{
Periodo = 27000;
}

PDC1 = Periodo;

listo = 0;

if(t<=359)
{
t++;

if (t == 359)
t = 0;
}
}

void __attribute__ ((interrupt,auto_psv)) _ADCInterrupt(void)

{
IFS0bits.ADIF = 0;

// Se limpia la bandera de interrupcin

XRI = (ADCBUF0 >> 2);


XII = (ADCBUF1 >> 2);
XRV = (ADCBUF2 >> 2);
XIV = (ADCBUF3 >> 2);

XRI = (signed int)(XRI - 127);


XII = (signed int)(XII - 127);
XRV = (signed int)(XRV - 127);
XIV = (signed int)(XIV - 127);

listo = 1;

ADSTATbits.P0RDY= 0;

// Se limpian los bits del registro ADSTAT

ADSTATbits.P1RDY= 0;

TMR1 = 0x0000;
}

// Se limpia el contador de Timer1

APNDICE D

Diagrama esquemtico del sistema


implementado

150
APNDICE D

Figura C1. Diagrama elctrico del sistema implementado.

ANEXO

Hoja de especificaciones del controlador digital


de seales dsPIC30F2020

152
ANEXO

153
ANEXO

154
ANEXO

155
ANEXO

156
ANEXO

S-ar putea să vă placă și