Sunteți pe pagina 1din 4

Laboratorio de Arquitectura de Computadoras

Practica No. 4
Secuenciadores
Objetivo: Familiarizar al alumno en el conocimiento de los secuenciadores, los cuales son una parte fundamental de un
procesador.
Desarrollo: Para cada uno de los siguientes apartados, realizar los diseos electrnicos que se piden en VHDL y
probarlos en las tarjetas de desarrollo de los FPGAs.
1.- La figura 1 muestra el diagrama de bloques de un secuenciador bsico. Como se puede observar en el diagrama, la
direccin del estado siguiente, dada por el bus Y, puede venir de dos lugares posibles: 1) del registro PC, 2) de la entrada
D.
1.1 El registro de micro-programa (PC) contiene la direccin del estado presente ms uno, es decir, la direccin que se
encuentra a la salida del multiplexor es incrementada en una unidad y cargada en este registro en el siguiente ciclo de reloj.
1.2 En la entrada D se introduce una direccin de salto. Esta direccin puede venir de tres lugares diferentes: del
campo de liga, del registro de transformacin o del registro de interrupciones.

Figura 1. Diagrama de bloques interno de un secuenciador bsico.

La figura 2 muestra el diagrama simblico del secuenciador en donde se indican las seales de
entrada y salida de ste.

Figura 2. Secuenciador bsico.

A continuacin se presentan las instrucciones que este secuenciador puede ejecutar:

0 CONTINA (C)
En la instruccin contina la direccin del estado siguiente la proporciona el registro PC.

Figura 3. Representacin en notacin ASM de la instruccin contina.

1 SALTO CONDICIONAL (SCO)

En esta instruccin se revisa el valor de la lnea CC , si es igual a uno, la direccin del estado
siguiente la proporciona el registro PC; si es igual a cero, la direccin del estado siguiente, contenida
en el registro seleccionado por PL , ingresa a travs de la entrada D.

Figura 4. Representacin en notacin ASM de la instruccin SCO.

2 SALTO DE TRANSFORMACIN (ST)

La direccin del estado siguiente se obtiene del registro seleccionado por la lnea de MAP . Este
registro tambin est conectado a la entrada D. Aqu se introduce una nueva notacin de carta ASM: un
rombo con varias bifurcaciones. La bifurcacin que se elija depender del contenido del registro
seleccionado por MAP .

Figura 5. Representacin en notacin ASM de la instruccin ST.


3 SALTO CONDICIONAL USANDO LA DIRECCIN DE LAS INTERRUPCIONES (SCI)

En esta instruccin se revisa el valor de CC , si es igual a uno, la direccin del estado siguiente
proviene del registro PC; si es igual a cero, la direccin del estado siguiente, contenida en el registro
seleccionado por VECT , ingresa a travs de la entrada D.

Figura 6. Representacin en notacin ASM de la Instruccin SCI.

Construya un secuenciador como el descrito anteriormente usando VHDL y componentes estndares,


multiplexores, registros, etc, que existan en las bibliotecas del sistema de desarrollo utilizado.
2.- La figura 7.

muestra el diagrama de bloques de un secuenciador conectado a una memoria.

Figura 7. Secuenciador bsico conectado con una memoria.

En la siguiente figura se presenta una carta ASM en donde se hace uso de todas las instrucciones
que un secuenciador bsico puede ejecutar. En el estado EST2 la direccin del estado siguiente est
determinada por el contenido del registro de transformacin, seleccionado cuando el secuenciador
ejecuta la instruccin ST. En el estado EST4, la direccin del estado siguiente la proporciona el registro
de interrupciones o el registro PC dependiendo del valor de la entrada INT. La asignacin binaria de
las variables de entrada para la carta ASM es la siguiente:

Qx
X
Y
INT

=
=
=
=

00
01
10
11

Con Qx igual a 0 lgico.

Figura 8. Carta ASM.

La tabla 1. muestra el contenido de la memoria para la carta ASM de la figura 8.


Direccin de la
Memoria

Contenido de la Memoria

Estado Presente

Liga

0000
0001
0010
0011
0100
0101
0110
0111
1000
1001
1010
1011
1100
1101
1110

0000
0000
0000
0011
0000
0001
0000
0000
0000
0000
0001
0000
0000
1101
1001

Micro
Instruccin
00
00
10
01
11
01
00
01
00
11
01
00
01
01
01

Prueba

VF

S0S1S2S3

00
00
00
01
11
00
00
00
00
11
00
00
00
10
00

0
0
0
0
1
0
0
0
0
1
0
0
0
1
0

1100
0110
0001
0010
1000
1100
0011
0001
1000
0000
1100
0101
0001
0010
0000

Mnemnico de
la Instruccin
C
C
ST
SCC
SCI
SCC
C
SCC
C
SCI
SCC
C
SCC
SCC
SCC

Tabla 1. Contenido de la memoria para la carta ASM de la figura 4.8.

Construya el sistema descrito anteriormente el la figura 7 para que ejecute la carta ASM de la figura 8
usando VHDL y componentes estndares. Pruebe el sistema en las tarjetas de desarrollo del laboratorio

S-ar putea să vă placă și