Documente Academic
Documente Profesional
Documente Cultură
OLYMPUS-SOC
W H I T E P A P E R
MENTOR GRAPHICS
DECEMBER 2013
w w w.m e n t o r.c o m
w w w. m e nto r. co m
Figure 1: Each additional power domain adds to the number of corners under which the design
must be analyzed, optimized, and verified.
In order to close the design across all modes, corners, and power states, the setup and hold times must be
analyzed simultaneously for different combinations of library models, voltages, and interconnect (RC) corners.
Incumbent implementation flows are designed to handle one or two scenarios and are not well suited for
solving power and timing constraints concurrently. Each timing and optimization iteration would require
multiple RC extractions, timing analysis runs and power analysis runs, which increases the engineering effort
during the final stages of the chip implementation. This leads to unpredictability in sign-off ECO loops and
added margins that reduce designs performance, increase area and power dissipation, and lower yields.
This approach offers no guarantee of convergence because optimizations in one scenario could create a new
violation in a different scenario. Not surprisingly, taking this limited approach, coupled with late-stage
unpredictability, results in less than acceptable power performance, higher chip failures and overall delayed
schedules. The best solution is to analyze and optimize the design for all corners and modes concurrently. In
other words, low power design inherently requires true MCMM optimization for both power and timing.
w w w. m e nto r. co m
corners, and power constraints simultaneously. The Olympus-SoC low-power platform includes the following
key technologies to address low power challenges and deliver best quality of results:
Completely automated multi-voltage flow with support for dynamic voltage and frequency scaling
(DVFS) to handle varying supply voltages and clock frequencies, and the capability to handle special cells
such as level shifters, isolation cells, and MTCMOS switches.
Power aware CTS with smart clock gate placement, slew shaping, register clumping and concurrent
MCMM optimization that ensures a balanced clock tree with the minimum number of clock buffers.
Unique architecture that provides seamless concurrent optimization for both power and timing, covering
all operating modes and corners through all stages of the flow.
Comprehensice Unified Power Format (UPF) based netlist-to-GDSII flow.
Additionally Olympus-SoC offers techniques such as concurrent multi-voltage threshold (Vt) optimization,
power gating, gas station methodology, and power aware buffering and sizing. Olympus-SoC customers are
experiencing 2-3X faster design closure times and up to 30% power savings versus traditional tools.
w w w. m e nto r. co m
The design flow for multi-voltage designs consists of several distinct sections:
Design import - ASCII import for library and design data
Power domain setup - Based on UPF
Timing setup - Timing environment setup for differnt modes and corners
Placement related constraints - Power domain regions, blockages and placement constraints for special
multi-voltage cells
Power intent verification - Verifying power intent and design setup analysis
Pre-CTS - Respecting multi-voltage constraints during optimization
CTS - Support for equivalent class for clock gates
Routing Domain-aware routing and secondary VDD connections
MTCMOS switches Handling switching cells during floorplanning for domains that are shut off
Multi-voltage GUI
DESIGN IMPORT
The design import step is the same as for non- multi-voltage design flows in that the user will start with the
same ASCII input files: LEF, lib, SDC, Verilog, and DEF. The main differences in the data and properties that are
relevant to the multi-voltage design flow are summarized below.
LIBRARY DEFINITION
Loading the timing libraries into Olympus-SoC for multi-voltage designs is essentially identical to the standard
flow with the exception that multi-voltage designs may have multiple timing libraries for each cell. OlympusSoC is capable of reading multiple timing libraries for each cell type and accessing the proper timing
information for any cell based upon the cells power supply values in a particular power state.
There are several new cell and pin properties contained in timing libraries intended for multi-voltage designs.
The following list includes some of the most commonly encountered properties introduced for multi-voltage
designs:
always_on lib cell property that marks the always-on repeaters in the library
is_isolation_cell lib cell property that marks the isolation cells in the library
is_isolation_enable lib cell pin property that identifies control signal for isolation cells
is_level_shifter lib cell property marks the level shifter cells in the library
CORNER DEFINITION
In multi-voltage designs the user may need to define corners with multiple logical libraries for the same
analysis corner. For example, a design may have a voltage domain operating at 0.9 volts while the rest of the
chip operates at 1.1 volts. For concurrent timing analysis, the user can define one corner with both the 0.9 and
1.1 volt libraries and Olympus-SoC will select the proper logical library for timing analysis based on the power
supply connected to the leaf cells and the characterized voltage level of the library.
w w w. m e nto r. co m
MODE DEFINITION
Users can define unique modes for all the different voltage combinations that are valid in a given design. It is
important to note that if designers want concurrent timing analysis for each power state in a design, there
must be at least one design mode defined for each power state in the PST.
FLOORPLAN UPDATES
The floorplan data for multi-voltage designs is similar to non- multi-voltage designs. The most important data
from the floorplan are the regions that map to the power domains in the design. The region constraints
define the physical boundaries for the power domains.
Figure 4. Olympus-SoC generates power domain verification reports for easy and early debugging of
the power setup.
Olympus-SoC also supports full insertion of level shifters and isolation cells, and has the ability to repair an
existing netlist for level shifter or isolation connections. Olympus-SoC recognizes the existing level shifters or
isolation cells in the netlist and preserves connections between these special cells and the power domain
boundaries. The reporting commands are useful for analyzing the existing netlist for a given power domain
and a set of isolation or level shifter rules. Olympus-SoC can also insert level shifter/isolation cells or repair
their connections on user-specified power domains based on the existing isolation and level shifter rules.
Isolation and level shifter cells are assigned to a particular power domain based upon their main power supply
pins and the default power supplies of the power domains. This assignment determines where these special
cells will be physically placed. The multi-voltage GUI can be used to visualize the special cells domain
association.
w w w. m e nto r. co m
PRE-CTS FLOW
During the pre-clock tree synthesis (CTS) flow, Olympus-SoC will ensure that no port punching occurs on
power domain interfaces and will also enable route channels to guide routing around power domain
boundaries. The optimization engine uses the PST when buffering nets in a multi-voltage design to
automatically choose always-on-buffers. This simplifies the flow for users while providing more optimal multivoltage results.
Olympus-SoC has the ability to use feedthrough buffering within a multi-voltage design flow. If feedthrough
buffering is enabled and a net between two domains requires a buffer, but the buffer physically needs to be
placed outside of the domains the net connects, Olympus-SoC will place the buffer physically outside of the
two domains but will add it logically to the hierarchy of the driving cell. This will prevent logical port punching
but still allow an optimal buffering solution. The power supplies for the new buffer will be determined based
upon the PST buffering algorithm explained above. An example PST table, as reported in Olympus-SoC, is
shown in Figure 5.
MTCMOS SWITCHES
Power gating to shut down certain blocks using multi-threshold CMOS (MTCMOS) switches to minimize
leakage power is done using the multi-voltage infrastructure. Domains that need to be powered down can be
captured in the UPF file along with the switch and enable line
definition. The switch is connected between the constant and
the variable supply and is controlled using the switch enable
line. Olympus-SoC uses several different approaches for
switch cell insertion including peripheral and distributed
insertion to facilitate implementation for different design
styles. Figure 6 illustrates the distributed style switch cell
methodology.
w w w. m e nto r. co m
Domains that are powered down using switch cells should also have isolation cells for any nets that cross the
domain to eliminate floating nets. Olympus-SoC automatically handles both level shifters and isolation cells
when there is a difference in supply voltage. Special sequential elements are also needed to retain state when
the block is powered down. Hooking up retention flops is done automatically by Olympus-SoC if the flops are
present in the incoming netlist.
Care must be taken for stitching the enable lines for the switches to minimize rush currents when the block is
powered on. Olympus-SoC provides a flexible API that allows designers to customize enable line stitching to
minimize switching transients. Always-on buffer insertion is also supported for the enable line buffering of
switch cells.
MULTI-VOLTAGE GUI
Multi-Voltage GUI window helps you visualize the multi-voltage setup of a design. It can be accessed through
the multi-voltage selection on the View menu of the main menu bar of Olympus-SoC. The multi-voltage GUI
provides a means of visualizing various multi-voltage items such as level shifter and isolation cell locations
and associations, always-on buffer locations, etc. Olympus-SoC also includes several reporting commands to
help analyze the multi-voltage setup of a design.
SUMMARY
Reducing power consumption has become a key design challenge at advanced technology nodes. For many
IC designs, optimizing for power is as important as timing due to the need to reduce package cost and extend
battery life. Olympus-SoC offers comprehensive power closure capabilities with three key technologies:
MCMM optimization, support for multi-voltage designs, and advanced low-power CTS.
Olympus-SoC is the only place-and-route system to offer true concurrent MCMM power and timing closure for
optimal QoR and fast turnaround time. With full support of UPF commands, Olympus-SoC handles multivoltage design requirements through the entire place-and-route flow. It automates the placement of level
shifters, isolation cells, and MTCMOS switches and provides complete graphical analysis, optimization and
verification of power domain cells and connectivity. Finally, the advanced CTS and clock optimization
techniques are extremely effective at lowering clock power. These capabilities remove the unpredictability
from the physical implementation process, which affect the cost, performance, and time-to-market of low
power ICs.
w w w.m e n t o r.c o m
2013 Mentor Graphics Corporation, all rights reserved. This document contains information that is proprietary to Mentor Graphics Corporation and may
be duplicated in whole or in part by the original recipient for internal business purposes only, provided that this entire notice appears in all copies.
In accepting this document, the recipient agrees to make every reasonable effort to prevent unauthorized use of this information. All trademarks
mentioned in this document are the trademarks of their respective owners.
MGC 12-13
TECH8850-w