Documente Academic
Documente Profesional
Documente Cultură
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Outline
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Handouts
> Schedule
> Student Information Sheet
VERY IMPORTANT
Fill out and hand back at end of class
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Handouts
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Course overview
> Course is broken into two halves
> First half
Case studies
Design projects
> Grading
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
>
>
>
>
>
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Course overview
> All of you can learn MEMS design, and we will try to
make it easier and fun!
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Outline
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
> Microtechnology
> Nanotechnology
> Etc.
Lucas Novasensor
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Sandia
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Aluminum
heater
Silicon
e.g., ElectroThermalFluidic
pumps
Pumping
chamber
Nozzle valve
Dual bubble
Duty = 5%
Duty = 10%
Duty = 15%
5
4
3
2
1
0
Diffuser valve
Electric connection
through hole
6
Volume flow rate (l/min)
Microbubble
Liquid inlet
Liquid outlet
popular
actuation
Pyrex glass
(B)
Images by MIT OpenCourseWare.
0
100
200
300
400
500
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
T. Lober, MIT
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
others
Lucent micromirror
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
> RF MEMS
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 20
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
07. MIT
> BioMEMS
No commercial winners
yet
Wise (UMich)
Voldman (MIT)
Courtesy of Joel Voldman. Used with permission.
Chen (UPenn)
Mathies (UCB)
Courtesy of Richard A. Mathies.
Used with permission.
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
HP
Motorola Razr
Nintendo Wii
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Honeywell microswitch
Outline
MEMS Design
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
MEMS Design
or much worse
Fabrication success is NOT a given AND is tied to the design
The material properties are unknown or poorly known
The physics are often different
Not the traditional size scales
The system must be partitioned
Which parts to integrate on-chip?
Packaging is non-trivial
NOT like ICs
> Approach #2
success
Determine necessary modeling strategies for a given problem
From analytical to numerical
In THIS class we concentrate on analytical and tell you where it
fails
Be aware of what you dont know, cant control, and what your
assumptions are
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
MEMS Design
Analytical design
Abstracted physics
ODEs, Scaling, Lumped-element models
Numerical design
Intermediate approach between physical and analytical
design
Physical level:
3-D simulation of fundamental physics
PDEs, finite-element modeling, etc.
Outline
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Course goal
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Course outline
piezoresistors
Material choice sets fabrication
technology: KOH
Fabrication technology determines
shapes and physical limits:
diaphragm thickness
Image removed due to copyright restrictions.
This in turn affects performance Photograph of Motorola MPX200 x-ducer.
deflection ~ (thickness)-3
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Course outline
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Course outline
> Next we introduce the electrical and mechanical domains (2
lectures)
Course outline
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Course outline
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Course outline
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Course outline
> Partitioning
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Course outline
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Outline
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Design projects
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Design projects
Design projects
Intermediate report
Is team functioning and is it going to finish?
Final presentations and report
~30-min presentation in front of judges
20-pg manuscript-quality report
Significant prize to winning team
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Design projects
The packaged device will be used in a hand-held point-ofcare diagnostic monitor and so must be robust, small, and
connected to a circuit that gives an output proportional to the
logarithm of the concentration ratio.
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Outline
> A way to think about process design
> Surface and bulk micromachining concepts
> Next class: substrates, lithography and patterning, and thin
films
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
> There are limits on how you can combine steps together to form
a process (grammar)
> Our goal: teach enough vocabulary and grammar to get you
started (today starts with grammar)
> But for that, we must be familiar with our tools and how they
work
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Crayon Engineering
> At the early stages of MEMS design, conceptual process design
rather than highly detailed process design is required
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
> Bottom line: IC processing is always done the same way, with a
few variants
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Laws of physics
Restrictions imposed by vendors: you cant do x because it would
contaminate my tools from the point of view of my other customers
The MEMS tool kit is significantly broader than the IC tool kit (so
theres more available, but its up to you to figure out how to turn
the raw material into a functional process)
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Everything else:
Soft lithography (polymers, stamping,
microcontact printing)
Electroplating
Electron beam lithography
Spin-cast materials (e.g. spin-on glass)
Piezoelectrics
Magnetic materials
Anodic bonding of glass to silicon
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
An important caveat
> MEMS processes also basically flow from the front end to the
back end
> The lines between front end and back end processes are not set
in stone they depend on your tolerance for risk/contamination.
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Outline
> A way to think about process design
> Surface and bulk micromachining concepts
> Next class: substrates, lithography and patterning, and thin
films
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
> Structural layers are the thin films that form the final structure
> Sacrificial layers are thin films that support a MEMS structure
during fabrication, until it is released (that is, until the sacrificial
layer is etched away)
Important techniques for surface micromachining
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
http://www.dlp.com/
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Figure 5 on page 316 in: Friedberger, A., and R. S. Muller. "Improved Surface-micromachined Hinges
for Fold-out Structures." Journal of Microelectromechanical Systems 7, no. 3 (1998): 315-319. 1998 IEEE.
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Carol Livermore
Massachusetts Institute of Technology
Outline
> Substrates
> Lithography and patterning
> Doping
> Thin films
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Silicon Wafers
> Silicon is a diamond-structure cubic crystal
> Comes with different amounts of either n-type or p-type doping
z
(100) Plane
z
(110) Plane
(111) Plane
Image by MIT OpenCourseWare.
Notation
> A direction in crystal coordinates is denoted by square brackets, e.g.
[100]
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Diamond Structure
> The diamond structure is two face-centered cubic lattices shifted by
of the body diagonal. There are four silicon atoms per cubic unit
cell.
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Wafer orientation
(110) plane
(100) plane
45
(100) planes
(110) primary flat
(100) type wafer
Image by MIT OpenCourseWare.
Adapted from: Maluf, Nadim. An Introduction to M icroelectromechanical Systems Enginee ring.
Boston, MA: Artech House, 2000. ISBN: 9780890065815.
Other substrates
> Silicon wafers with embedded layers, such as silicon-oninsulator (SOI) wafers
device layer
buried oxide layer
substrate
$$$$
> Quartz wafers
Single crystal
Fused quartz amorphous quartz wafers
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Other substrates
> Glass (cheap, high impurity content)
Inexpensive base for soft lithography
Transparent for optical access
Can be very strongly attached to silicon wafers via anodic bonding
> Sapphire
Strong, wear resistant, transparent, insulating substrate
Compatible with CMOS (so transparent CMOS MEMS)
Expensive, hard to etch
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Substrate summary
Substrate
Front end
compatible
Back end
compatible
Everything else
compatible
Silicon
yes +
yes
Silicon on
insulator (SOI)
yes +
yes
Quartz
yes
yes
Glass (pyrex)
no
yes, sometimes
yes +
Compound
semiconductor
no
yes
Sapphire
yes, but only use yes, but only use yes, but only use
if needed
if needed
if needed
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Outline
> Substrates
> Lithography and patterning
> Doping
> Thin films
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Optical Lithography
Spin-cast a photosensitive
resist layer; bake out solvent
Collimated UV exposure
through a mask; resist either
cross-links or becomes
soluble
positive
negative
> Proximity
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Projection Lithography
> Projection lithography, especially
when combined with an optical
imaging system that reduces the
image size, is used for high-resolution
patterning (submicron to very
submicron)
UV Light
Condensing
Lens
Mask
Projection
Optics
Wafer
Mask making
> Highest quality chromium on fused quartz written with an electron
beam exposing an electron-beam resist (PMMA)
> Patterns printed from an AutoCAD file on transparencies with a veryhigh-resolution printer low resolution, but cheap and fast
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
> Polyimide
Spin cast
Can planarize topographies
Humidity sensitive
> Patterned resist does not have a square profile can affect the
topography of whatever you pattern with the resist
Cleaning!
> When we say (for example) that positive thin resist is compatible with
front end processing, we do not mean that you can have resist on
your wafer during most front end processes!
> Must remove resist and clean wafer thoroughly before high
temperature processes
Solvents (acetone)
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
More cleaning!
> Additional cleans typically needed at specified points in the flow
> Example: RCA clean before very high temperature processing (as in furnace
for front end processing)
> Example: remove organics before moderately high temperature, fairly clean
processing (upper part of back end processing)
Piranha clean (3:1 H2SO4:H202)
> Materials compatibility (what cleans your structures can tolerate) often
determine what processes you can and cant use
> If you wait until the last minute to put cleans into your process flow, you will
likely be redesigning your device and process at the last minute
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Design Rules
After Mask 1
Feature on
Mask 1
Mandatory
Overlap
Design Rule
Feature on
Mask 2
After Mask 2:
Desired alignment
Unacceptable misalignment
Image by MIT OpenCourseWare.
Adapted from Figure 3.16 in: Senturia, Stephen D. Microsystem Design.
Norwell, MA: Kluwer Academic Publishers, 2001, p. 54. ISBN: 9780792372462.
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Outline
> Substrates
> Lithography and patterning
> Doping
> Thin films
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Doping
Ion implantation
> A high-voltage accelerator is used to shoot ions at the wafer.
> The beam must be rastered and the wafer must be rotated to achieve
uniform dose
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Effective range
rus
ho
osp
Ph
0.1
ron
Bo
enic
Ars
0.01
0.001
10
100
Energy (keV)
1000
Masking of implants
Photoresist
Oxide
Silicon
Location of implanted ions
Image by MIT OpenCourseWare.
Adapted from Figure 3.7 in: Senturia, Stephen D. Microsystem Design.
Boston, MA: Kluwer Academic Publishers, 2001, p. 39. ISBN: 9780792372462.
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Diffusion
> After implantation, ions are driven deeper into the substrate by
6
5
Implant dose
x j = (4 Dt ) ln
N D Dt
>
4
3
2
1
Background doping
concentration
0
103
104
105
Time (seconds)
Outline
> Substrates
> Lithography and patterning
> Doping
> Thin films
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Epitaxy
Electrodeposition (Electroplating)
> Physical
Physical Vapor Deposition (PVD)
Evaporation
Sputtering
Spin-casting
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Oxidation I
> Silicon forms a high quality, stable oxide
> How it works:
Oxygen diffuses through oxide to Si/oxide interface
Si + O2 + high temperature (~1100 C) furnace SiO2
Some Si is consumed
tox
0.46 tox
> Rate determined by diffusion of oxygen through oxide
> Diffusion limits practical oxide thickness to < 2 m
> A key front end process
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Oxidation II
> Dry oxidation (O2)
High quality, slow oxidation rate, smaller maximum thickness
(i.e. gate oxide)
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Deal-Grove Model
For oxides greater than about 30 nm thick:
4 B DG
x final = 0.5 ADG 1 + 2 (t + DG ) 1
ADG
where
DG =
x
B
2
i
DG
x
B
DG
/ ADG
Local Oxidation
> Oxidation can be masked locally by an oxidation barrier, such as
silicon nitride
Silicon wafer
Birds beaks
After oxidation
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
> Near the boundary between front and back end, depending
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
> Drawbacks
Films deposited at low temperature are often lower quality than
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
2003 IEEE.
2003 IEEE.
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
LPCVD Polysilicon
> Amorphous at lower deposition temperatures and higher
deposition rates
Grain growth
Dopant activation or diffusion
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Epitaxy
> CVD deposition process in which atoms move to lattice sites,
continuing the substrates crystal structure
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Electroplating: basics
> Pass a current through an aqueous metal solution
Anode is made of the metal that you want to deposit
Cathode is the conductive seed material on your wafer
Positive metal ions travel to the negatively charged cathode on
your wafer and deposit there
For very short features, just plate onto the seed layer
For taller features, need to plate into a mold
Molds can be photoresist, silicon, SU-8, etc., depending on the
needs of your device
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Electroplating
40 m thick films of
nickel fabricated by
electroplating into a mold
This picture belongs to Professor Reza Ghodssi (University of Maryland) and was published in the following reference:
Ghodssi, R., D. J. Beebe, V. White, and D. D. Denton. "Development of a Tangential Tactor Using a LIGA/MEMS Linear
Microactuator Technology." Proceedings of the 1996 ASME Winter Annual Mtg., Symposium on Micro-Mechanical Systems,
Atlanta, Georgia, pp. 379-386, November 17-22, 1996.
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Electroplating realities
Solution: Cu damascene
fill, with additives/agitation
to promote fill at bottom
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
> But high aspect ratio trenches are prone to keyholes (CVD,
electroplating, etc.)
What you want:
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Thermal Evaporation
Rsource
E-beam Evaporation
electron
gun
V
esource
Sputtering
= Ar ion
target
> Unreactive ions (i.e. Ar) knock material off a target by momentum
transfer
> Materials that dont react readily (for example, noble metals):
Hard to etch: typically use liftoff instead
Pattern resist, then deposit metal on top with a directional deposition tool
Not very sticky: typically need an adhesion layer to stick the noble metal
to what lies beneath
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
> No!
> Spin-casting: put the stuff that you want to deposit in a
liquid, spin it onto the surface like resist, and bake out the
solvent (spin on glass, PZT piezoelectrics)
Outline
> Etching
> Wafer bonding
> Surface micromachining
> Process integration
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Etching
Isotropic etching
> Etch rate is independent of orientation
> Isotropic etch profile
Assume a well-adhered mask with infinite selectivity
Mask undercut, rounded etch profile
> Applications:
Flow channels
Removal of sacrificial layers in surface micromachining
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Isotropic etching
> Some wet etches:
Si
SiO2
SiN
PolySi
KOH
Al
> Mostly clean enough for front end, with the exception of
KOH, which is a contamination risk for very high T
processes. XeF2 vapor is often used as a final release etch.
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Masking Layer
Top
View
> A rectangular
(100) Silicon Substrate
Cross Section
After Etching
[110]
Top
View
[111]
[100]
pattern is aligned
to a [110]
direction on a
<100> silicon
wafer
54.70
Cross Section
Image by MIT OpenCourseWare.
Adapted from Figure 3.20 in: Senturia, Stephen D. Microsystem Design.
Boston, MA: Kluwer Academic Publishers, 2001, p. 62. ISBN: 9780792372462.
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Making a V-groove
54.7 0
Cross Section
54.7 0
Cross Section
Image by MIT OpenCourseWare.
Adapted from Figure 3.21 in: Senturia, Stephen D. Microsystem Design.
Boston, MA: Kluwer Academic Publishers, 2001, p. 63. ISBN: 9780792372462.
Convex corners
[110]
Masking Layer
[100]
[110] Silicon
Cross Section
[110]
[110]
[100]
Cross Section
Corner Compensation
> To etch a convex corner with KOH, add extra material at corner
> Amount of material is chosen so that it will etch away just when
the overall etch reaches the desired depth
Arbitrary shapes
Boundary of
rectangular pit
Masking Layer
[100]
Undercut
Regions
Cross Section
Image by MIT OpenCourseWare.
Figure 3.24 in: Senturia, Stephen D. Microsystem Design.
Boston, MA: Kluwer Academic Publishers, 2001, p. 64. ISBN: 9780792372462.
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Misalignment
[110]
Boundary of
Rectangular Pit
50 misalignment
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Etch stops
> When etching into a wafer to leave a specific
thickness of material, it is necessary to have some
kind of etch stop.
Motorola
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Applicability
> Most materials can be plasma etched
Oxide
Nitride
Silicon
Most metals (not the noble metals)
Polymers
> The art is in achieving suitable selectivity both for masking
layers and to layers that lie beneath the layer being etched
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Shape
> The higher the pressure, the more isotropic the etch
because reactants are scattered many times before
reaching the surface (this is called plasma etching)
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Figure 1 on p. 265 in: Chen, K.-S., A. A. Ayon, X. Zhang, and S. M. Spearing. "Effect of process parameters on the
surface morphology and mechanical performance of silicon structures after deep reactive ion etching (DRIE)." Journal
of Microelectromechanical Systems 11, no. 3 (2002): 264-275. 2002 IEEE.
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Multi-level Etching
> Making multi level etches can be challenging
> For through etches with two different depths, simply etch from
both sides of the wafer, with double-sided alignment
Pattern side 1
Etch side 1
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
stop
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Outline
> Etching
> Wafer bonding
> Surface micromachining
> Process integration
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
>
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Hydrate
surface
Contact and
Anneal
Optional: Thin
top wafer
Si
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Silicon-on-insulator
Hydrate
surface
Si
Contact and
Anneal
device layer
> When wafers are not perfectly flat, bonding requires them to
bend
Strain energy increases
+
BAD
GOOD
Anodic bonding
> The mobility of sodium ions in the glass drives anodic bonding
> The wafers are heated to temperatures of about 500C; a positive
voltage (300 V 700 V) applied to the Si repels sodium ions from
the glass surface
+
_
Silicon
Heater
Heater
Glass
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Outline
> Etching
> Wafer bonding
> Surface micromachining
> Process integration
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Surface Micromachining
> Surface micromachining refers to the selective removal of
sacrificial layers beneath structural layers to create suspended
structures
> Example
Structural layer:
polysilicon
Sacrifical layer:
Oxide
Etchant
HF
Top View
Cross Section
Substrate
Deposit
sacrificial layer
design
Deposit
structural layer
design
Remove
sacrificial layer
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Surface Micromachining
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Introduction to MUMPs
MUMPs Process
Step 2
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Step 3
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Step 4
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Step 5
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Step 6
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Step 7
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Step 8
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Step 9
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Step 10
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Step 11
Releasing
1.5-2 min 49% HF sacrificial oxide etch at room temp.
CO2 critical point drying
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Limitations of Surface MM
Stiction
> Caused mainly by van der Waals forces due to hydrogen content
or moisture on surface and close proximity of movable
structures (due to thin films used)
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Holes in plates
are important!
Courtesy of Elsevier, Inc., http://www.sciencedirect.com.
Used with permission.
Figure 11 on p. 243 in Harsh, K. F., V. M. Bright, and Y. C. Lee.
"Solder Self-assembly for Three-dimensional Microelectromechanical
Systems." Sensors and Actuators A: Physical 77, no. 3
(November 1999): 237-244.
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Outline
> Etching
> Wafer bonding
> Surface micromachining
> Process integration
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Stringers
> Stringers form when a conformal film that covers topographic
features is etched directionally, e.g. with RIE or dilute plasma
Conformal coating
over steps
Stringers remain
after directional
plasma etch.
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
> Using a thicker white layer and polishing it back with CMP cures
the stringer problem (so part of the cure is dont let it happen in
the first place a good lesson to remember)
Stringer location
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
System partitioning
> You know that the overall system requires a set of functionalities.
How many of them should you put on the MEMS chip? This will
govern your fab process!
Die separation
> Usually you use single MEMS chips, rather than whole wafers
> When and how to cut the chips apart?
> If youre going to slice them apart with a (very ungentle) die saw,
you must identify where in the process you will do it without
breaking your structures
> Either way, must think about this when creating your process
flow
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
> Until you design the package, you dont know what the
constraints on the physical access will be
> Therefore, until you make the system partitioning decision and
design the package, you cant make the masks!
This problem is based on a real device written up in the following paper; the cross
sections are from the paper as well.
Cite as: Carol Livermore and Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical
Devices, Spring 2007. MIT OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on
[DD Month YYYY].
Carol Livermore
Massachusetts Institute of Technology
Outline
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
SU-8 Epoxy
> Near-UV photosensitive epoxy that acts as a negative resist
> High aspect ratio structures possible (20:1), up to mm
> BUT it is not readily removed like photoresist would be
> Can be a structural material or a mask/mold for other materials
> Multilayer processing for thicker structures or two layer
structures, including enclosed flow paths
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Cracking in SU-8
> SU-8 shrinks in developer, causing cracks and loss of
adhesion
cracks
100 m
Courtesy of Joel Voldman. Used with permission.
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
SU-8 Removal
> When using SU-8 as a resist and not a structural material, it must
be removed!
Enduring challenge best option is not to strip the SU-8
Dry
O2 plasma for cleanup, too slow for bulk removal
Sacrificial layer: Omnicoat
Organic layer, O2 plasma patternable
Spin-coat sacrificial layer, process SU-8 on top
Sacrificial layer permits SU-8 liftoff
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
PDMS
> Polydimethylsiloxane
> Flexible elastomer
> Used to replicate topography from a master (Si, SU-8, etc)
> Used as a conformable stamp for patterning onto other surfaces
> Good for sealing microfluidic devices; can be sealed to many
materials
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
PDMS
CH3
CH3
CH3
O
Si
CH3
Si
CH3
CH3
Si
n CH3
Plasma oxidation
Air (~ 10 min)
contact PDMS
surfaces
irreversible seal:
formation of
covalent bonds
photoresist, or elastomer
Prepolymer
Master
cure and peel
Master
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Si
photolithography
PDMS
UV light
Si
mask
Si
PDMS
development
Si
master
tubing
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Microcontact printing
Stamp
Stamp
> Inks:
Stamp
Figure 2 on p. 186 in Rogers, J. A., R. J. Jackman, and G. M. Whitesides. "Constructing Single- and
Multiple-helical Microcoils and Characterizing Their Performance as Components of Microinductors
and Microelectromagnets." Journal of Microelectromechanical Systems 6, no. 3 (Sept. 1997): 184-192.
1997 IEEE.
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Parylene
> A vapor-deposited polymer that provides very conformal
coatings
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Outline
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Biomaterials processing
Multiple methods
Micro-contact printing
Microfluidic patterning
Lift-off
Stencils
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
> Lift-off
Use standard photoresist lift-off
Molecules must withstand acetone
or other solvent
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
> Stencils
PDMS stencil
A Folch, Univ. Washington
a)
b)
c)
d)
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Outline
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Process dependence
> Some microelectronic materials, like single crystal silicon, have
highly predictable and repeatable constitutive properties
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Constitutive Properties
> Constitutive properties are normally expressed as relationships
between applied loads (causes) and resulting responses
(effects).
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Response
Property
Distributed mechanical
force
Acceleration
Mass density
Temperature rise
E-field
D-field
Dielectric permittivity
H-field
B-field
Magnetic permeability
Stress
Strain
Elastic compliance
E-field
Current density
Electrical conductivity
Temperature gradient
Heat flux
Thermal conductivity
Shear stress
Shear rate
Inverse of viscosity
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Scalar properties
> Examples:
Mass density
Specific heat
Viscosity of a gas or unoriented liquid (i. e. not a liquid
crystal)
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Tensor properties
> Properties that involve directions, either the relative directions of
applied vector loads and vector responses, or the orientation of
loads and/or responses relative to internal (crystalline) axes,
require tensors for their specification
> Examples:
Permittivity, permeability, index of refraction and conductivity
Outline
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Electrical Conductivity
> Relates electric field to current density; Ohms law minus the
geometry.
Breakdown Strength
> The maximum electric field that an insulating material in the gap
between two flat electrodes can withstand without suffering
dielectric breakdown
> One test approach: fabricate a capacitor and fill gap with
material in question. Match gap thickness to actual device.
Detect onset of breakdown both optically and by current flow.
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Elastic constants
> Relate stress (how hard you push or pull on something in a
given direction, per unit area) to strain (the resulting fractional
change in the objects length or shape)
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
> Examples:
Bonding glass (quartz or Pyrex) to Si
Thermal stress in a film that is deposited at high T
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Piezoresistance
> Straining the silicon lattice shifts the band-edge energies of the
conduction band and the valence band
The conduction band, in particular, consists of multiple
minima and, depending on the direction of the strain, some
go up more than others
This shifts the relative electron population in some minima
compared to others and modifies scattering rates
E = [e + ] J
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
The Details
> Good news: in cubic materials, there are just three independent
non-zero piezoresistance coefficients, 11, 12, 44.
Effect of stress along
direction of E
Effect of stress
perpendicular to E
Ohms law
Effect of
shear stress
E1
= [1 + 11 1 + 12 ( 2 + 3 )]J1 + 44 ( 12 J 2 + 13 J 3 )
E2
= [1 + 11 2 + 12 ( 1 + 3 )]J 2 + 44 ( 12 J1 + 23 J 3 )
E3
= [1 + 11 3 + 12 ( 2 + 1 )]J 3 + 44 ( 13 J1 + 23 J 2 )
where
Piezoresistivity in Silicon
> Coefficients depend on doping, and decrease rapidly above
about 1019 cm-3
Resistivity
-cm
11.7
7.8
11
10-11 Pa-1
-102.2
6.6
12
10-11 Pa-1
53.4
-1.1
44
10-11 Pa-1
-13.6
138.1
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Piezoelectricity
> Ionic crystals that lack a center of inversion symmetry can
exhibit a net polarization within each unit cell. Materials which
have this at zero strain are called ferroelectrics. Materials in
which the dipole results from strain are called piezoelectrics
> Examples
Quartz
Zinc oxide
Lithium niobate
Lead zirconate-titanate (PZT)
Aluminum nitride
poly (vinylidene fluoride)
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Piezoelectricity
> Piezoelectrics can have a net electric polarization which
interacts with mechanical strain
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Piezoelectric coefficients
> The piezoelectric tensor links the stress tensor to the electric
polarization tensor
> There are four variables: stress, strain, electric field, and
electric displacement
Piezoelectric materials
> Some piezoelectrics have no net polarization at zero strain
Quartz
Zinc oxide
Quartz and ZnO are stiff materials, with low strain
> PZT
Coefficients depend on exact PZT material, on underlying
material, on frequency, and on electric field
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Outline
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Whats Hard?
> Knowing the geometry of the test device well enough to be able
to make good measurements of the constitutive properties and
their repeatability
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Pressure (p)
> Approach:
Apply different pressures and measure resulting deflections
Fit to an energy-based model for large membrane deflections
Ideal rigid boundary conditions are a benefit
> Weakness:
Deflection is very sensitive to variations in membrane
thickness and edge length, so metrology errors appear
> Approach:
Fabricate an array of microbeams of different lengths
Measure the voltage at which they pull in, and fit to models
Excellent agreement with known values when boundary
Gap Between Plates
Stable Region
Unstable
Region
Pull-In
Instability
Applied Voltage
VPI
Electronics A
Joel Voldman
Massachusetts Institute of Technology
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Outline
TODAY
> Op-amps
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
closed
surface
C) are lumped
approximations of
complex devices
EA = Q
E(r, t ) = V (r, t )
b
V (b) V (a) = V = Eg
A
+
V
-
E = 0
V (b) V (a ) = E dl
E da = Q
Q = AV
g
A
C=
g
E =V
V = CV
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Q = CV
dQ d
I=
= (CV )
dt dt
dV
I =C
dt
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
elements
> Resistor
> Capacitor
> Inductor
V
V = RI
V
dV
I =C
dt
dI
V =L
dt
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Source elements
I
+
+
V V0
V = V0
I
+
V I0
I = I0
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Complex impedances
1
V = IZ C = I
Cs
-
frequency domain
+
I
analysis
V
Z
V = IZ L = ILs
V = IZ R = IR
V (s) = I ( s) Z ( s)
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
+
V0
-
6. Solve
L
R
complex impedances
through variables
iV
i C + VC -
+
VV V0+
-
ZC
ZL
ZR
- VR +
VL
+
iL
iR
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
iV
i C + VC -
+
VV V0+
-
ZL
ZR
- VR +
ZC
VL
+
iL
iR
VV VC + VL VR = 0
4. Use KVL
V0 iC Z C + iL Z L iR Z R = 0
5. Substitute in element
iC = iL = iR
laws
6. Solve
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
iV
+
VV V0+
-
4. Use KVL
5. Substitute in element
laws
i C + VC ZC
ZL
ZR
- VR +
VL
+
iL
iR
V0 iR Z C iR Z L iR Z R = 0
iR =
V0
=
ZC + Z L + Z R 1
V0
Cs
+ Ls + R
Cs
iR =
V0
2
LCs + RCs + 1
6. Solve
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Example #1
C
L
R
VL
-
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Nodal analysis
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Nodal analysis
VC
trying to determine
V0
+
-
v2
v1
V0
+
-
C
R
Nodal analysis
i1
v1
V0
i2
i3
+
-
v2
L
Node 1:
Node 2:
v1 = V0
i1 + i2 + i3 = 0
v1 v2 0 v2 0 v2
+
+
=0
ZC
ZL
ZR
Nodal analysis
i1
v1
V0
+
-
i2
v2
i3
C
R
1
1
1 V0
v2
+
+
=
ZC Z L Z R ZC
4. Write KCL at each node
v2 ( Z L Z R + Z C Z R + Z L Z C ) = V0 Z L Z R
ground node
ZLZR
v2 = V0
Z L Z R + ZC Z R + Z L ZC
Nodal analysis
i1
v1
V0
+
-
i2
v2
i3
6.
LRs + 1
R + Ls 1
Cs
LRCs 2
Solve for node voltages v2 = V0 LRCs 2 + Ls + R
v2 = V0
LRs
Cs
2
LRCs
Use node voltages to
VC = v1 v2 = V0 V0
2
LRCs
+ Ls + R
find what you care about
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Example #2
R1
+
-
V0
R2
L
VL
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Intuitive methods
> Instead of solving the circuit using
equations, use series/parallel tricks to
analyze the circuit by inspection
+
V
-
i
i1
i2
Z1
Z2
i
Z
V
-
Z2
i1 = i
Z1 + Z 2
Z1
i2 = i
Z1 + Z 2
Z1Z 2
V = i1Z1 = i
Z1 + Z 2
Z1Z 2
= Z1 // Z 2
Z=
Z1 + Z 2
1 1
1
= +
Z Z1 Z 2
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Intuitive methods
> Voltage divider & impedances in
series
i
+
V1
+
V2
-
Z2
V2 = V
Z1 + Z 2
Z1
Z2
V1
V
=
=i
i1 =
Z1 Z1 + Z 2
Z = Z1 + Z 2
i
V
Z1
V1 = V
Z1 + Z 2
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Intuitive methods
> Examples of elements NOT in series OR parallel
Z1
Z2
Z1
Z3
Z4
Z3
Z1 and Z3 in series
Z2 and Z4 in series
Z1 and Z2 NOT in parallel
Z3 and Z4 NOT in parallel
Z4
Z3 and Z4 in parallel
Z1 and Z3 NOT in series
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Intuitive methods
iL
V0
+
-
C
L
V0
+
-
C
Za
Z a = Z R // Z L
Va
Za
Va = V0
Z a + ZC
Va
iL =
ZL
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Intuitive methods
> Lets use this approach
to solve a circuit
Za
Z R // Z L
1
1
iL = V0
= V0
Z a + ZC Z L
Z R // Z L + Z C Z L
ZRZL
ZR + ZL
1
= V0
ZRZL
+ ZC Z L
ZR + ZL
= V0
= V0
ZRZL
1
Z R Z L + ( Z R + Z L ) ZC Z L
RLs
RLs + ( R + Ls ) 1
Cs
1
Ls
RCs
iL = V0
RLCs 2 + Ls + R
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Example #3
R1
+
-
V0
R2
VR
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Superposition
> These equivalent circuits are linear
and obey the principles of
superposition
except one
Solve circuit
Repeat for all sources, then add
responses
V0
+
-
short
I0
open
an open circuit
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Superposition
v2
v1
I0
Solve circuit
Repeat for all sources, then add
v2 = I 0 Z R // Z C
responses
v2
v1
V0
+
-
V0
C
R
Find v2
I0
v2
v1
+
-
C
R
v2 = V0
ZR
Z R + ZC
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Superposition
ZR
v2 = I 0 Z R // Z C + V0
Z R + ZC
Z Z
ZR
Solve circuit
v2 = I 0 R C + V0
Repeat for all sources, then add
Z R + ZC
Z R + ZC
responses
v2
v1
V0
+
-
C
R
I0
v2 =
I0 R 1
Cs
R+ 1
+ V0 R
Cs
I 0 R + V0 RCs
v2 =
RCs + 1
Find v2
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Conclusions
> Nodal analysis works for most any circuit, but will be
tedious for complicated circuits
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Elasticity
(and other useful things to know)
Carol Livermore
Massachusetts Institute of Technology
Outline
> Overview
> Some definitions
Stress
Strain
> Isotropic materials
Constitutive equations of linear elasticity
Plane stress
Thin films: residual and thermal stress
> A few important things
Storing elastic energy
Linear elasticity in anisotropic materials
Behavior at large strains
> Using this to find the stiffness of structures
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
www.dlp.com
Pressure (p)
Pressure sensors
Images removed due to copyright restrictions. Figure 11 on p.
342 in: Zavracky, P. M., N. E. McGruer, R. H. Morrison, and D.
Potter. "Microswitches and Microrelays with a View Toward Microwave
Applications." International Journal of RF and Microwave Comput-Aided
Engineering 9, no. 4 (1999): 338-347.
1 m
Silicon
0.5 m
Cantilever
Pull-down
electrode
Veeco.com
Anchor
AFM cantilevers
Courtesy of Veeco Instruments, Inc. Used
with permission.
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Compressed
Silicon
0.5 m
Cantilever
Pull-down
electrode
Anchor
> How much curvature does that bending moment create in the
structure at a given point?
Elasticity
> Elasticity: the ability of a body to deform in response to applied
forces, and to recover its original shape when the forces are
removed
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Outline
> Overview
> Some definitions
Stress
Strain
> Isotropic materials
Constitutive equations of linear elasticity
Plane stress
Thin films: residual and thermal stress
> A few important things
Storing elastic energy
Linear elasticity in anisotropic materials
Behavior at large strains
> Using this to find the stiffness of structures
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Stress
x
z
x
xy
x
z
xy
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Stress
z
zy
zx
yz
xz
z
xy
yx
y
x
x
Image by MIT OpenCourseWare.
Adapted from Senturia, Stephen D. Microsystem Design. Boston, MA:
Kluwer Academic Publishers, 2001. ISBN: 9780792372462.
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Deformation
x3, y3
x2, y2
x4, y4
u(x1, y1)
x1, y1
x4, y4
x1, y1
Image by MIT OpenCourseWare.
Adapted from Senturia, Stephen D. Microsystem Design. Boston, MA: Kluwer Academic Publishers,
2001. ISBN: 9780792372462.
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Strain
> Strain is a continuum description of deformation.
> Center of mass translation and rigid rotation are NOT strains
> Strain is expressed in terms of the displacements of each point
in a differential volume, u(x) where u is the displacement and x is
the original coordinate
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
yi
yf
xi
x x+x
Initial length : ( x + x) x = x
Final length : ( x + x + u x ( x + x) ) ( x + u x ( x) ) =
= x + u x ( x + x) u x ( x)
x =
x+ux(x)
u x ( x + x) u x ( x) u x
=
x
x
x+x + ux(x+x)
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
ux
2
y
x
xy
uy
u x u y u x u y
=
+
=
+
x
x y
y
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Different regimes
> How are stress and strain related? It depends on the regime in
which youre operating.
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Outline
> Overview
> Some definitions
Stress
Strain
> Isotropic materials
Constitutive equations of linear elasticity
Plane stress
Thin films: residual and thermal stress
> A few important things
Storing elastic energy
Linear elasticity in anisotropic materials
Behavior at large strains
> Using this to find the stiffness of structures
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
L + L
x = E x
x = L L
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
y = x
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
proportional to (1-2)
approaches , volume
change goes to zero
z (1 x )
incompressible
Rubber
y (1 x )
x(1 + x )
V = xyz (1 2 ) x
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
> 6 equations, one for each normal stress and shear stress
xy
1
x = x ( y + z )
E
1
y = y ( z + x )
E
1
z = z ( x + y )
E
yz
zx
G=
1
= xy
G
1
= yz
G
1
= zx
G
E
2(1 + )
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
E
K=
3(1 2 )
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Plane stress
> Special case: when all stresses are confined to a single plane
Often seen in thin films on substrates (will discuss origin of
these stresses shortly)
1
1
x = ( x ( y + z )) = ( x y )
E
E
1
1
(
)
y = y ( x + z ) = ( y x )
E
E
1
( x + y )
z = ( z ( x + y )) =
E
E
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
> Can resolve axial forces into normal and shear forces
on a tilted plane
FN = F cos
FV = F sin
FN
FV
FV
FN
A
Area =
cos
F
= cos2
A
F
= cos sin
A
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Normalized stress
1.2
0.8
0.4
0
-0.4
-0.5
-0.25
0.25
0.5
/
Image by MIT OpenCourseWare.
Adapted from Figure 9.4 in Senturia, Stephen D. Microsystem Design. Boston, MA:
Kluwer Academic Publishers, 2001, p. 206. ISBN: 9780792372462.
1
( x y )
E
1
y = ( y x )
E
x =
1
(1 )
E
E
=
(1 )
Biaxial modulus =
E
(1 )
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Thin film
Edge
region
Substrate
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Types of strain
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Thermal expansion
> Thermal expansion: if you
change an objects temperature,
its length changes
xthermal (T ) = T T
x (T ) = x (T0 ) + T (T T0 )
and
V
= 3 T (T T0 )
V
T
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
s = T , s T
where
T = Td Tr
Film:
f , free = T , f T
f ,attached = T , s T
f ,mismatch = ( T , f T , s )T
Biaxial stress:
f ,mismatch
E
=
f ,mismatch
(1 )
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Edge effects
> If a bonded thin film is in a state of plane stress due to residual
stress created when the film is formed, there are extra stresses
at the edges of these films
Shear stresses
F=0
F=0
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Outline
> Overview
> Some definitions
Stress
Strain
> Isotropic materials
Constitutive equations of linear elasticity
Plane stress
Thin films: residual and thermal stress
> A few important things
Storing elastic energy
Linear elasticity in anisotropic materials
Behavior at large strains
> Using this to find the stiffness of structures
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
(x,y,z)
()d = ???
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Elastic Energy
> Elastic stored energy density is the integral of stress with
respect to strain
(x,y,z)
~
W(x,y,z) =
()d
0
1
~
2
W(x,y,z) = E [(x,y,z)]
2
> The total elastic stored energy is the volume integral of the
elastic energy density
W=
~
W
(x,y,z)dxdydz
Volume
> You must know the distribution of stress and strain through a
structure in order to find the elastic energy stored in it (next
time).
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
~ 1
For axial strains : W =
2
~ 1
For shear strains : W =
2
This leads to a total elastic strain energy :
W=
1
( x x + y y + z z + xy xy + xz xz + yz yz ) dxdydz
2 Volume
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
rank tensor
Strain is a second
rank tensor
Elastic constants
form a fourth rank
tensor
x C11
y C12
C
z = 13
yz C14
zx C15
C16
xy
C12
C13
C14
C15
C22
C23 C24
C25
C35
C45
C25
C26
C55
C56
C35
C36
C45
C46
C16 x
C26 y
C36 z
C46 yz
C56 zx
C66 xy
I = CIJ J
J
and
I = S IJ J
J
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Cubic materials
C11
C12
C
12
0
0
C12
C11
C12
C12
0
0
0
0
C12
C11
0
C44
0
0
0
0
0
0
0
0
C44
0
0
0
0
0
C44
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
> Examples:
Zinc oxide 5 elastic constants
Quartz 6 elastic constants
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Plastic deformation
5
4
Stress (arbitrary units)
point, a plastic
material develops
a permanent set
Loading curve
Unloading curve
2
1
-1
-2
4
2
3
Strain (arbitrary units)
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
6
Brittle Fracture
Yield
Ductile Fracture
3
2
1
0
2
3
4
Strain (arbitrary units)
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Outline
> Overview
> Some definitions
Stress
Strain
> Isotropic materials
Constitutive equations of linear elasticity
Plane stress
Thin films: residual and thermal stress
> A few important things
Linear elasticity in anisotropic materials
Behavior at large strains
> Using this to find the stiffness of structures
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Geometry :
F
F
L
= =
and =
A WH
L
Uniaxial stress :
= E
F
L
=E
WH
L
EWH
F=
L
L
F = kL k =
EWH
L
k=8000 N/m
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Electronics B
Joel Voldman
Massachusetts Institute of Technology
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Outline
> Op-amps
TODAY
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
E (eV)
E (0 eV)
E5 (-0.54 eV)
E4 (-0.85 eV)
E3 (-1.51 eV)
E2 (-3.40 eV)
Paschen
series
Balmer
series
Hydrogen
E1 (-13.6 eV)
Lyman
series
(n = 3, 1 = 1)
p orbital 6
allowed levels
Silicon
(n = 2)
8 electrons
of filled states
(n = 1)
2 electrons
(n = 3, 1 = 0)
s orbital 2
allowed levels
Electron energy
2
0
p
s
4N empty
states
2N+2N
filled states
Isolated
Si atoms
2'
L1
Si
2'
15
15
X4
-4
X1
L1
-8
L2'
1
-12
L
Gap
L3,
-6
Si lattice
spacing
Energy
25'
X1
25'
-2
-10
Decreasing
atom spacing
L3
U,K
Wave Vector k
The highest normally filled set of electronic states is the valence band
The lowest normally empty set of electronic states is the conduction band
An energy gap separates these states
At T=0 K, all the valence band states are filled
A filled band cannot conduct electricity This is an insulator
6
4
2
0
L3
2'
L1
15
Si
2'
15
Energy
25'
X1
25'
-2
conduction
band
Gap
L3,
X4
-4
-6
-10
energy gap
X1
L1
-8
L2'
1
-12
L
U,K
valence
band
Wave Vector k
T=0 K
Figure by MIT OpenCourseWare.
Figure 1 on p. 559 in: Chelikowsky, J. R., and M. L. Cohen. "Nonlocal
Pseudopotential Calculations for the Electronic Structure of Eleven
Diamond and Zinc-blende Semiconductors." Physical Review B 14, no.
2 (July 1976): 556-582.
electrons
holes
T=0 K
T=300 K
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Key terminology
> Energy gap EG (1.1 eV in silicon at RT)
> Si atom concentration = 5x1022 /cm3
> The number of carriers in intrinsic Si is
related to
ni e
EG
2 k BT
ni = pi
Every thermally
generated electron
leaves behind a hole
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Key terminology
> Intrinsic carrier concentration of
1014
Ge
GaAs
Si
1012
1011
1010
109
108
107
Adapted from Figure 2.20 in: Pierret, Robert F. Semiconductor Device Fundamentals.
Reading, MA: Addison-Wesley, 1996, p. 54. ISBN: 9780131784598.
106
105
200
300
400 500
T (K)
600
700
Doped semiconductors
> Dopants: substitutional impurity
atoms introduced having one
different valence than the
semiconductor
[#/cm3]
p-type material
Si
Si
Si
Si
Si
Si
Si
Si
Doped semiconductors
Ev
T=0
T>0K
T = 300 K
Ec
p-type dopant
EA
Ev
Image by MIT OpenCourseWare.
Adapted from Figure 2.13 in: Pierret, Robert F. Semiconductor Device Fundamentals. Reading,
MA: Addison-Wesley, 1996, p. 38. ISBN: 9780131784598.
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Main results
> Donors or acceptors are fully ionized
> Define n0 and p0 as the electron and hole
N D N D+ + e
N A N A + h +
concentrations at equilibrium
N D N D+
N A N A
n0 p0 = ni2
+
+
N A = p N D+ = n
n= p
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Main results
> Overall, silicon is neutral
Can use to determine n0 and p0
> Ex:
ni ~ 1010 cm-3
NA, ND ~ 1016-1019 cm-3
ni2 ni2
n0 =
=
p0 N A
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Main results
> Therefore, the equilibrium
majority carrier concentration is
determined by the net doping
and the minority carrier
concentration is determined by
the n0p0 product.
p-type
n-type
p0 = N A
n0 = N D
ni2
n0 =
NA
ni2
p0 =
ND
N A ~ 1017 cm 3
p0 = N A = 1017 cm 3
n0 =
2
i
(1010 cm3 )
n
3
3
10
cm
=
=
NA
1017 cm 3
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Excess carriers
> We can do various things to
create excess carriers
Shine light
Apply electric fields
n = n n0
p = p p0
Generally, n = p
Recombination
rate
Electron-hole
pair (EHP)
dn
n
dt
m
n(t ) ~ n(0)e
exponentially in pairs,
governed by lifetime
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Excess carriers
p
Carrier concentrations (cm-3)
p(t)
1015
1014
n(t)
1013
1012
Adapted from Figure 4.7 in: Streetman, Ben G., and Sanjay Kumar Banerjee.
Solid State Electronic Devices. 6th ed. Upper Saddle River, NJ: Pearson
Prentice Hall, 2006, p. 127. ISBN: 9780131497269.
10
20
30
40
50
time (ns)
Image by MIT OpenCourseWare.
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
drift
diffusion
J n = qe ( n nE + Dnn )
Diffusivity [cm2/s]
Mobility [cm2/V-s]
Carrier concentration
[cm-3]
small
n can be big diffusion
current dominates
Drift
Image by MIT OpenCourseWare.
Figure 3.1b) in: Pierret, Robert F.Semiconductor Device Fundamentals.
Reading, MA: Addison-Wesley, 1996, p. 76. ISBN: 9780131784598.
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Outline
> Op-amps
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
+ + + + + +
+ + + + + +
+ + + + + +
- + + + + + +
- + + + + + +
- + + + + + +
E
-
Oxide
n-type substrate
p-type region
n-type
p-type
- + + + + + +
- + + + + + +
- + + + + + +
xp0
xn0
XJ0
p
Ec
Ev
Equilibrium
IN
Ec
Oxide
Metal
+
p-type region
n-type substrate
ID
Ev
VD
_
Image by MIT OpenCourseWare.
Adapted from Figure 14.2 in: Senturia, Stephen D. Microsystem Design.
Boston, MA: Kluwer Academic Publishers, 2001, p. 359. ISBN: 9780792372462.
IP
Forward bias
Image by MIT OpenCourseWare.
Adapted from Figu
re 6.1 in: Pierret, Robert F. Semiconductor
Device Fundamentals. Reading, MA: Addison-Wesley, 1996,
p. 236. ISBN: 9780131784598.
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
I D = I0 e
qeVD
k BT
Forward bias
0.005
Current (A)
0.010
-VB
0.000
Reverse blocking
-0.005
Reverse breakdown
-0.010
-6
-5
-4
-3
-2
-1
Voltage (V)
Image by MIT OpenCourseWare.
Adapted from Figure 14.3 in: Senturia, Stephen D. Microsystem Design. Boston,
MA: Kluwer Academic Publishers, 2001, p. 360. ISBN: 9780792372462.
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Oxide
Metal
contacts
p-type region
n-type substrate
doping
desired
+
-
undesired
+
-
distributed
diode
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Outline
> Op-amps
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
MOSFET Structure
> The MOSFET exploits the concept of a field-induced junction
> The electric field between the gate and the channel region of the
substrate can either increase the surface concentration
(accumulation) or deplete the surface and eventually invert the
surface
Source
Gate
Drain
Oxide
D
Channels
p-type substrate
n+ regions
S
Body
Image by MIT OpenCourseWare.
Adapted from Figure 14.10 in: Senturia, Stephen D. Microsystem Design.
Boston, MA: Kluwer Academic Publishers, 2001, p. 366. ISBN: 9780792372462.
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
MOSFET Characteristics
1000
triode
800
ID (A)
VGS = 4 V
ID,sat
600
VGS = 3 V
400
G and D decreases
saturation
200
VGS = 2 V
0
S
e-
e-
VDS
S
e-
e-
S
e-
e-
n-channel
VDS=0
VDS>0
VDS>VGS-VT
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
S
G
S
B
p-channel
Enhancement
Depletion
n-channel
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
K/2(VGS-VTn)
characteristics
Simple large-signal
model, in saturation
gm vgs
CGS
r0
+
-
S
Simple small-signal
model, in saturation
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Outline
> Op-amps
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Signal path
vv+
Slope A0
v0
v+ - v-
+
Differential
amplifier
High-gain
amplifier
Output
amplifier
VsatDIP/SO Package
VS+
v-
Output A 1
v0
v+
Inverting input A 2
Non-inverting
input A
GND
VS-
_A
+ +
B_
v+
Output B
Inverting input B
Non-inverting
Input B
Top view
LM158
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
> A sampling
Input offset voltage voff: zero
v0 ( s ) = A( s ) ( v+ ( s ) v ( s ) )
where
A( s ) =
A0 s0
s + s0
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
R2
R1
Vs
v-
+
-
Vo
v+
R1
+
-
vv+
+
-
Vs v v V0
=
R1
R2
V0 = A(0 v )
R2
Vs
KCL:
Vo
A(v+-v-)
Vo
R2
1
=
Vs
R1 1 R2
1 + 1 +
R1
A
A
Vo
R
= 2
Vs
R1
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Vs
v = v+ = VS
+
V0
R1
R2
Vs = V0
R2 + R1
V0
R1
= 1+
VS
R2
R2
Non-inverting
Image by MIT OpenCourseWare.
Adapted from Figure 14.28 in Senturia, Stephen D. Microsystem Design.
Boston, MA: Kluwer Academic Publishers, 2001, p. 388. ISBN: 9780792372462.
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Transimpedance amplifier
V0 = R1 I S
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Integrator
C
R1
Vs
vC _
+
_
V0
+
1
V0 =
Vs (t )dt
R1C
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Differentiator
R1
C
Vs
+
_
V0
+
Structures
Carol Livermore
Massachusetts Institute of Technology
Outline
> Regroup
> Beam bending
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
> 6 equations, one for each normal stress and shear stress
xy
1
x = x ( y + z )
E
1
y = y ( z + x )
E
1
z = z ( x + y )
E
yz
zx
1
= xy
G
1
= yz
G
1
= zx
G
E
2(1 + )
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Our trajectory
> What are the loads and the supports?
1 m
Silicon
0.5 m
Cantilever
Pull-down
electrode
Anchor
> How much curvature does that bending moment create in the
structure at x? (Now you have the beam equation.)
Outline
> Regroup
> Bending
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Types of Loads
> Three basic types of loads:
Point force (an old friend, with its own specific point of
application)
F=
point load
q = distributed load
M=
concentrated moment
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Types of supports
Fixed
Pinned
Free
Pinned on Rollers
FR
Image by MIT OpenCourseWare.
Adapted from Figure 9.7 in: Senturia, Stephen D. Microsystem Design .
Boston, MA: Kluwer Academic Publishers, 2001, p. 209. ISBN: 9780792372462.
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
M (x ) = F ( L x)
V (x ) = F
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Some conventions
Moments
Positive
Negative
Moments:
Shears
Positive
Negative
Shears:
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
q
V
M+dM
V+dV
dx
FT = qdx + (V + dV ) V
dV
= q
dx
= ( M + dM ) M (V + dV ) dx
qdx
dx
2
dM
=V
dx
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Outline
> Regroup
> Bending
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Pure bending
> Important concept: THE NEUTRAL AXIS
> Axial stress varies with transverse position relative to the
neutral axis
dL = ( z )d
dx = d
Neutral Axis
Tension
z
MO
MO
d?
?
Compression
H/2
Tension
?x
Compression
H/2
Image by MIT OpenCourseWare.
Adapted from Figures 9.9 and 9.10 in: Senturia, Stephen D.
Microsystem Design . Boston, MA: Kluwer Academic
Publishers, 2001, pp. 211, 213. ISBN: 9780792372462.
z
dL = dx dx
z
x =
zE
x =
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
N = ( z )dA = 0
A
thickness
E ( z )W ( z )z
EW
z dz = 0
thickness
> The neutral axis is in the middle for a one material beam of
symmetric cross-section.
> Composite beams: if the beam just has a very thin film on it, can
approximate neutral axis unchanged
Internal Moment :
M = z x dA
A
x =
M =
zE
2
z
dA
A
Moment of inertia I :
I = z 2 dA
A
2
E
(
z
)
z
dA
M =
EI
EI
or more materials, calculate an effective EI.
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
M0
1
I = Wz dz = WH 3
12
H / 2
2
1
3 E
M = WH
12
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
dx
x
?(x)
ds
w(x)
d 2w
M
=
2
dx
EI
and, by successive differentiation
d?
ds =
dx
cos
dx
dw
= tan
dx
ds = d
d 1 d 2 w
=
dx dx 2
d 3w
V
=
dx 3
EI
d 4w q
=
dx 4 EI
Large-angle bending is
rare in MEMS structures
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Anticlastic curvature
y = x
/v
Original
cross-section
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
d 2w
M (x )
=
2
dx
EI
and
M (x ) = F ( L x)
V (x ) = F
F 3 FL 2
w=
x +
x + Ax + B
6 EI
2 EI
BC : w(0) = 0,
dw
=0
dx x =0
A= B=0
w=
FL 2
x
x 1
2 EI 3L
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
kcantilever
L3
F
=
3EI
3EI EWH 3
= 3 =
L
4 L3
Tabulated solutions
> Solutions to simple situations available in introductory
mechanics books
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Singularity functions
xa
xa
xa
= 0 if x a < 0
= ( x a ) if x a > 0
n
M (x ) = F a x
b
L
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Overconstraint
> A cantilevers single support provides the necessary support reactions
and no more
buckling
Your choice of how to calculate deflections
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
d 4w q
=
4
dx
EI
q
F
1
=
xL 2
EI EI
F
0
x L 2 + C1
EI
F
1
x L 2 + C1 x + C2
EI
dw F x L 2
=
2
dx EI
L/2
L/2
d 4w
=
4
dx
d 3w
=
dx 3
d 2w
=
2
dx
q=F xL 2
C1 x 2
+
+ C2 x + C3
2
3
C1 x 3 C2 x 2
F xL 2
w( x ) =
+
+
+ C3 x + C4
EI
6
6
2
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
16 EWH 3
k=
= 12.8 N/m
3
L
EWH 3
k=
= 0.2 N/m
3
4L
EWH
k=
= 8000 N/m
L
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Outline
> Regroup
> Bending
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Torsion
The treatment of torsion mirrors that of bending.
Images removed due to copyright restrictions.
Figures 48 and 50 in: Hornbeck, Larry J. "From Cathode Rays to Digital Micromirrors: A History of
Electronic Projection Display Technology." Texas Instruments Technical Journal 15, no. 3 (July-Sept ember 1998): 7-46.
Bending of plates
> A plate is a beam that is so
wide that the transverse
strains are inhibited, both
the Poisson contraction
and its associated
anticlastic curvature
x =
x y
E
But y is constrained to be zero
0 = y =
y x
E
x =
2 x
1
Plate Modulus
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
2w
= 2
x x
x =
2w
= 2
y y
y =
Ez
y =
1 2
1
x = ( x y )
E
x
z
y =
1
+
x
y
y x
1
( y x )
E
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
y H 2
x
1
Mx
= D
+
W
x y
1 EH 3
where D =
2
12 1
Mx
E
=
1 2
W
flexural
rigidity
> Note that stiffness comes from flexural rigidity as for a beam
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
2w
= 2
x x
1
2w
= 2
y y
1
> This leads to the equation for small amplitude bending of a plate
4w
4w
4w
D 4 + 2 2 2 + 4 = P( x, y )
x y
y
x
distributed load
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Lumped-element Modeling
with Equivalent Circuits
Joel Voldman
Massachusetts Institute of Technology
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Outline
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Context
Inertial MEMS
Changes gap
electrical output
Image removed due to copyright restrictions.
Photograph of a circuit board.
Acceleration
Beam
Plate capacitances
Fixed plate
Anchor
Unit cell
Motio
2 Microns thick
Image by MIT OpenCourseWare.
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
RF MEMS
1 m
Silicon
0.5 m
relay
Cantilever
Pull-down
electrode
Anchor
Zavracky et al., Int. J. RF Microwave CAE, 9:338, 1999, via Rebeiz RF MEMS
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
mechanical energy
RF MEMS Switch
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Outline
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Lumped-element modeling
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Lumped elements
> Electrical capacitor
> Spring
+
V
-
I =C
dV
dt
and displacement
Relation between
pressure and volumetric
flow rate
Point Load
F
x
MR
L
FR
wmax =
1
kcantilever
> Pros
Simplified representations that carry dimensional
dependencies
> Cons
Lose information
Deflection along length of cantilever
Will not get things completely right
Capacitance due to fringing fields
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
F = kx
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Lumped
dv
d 2x
F = ma = m = m 2
dt
dt
dashpot
Due to air damping
dx
F = bv = b
dt
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
F
m
b
x
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Outline
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
through
A through variable
An across variable
across
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
across
current
through
across (V)
velocity
through (I)
OR
force
through (I)
velocity
across (V)
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
F = k xdt
force
across (V)
velocity
through (I)
F = kx
V
dV
I =C
dt
C=1
k
x= 1 F
k
dF
1
x =
k dt
I
L= 1
k
dI
V =L
dt
1
I = Vdt
L
force
through (I)
velocity
across (V)
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Which is correct?
> Both are correct
> And both are used
> Velocity
beware!
voltage
> Force
voltage
Direct analogy
This is
Always store PE in capacitors what we
Springs Capacitors
will use
Generalized variables
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Generalized variables
> Formalize terminal
relations
General
Mechanical
dq
f =
dt
dx
v=
dt
q = qo + fdt
0
x = xo + vdt
0
dp
F=
dt
dp
e=
dt
t
p = po + edt
0
p = po + Fdt
0
Pnet = e f
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Examples
> Effort-flow relations occur in MANY different energy domains
General
Electrical
Mechanical
Fluidic
Thermal
Effort (e)
Voltage, V
Force, F
Pressure, P
Temp. diff., T
Flow (f)
Current, I
Velocity, v
Heat flow
Displacement (q)
Charge, Q
Displacement, x
Volume, V
Heat, Q
Momentum (p)
Momentum, p
Pressure
Momentum,
Resistance
Resistor, R
Damper, b
Fluidic
resistance, R
Thermal
resistance, R
Capacitance
Capacitor, C
Spring, k
Fluid
capacitance, C
Heat capacity,
mcp
Inertance
Inductor, L
Mass, m
Inertance, M
Node law
KCL
Continuity of space
Mass
conservation
Heat energy
conservation
Mesh law
KVL
Pressure is
relative
Temperature is
relative
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Other conventions
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
f
+
e
-
+ e (t)
- 0
effort source
+
e
f0(t)
flow source
f,I,v
Power IN
Power OUT
f0,I0,v0
e0
V0
F0
Power IN
e
V
F
Power OUT
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
f
+
+
e
R
-
Relates e & f
Directly relates e & f
+
C
L
-
Relates e & q
Differentiates e
Integrates f
Relates f & p
Integrates e
Differentiates f
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
A resistor
A damper (dashpot)
dQ
V = RI = R
dt
R=b
dx
F = bv = b
dt
V and F
I and v
Q and x
R and b
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Generalized resistor
f = f (e)
Linear resistor
I=1 V
R
v= 1 F
b
e,V,F
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
A capacitor
A spring
Q = CV
dV
I =C
dt
1
C=
k
x= 1 F
k
dx
dF
1
= x =
k dt
dt
V and F
I and v
Q and x
R and b
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Generalized capacitance
Linear capacitor
Nonlinear capacitor
e = (q )
e,V,F
V = 1 Q = (Q)
C
(Q) = Q
C
e1,V1,F1
q1
Q1
x1
q
Q
x
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Generalized capacitance
How much?
e1
W (e1 ) = qde
*
q1
q1
e,V,F
e1
= 1 (e)de
0
e1,V1,F1
W ( q1 ) + W * ( e1 ) = e1q1
W * ( e1 ) = e1q1 W ( q1 )
q1
Q1
x1
q
Q
x
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Parallel-plate capacitor
+
V
-
V = (Q) = Q
Q1
Q1
W (Q) = (Q)dQ = Q dQ
C
W (Q) =
Q
2C
I
Q = 1 (V ) = CV
V1
V1
W * (V ) = 1 (V )dV = CVdV
2
CV
W * (V ) =
2
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
An inductor
A mass
dI
d 2Q
V =L =L 2
dt
dt
dv
d 2x
L = m F = ma = m = m 2
dt
dt
V and F
I and v
Q and x
L and m
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Generalized Inertance
W ( p1 ) = 0 fdp
f1
f,v
f1,v1
W ( f1 ) = 0 pdf
*
W ( p1 ) + W * ( f1 ) = f1 p1
p1
W * ( f1 ) = f1 p1 W ( p1 )
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Outline
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Circuits in the e
V convention
1/k
F
m
F
b
x
Spring-mass-dashpot system
+
-
+ ek - +
em
- eb + -
Equivalent circuit
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
F = ma
F kx bx = mx
b
x
.
x
+
-
1/k
+ Fk - +
Fm
- Fb + -
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Example
F
k2
k1
m1
m2
b1
k1
a
k2
x1
x2
.
x2 1/k2
a
F
+
-
m2
.
x1
c
b1
m1
1/k1
e
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
k
1
1
=
=
m
LC
m1
k
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Energy coupling
deflection?
energy
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Conclusion
effort variable
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
k2
k1
m1
m2
> Note:
b1
x1
x2
displacement, caused
by F
.
x2
1/k2
.
x1
m2
displacement, x2 x1
If k1 , m2 and m1
share same
displacement
+
-
b1
m1
1/k1
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Energy-conserving Transducers
Joel Voldman*
Massachusetts Institute of Technology
(*with thanks to SDS)
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Outline
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
F
m
1 m
Silicon
0.5 m
b
x
.
x
Cantilever
Pull-down
electrode
Anchor
F
Image by MIT OpenCourseWare.
Adapted from Rebeiz, Gabriel M. RF MEMS: Theory, Design, and Technology
.
Hoboken, NJ: John Wiley, 2003. ISBN: 9780471201694.
+
-
1/k
+ ek - +
em
- eb + -
b
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
dq
f =
dt
dp
e=
dt
t
p = po + edt
0
q = qo + fdt
0
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Electrical
Mechanical
Fluidic
Thermal
Effort (e)
Voltage, V
Force, F
Pressure, P
Temp. diff., T
Flow (f)
Current, I
Velocity, v
Heat flow,
Q
Displacement (q)
Charge, Q
Displacement, x
Volume, V
Heat, Q
Momentum (p)
Momentum, p
Pressure
Momentum,
Resistance
Resistor, R
Damper, b
Fluidic
resistance, R
Thermal
resistance, R
Capacitance
Capacitor, C
Spring, k
Fluid
capacitance, C
Heat capacity,
mcp
Inertance
Inductor, L
Mass, m
Inertance, M
Node law
KCL
Continuity of space
Mass
conservation
Heat energy
conservation
Mesh law
KVL
Pressure is
relative
Temperature is
relative
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Todays goal
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Outline
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
General Considerations
> In MEMS, we are often interested in sensors and actuators
> We can classify sensors and actuators by the way they handle
energy:
Energy-conserving transducers
Examples: electrostatic, magnetostatic, and piezoelectric
actuators
Transducers that use a dissipative effect
Examples: resistive or piezoresistive sensors
> There are fundamental reasons why these two classes must be
treated differently.
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
An Energy-Conserving Transducer
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Moveable plate
V
g
-
z
Fixed plate
Image by MIT OpenCourseWare.
Adapted from Figure 6.1 in: Senturia, Stephen D. Microsyst em Design . Boston, MA: Kluwer
Academic Publishers, 2001, p. 126. ISBN: 9780792372462.
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
io
work
lifting
No electrical energy at zero gap
Must do mechanical work to lift
the plate
No movement No mechanical
Force
+
io
+Q
-Q
Force
+
V
-
I
+Q
g
-Q
Pull up
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
e V
qQ
Q
V=
C
Q
0 edq =0 VdQ = 0 C dQ
Q2 Q2 g
W (Q, g ) =
=
2C 2A
q
C=
A
g
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
E=
E-field of bottom plate
Q
2A
Q on top plate
+++++++
Q2
F = QE =
2A
g
W (Q , g ) = 0
Q2g
Fdg =
2 A
-------
Lossless transducers
dW
= Pelectrical + Pmechanical
dt
= VI + Fg
dW
dQ
dg
=V
+F
dt
dt
dt
dW = VdQ + Fdg
I
+
V
-
C
W(Q,g)
+
F
-
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
A Differential Version
> Since we can modify the stored energy either by changing the
charge or moving the plate, we can think of the stored energy as
defined differentially
dW = VdQ + Fdg
This leads to a pair of differential relations for the force and
voltage
W (Q , g )
F=
g
Q
W (Q , g )
V=
Q
g
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Q
2
Q g
W (Q, g ) =
2A
Q1
Move plates, then charge
g1
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
I
+
V
-
C
W(Q,g)
+
F
-
Q2 g
W (Q, g ) =
2A
W (Q, g )
Qg
=
V=
Q
A
g
W (Q, g )
Q2
=
F=
g
2A
Q
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
A different example
+Q
0
-Q
x0
C=
Q2
W (Q, x) =
2C
l
( 0 x + ( x0 x) )
g
Q2 g
W (Q, x)
1
F=
=
x
2l x ( 0 x + ( x0 x) )
Q
0
Q2 g
F=
2l ( 0 x + ( x0 x) )2
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Outline
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Fixed support
+
V
-
Spring k
V
g
z
Fixed plate
C
W(Q,g)
+
F
1/k
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Charge control
>
in(t)
+
V
-
W(Q,g)
1/k
1)
2)
3)
z
Fixed plate
Image by MIT OpenCourseWare.
Q = iin (t )dt
0
Spring k
Fixed support
I
4)
W
F=
g
Q2
=
2A
F
initial displacement
k
g = g0 z
z=
Q2
g = g0
2Ak
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Charge control
Q2
Q g 0
2Ak
Qg
=
=
A
A
v =V
V0
q=Q
Q0
g g)
= zg =( 0
g0
0
Q0 g 0
A
Q02 = 2Akg 0
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Charge control
Q2
Q g 0
2Ak
V=
A
2
(
qQ0 )
(qQ0 ) g 0
2Ak (qQ0 ) g 0 q 2 g 0
V=
=
A
A
Qg
V = 0 0 q (1 q 2 ) v = q (1 q 2 )
A
= 1 g g = 1 (1 q 2 ) = q 2
0
Charge control
multivalued the
charge uniquely
determines the state
and thus the energy
W
Q
Q2
Q g 0
2Ak
Qg
=
=
A
A
0.4
normalized
voltage
V=
normalized
displacement ( )
0.2
0
0
1
0.5
0.5
0
0
0.5
1
normalized charge (q)
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Co-Energy
> For voltage control, we cannot use W(Q,g) directly, because we
cannot maintain constant charge. Instead we use the co-energy
So we change variables
Recall: W * (e1 ) = q1e1 W ( q1 )
W * (V , g ) = QV W (Q, g )
dW * (V , g ) = d (QV ) dW (Q, g )
dW * (V , g ) = QdV Fdg
W * (V , g )
Q=
V
g
W * (V , g )
F =
g
V
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Voltage control
> Following the causal path
1. Voltage and gap (implicitly)
determines the force
1
A 2
W * (Vin , g ) = CVin2 =
Vin
2
2g
AVin2
W *
1) F =
=
2g 2
g V
I
+
Vin(t)
+
-
V
-
g = g0 z
2)
z=
C
W*(V,g)
F
k
1/k
3)
4)
g = g0
Q=
A
g
AVin2
2kg 2
Vin = CVin
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Outline
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
FNet
on the actuator
= k ( g0 g )
AV 2
2g
=0
= (g 0 g ) / g 0
v =V
2
PI
V =
VPI
8kg 03
27A
4v 2 g 02
=0
2
27 g
positive
force
increases
gap
normalized force
Av 2 8kg 03
= kg 0
=0
2
2 g 27A
4v 2
=0
2
27(1 )
Spring force
Electrical force
0.8
0.6
0.4
Increasing v
0.2
0
0 0.2 0.4 0.6 0.8 1
normalized displacement ( )
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Stability criterion
stable
unstable
Fnet
Fnet
g
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Stability criterion
unstable
stable
0.5
1 =
g
g0
-1
0
f net
Increasing v
0.5
1
normalized gap (g/g )
2g 2
4v 2
=
27(1 ) 2
0
-0.5
AV 2
g0
= + 1
g
4v 2
g0
27
g
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Stability criterion
FNet = k ( g 0 g )
Stability:
AV 2
2g 2
FNet
AV 2
<0
= k +
3
g
g
AV 2
<k
3
g
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Stability criterion
k=
At pull-in:
AVPI2
3
g PI
k ( g 0 g PI ) =
AVPI2
2
2 g PI
kg PI
k ( g 0 g PI ) =
2
8kg 03
VPI =
27 A
2
g PI = g 0
3
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
g = g0
AVin2
2kg 2
normalized gap
stable
In Matlab:
1
0.5
0
0
unstable
0.5
1
normalized voltage
g = fzero(@(g)(g - g0 + eps*A*V^2/(2*k*g^2)),g0);
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
8kg 03
V =
27A
2
PI
g0
Felec
Fmech
g =
g =
AVin2
=
2 2
= k (g 0 ) kg 0
2
AVHD
= kg 0
2
2
2 2 kg 0
2
VHD =
A
VHD
27
< 1
=
4 g0
VPI
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Macro pull-in?
8kg 03
VPI =
27 A
=
8(1)(0.01)3
750 V
Outline
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Adding dynamics
> Add components to complete
Fixed support
Resistor
R
the system:
Dashpot b
Mass m
Spring k
source
Inertial mass, dashpot
Vin
Fixed plate
+
Vin
+
V
-
1/k
W(Q,g)
Electrical domain
Mechanical domain
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
State Equations
> Dynamic equations for general
system (linear or nonlinear) can be
formulated by solving equivalent
circuit
Goal:
Q
> Good choices for state variables:
d functions of
g =
the charge on a capacitor
Q,g,g or constants
dt
+ eR - I
dQ 1
Qg
= Vin
dt R
A
Vin
+
-
W(Q,g)
eR = IR
V=
Qg
A
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
g
> We know that dg
.
g
dt
KVL :
F ek em eb = 0
F kz mz bz = 0
= g
ek = kz
em = mz
eb = bz
z = g 0 g z = g , z = g
.
z
1/k
+ ek - +
W(Q,g)
em
- eb + b
F k ( g 0 g ) + mg + bg = 0
1
[F k ( g 0 g ) + bg ]
m
dg
1 Q2
=
k ( g 0 g ) + bg
dt
m 2A
g =
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
dg
= g
dt
1
Qg
Vin
R
A
Q
=
g
g
dt
g 1 Q 2
m 2 A k ( g 0 g ) + bg
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Conclusions
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Joel Voldman*
Massachusetts Institute of Technology
*(with thanks to SDS)
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Outline
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Dashpot b
+
V
1 m
Silicon
0.5 m
Cantilever
Pull-down
electrode
Anchor
Spring k
Mass m
z
Fixed plate
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
I
2
Q g
W (Q, g ) =
2A
+
V
1/k
+
C
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
V=
W (Q, g )
Qg
=
Q
A
g
Charge is controlled
stable behavior at all gaps
Voltage is controlled
dW = VdQ + Fdg
dW * = QdV Fdg
pull-in at g=2/3g0
Simplifies math
W *
Q=
V
=
g
A
g
Vin
AVin2
W *
=
F=
g V
2g 2
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Todays goal
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Outline
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Adding dynamics
Fixed support
Resistor
R
the system:
Dashpot b
Mass m
Spring k
Vin
source
Inertial mass, dashpot
Fixed plate
I
+
Vin
+
V
-
1/k
+
m
W(Q,g)
equations
Image by MIT OpenCourseWare.
Adapted from Figure 6.9 in Senturia, Stephen D. Microsystem Design. Boston,
MA: Kluwer Academic Publishers, 2001, p. 138. ISBN: 9780792372462.
Electrical domain
Mechanical domain
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
State Equations
> Dynamic equations for general
system (linear or nonlinear) can be
formulated by solving equivalent
circuit
Goal:
Q
d functions of
g =
Q,g,g or constants
dt
g
+ eR - I
dQ 1
Qg
= Vin
dt R
A
Vin
+
-
W(Q,g)
eR = IR
Qg
V=
A
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
g
> We know that dg
.
g
dt
KVL :
F ek em eb = 0
F kz mz bz = 0
= g
ek = kz
em = mz
eb = bz
z = g 0 g z = g , z = g
.
z
1/k
+ ek - +
W(Q,g)
em
- eb + b
F k ( g 0 g ) + mg + bg = 0
1
[F k ( g 0 g ) + bg ]
m
dg
1 Q2
=
k ( g 0 g ) + bg
dt
m 2A
g =
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
dg
= g
dt
1
Qg
Vin
R
A
Q
g
g
=
dt
g 1 Q 2
m 2 A k ( g 0 g ) + bg
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Outline
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Quasistatic analysis
1
Qg
Vin
A
R
d
g = g
dt g 1 Q 2
k
g
g
b
g
(
)
+
0
m 2A
Fixed-point
analysis
Given static Vin, etc.
State eqns
What is deflection,
charge, etc.?
(Just now)
.
Vin
+
-
W(Q,g)
1/k
+
m
Follow
causal path
(Wed)
Equivalent circuit
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
State equations
For transverse
electrostatic actuator:
State variables
Inputs
x = f (x, u)
y = g (x, u)
Outputs
State variables:
Q
x = g
g
Inputs:
u = [Vin ]
Qg
Vin
A
R
f (x,u) = g
1Q
m 2 A k ( g 0 g ) + bg
Fixed points
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Qg
1
0 = Vin
R
A
0 = g
1 Q2
0=
k ( g 0 g ) + bg
m 2A
Qg
A
Q 2 Vin 2 A
=
= k ( g0 g )
2
2 A
2g
Vin =
normalized gap
Operating point
stable
1
0.5
0
0
0.5
1
normalized voltage
Last time
g = g0
AVin2
2kg 2
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Outline
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Large-signal analysis
1
Qg
Vin
A
R
d
g = g
dt g 1 Q 2
k
g
g
b
g
(
)
+
0
m 2A
Integrate
state eqns
Given a step input
Vin(t)u(t)
State eqns
(Earlier)
.
Vin
+
-
W(Q,g)
1/k
SPICE
+
m
Equivalent circuit
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Direct Integration
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Qg
V
in
A
R
f(x, u) = g
1 Q
m 2A k ( g 0 g ) + bg
(u[1]^2)/(2*e*A)
+
+
+
Electrostatic force
Spring
Sum2
-1/m
Inertia
Damping
1
s
Velocity
gdot
1
s
Position
At-rest gap g _ 0
1/(e*A)
*
Qg
_
1
V_in
1/R
1
s
1/R
Charge
+ +
g_min
>
g > g_min?
+
+
+
(u[1]^2)/(2*e*A)
Electrostatic force
-1/m
1
s
Inertia
Switch
Spring
Sum2
Velocity
Damping
gdot
Zero
1
s
Position
At-rest gap g _ 0
1/(e*A)
*
Qg
_
1
V_in
1/R
1/R
1
s
Charge
1
Q
7 10 8
1.6
Drive (scaled)
Charge
6 10 8
1.4
1.2
5 10 8
Position
4 10 8
3 10 8
2 10 8
1.0
Pull-in
0.8
0.6
0.4
1 10 8
0 10 0
Release
0.2
0
50
100
150
Time
200
250
300
0.0
50
100
150
200
250
300
Time
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
1.0
1.4
1.2
Release
0.0
Drive
1.0
Position
Velocity
0.5
Pull-in
-0.5
Release
0.8
0.6
Discharge
0.4
-1.0
0.2
-1.5
50
100
150
Time
200
250
300
0.0
Pull-in
50
100
150
200
250
300
Time
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Outline
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Small-signal analysis
Given O.P.
State eqns
Linearize
Linearized
state eqns
(Jacobians)
Time
response
Integrate
Take LT
n
ct
nf s
g e si
Ei aly
An
form TFs
What is g(t)
due to small
changes in
Vin(t)?
Form TFs
Natural
system
dynamics
Given O.P.
How fast
can I wiggle
tip?
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Small-signal analysis
Given O.P.
State eqns
Linearize
Linearized
state eqns
(Jacobians)
Integrate
Time
response
What is g(t)
due to small
changes in
Vin(t)?
Given O.P.
Equivalent
circuit
How fast
can I wiggle
tip?
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
x = f (x, u)
x (t ) = X 0 + x (t )
u(t ) = U0 + u(t )
Operating point
many
f(x)
df/dx
~ f(X0+ x)
f( X0)=Y0
f
(
)
d
x
= f (X 0 , U0 ) + i
X 0 +
x
dt
j
X0
f ( X 0 + x ) f ( X 0 ) +
df
dx
d (x )
X 0 +
= f (X 0 + x, U0 + u)
dt
Multi-dimensional Taylor
x
X0
x (t ) + f i
u
X 0 ,U 0
j
u(t )
X 0 ,U 0
Cancel
d (xi (t ) ) f i
=
x
dt
j
x (t ) + f i
i
u
X 0 ,U 0
j
J1
u (t )
i
X 0 ,U 0
J2
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
>
>
f1
Q O.P.
f
J1 = 2
Q O.P.
f 3
Q O.P.
g 0
RA
Q
The O.P. must be evaluated d g = 0
dt
to use the Jacobian
g Q0
Example linearization of
mA
the voltage-controlled
electrostatic actuator
Q0
RA
0
k
m
J1
f1
g
f 2
g
f 3
g
O. P.
O. P.
O.P.
f1
g
f 2
g
f 3
g
O. P.
O. P.
O. P.
1 R
(V )
g +
0 in
b g 0
m
J2
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
x = Ax + Bu
y = Cx + Du
Charge
500
0
2
Velocity
alternate method
Displacement
MATLAB
using ss(J1,J2,C,D) or
Impulse Response
1000
0
-2
-4
4
2
0
-2
-4
-6
0
10
15
20
25
Time (sec)
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Small-signal analysis
Given O.P.
State eqns
Linearize
Linearized
state eqns
(Jacobians)
Integrate
Time
response
Take LT
What is g(t)
due to small
changes in
Vin(t)?
Form TFs
Equivalent
circuit
Given O.P.
How fast
can I wiggle
tip?
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
x = Ax + Bu
Unilateral Laplace
sX ( s ) x (0) = AX( s ) + BU( s )
sIX ( s ) x (0) = AX( s ) + BU( s )
(sI A )X( s) = x(0) + BU( s)
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Transfer Functions
> Transfer functions H(s) are useful for obtaining
compact expression of input-output relation
Q( s )
V
(
s
)
in
g( s)
H(s) =
(
s
)
V
in
g ( s )
(
s
)
V
in
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
u (t ) = U 0 cos(t )
Y ( j ) = H ( j )U ( j )
y sss (t ) = Y0 cos(t + )
Y0 = H ( j ) U 0
Im{H ( j )}
tan =
Re{H ( j )}
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
40
20
0
Magnitude (dB)
> Use
Bode Diagram
Matlab
-40
-60
-80
-100
g( s)
H(s) =
Vin ( s )
-120
-140
180
135
Phase (deg)
-20
90
45
0
-45
-90
-2
10
-1
10
10
10
Frequency (rad/sec)
10
10
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Small-signal analysis
Given O.P.
State eqns
Linearize
Linearized
state eqns
(Jacobians)
Time
response
Integrate
Take LT
What is g(t)
due to small
changes in
Vin(t)?
Form TFs
Equivalent
circuit
Natural
system
dynamics
Given O.P.
How fast
can I wiggle
tip?
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
> System functions with the same poles and zeros have the
same dynamics
Q0
g( s)
ARm
H(s) =
=
Vin ( s )
1
Q02 1
b 2 1 b k 1 k
3
+ s +
+ s+
2 2
s +
RC
m
RC
m
m
RC
m
A
Rm
0
0
0
where C0 =
A
g 0
Pole-zero diagram
tip velocity
Imaginary Axis
about dynamics of
system function
Matlab command
Pole-Zero Map
1
pole
zero
0.5
0
-0.5
pzmap
-1
-9
-8
-7
-6
-4
-3
-2
-1
-3
-2
-1
R l Aaxis
i
Real
Pole-Zero Map
1
gap
Imaginary Axis
dynamics, stability,
etc.
-5
0.5
0
-0.5
-1
-9
-8
-7
-6
-5
-4
Real Axis
Real axis
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Small-signal analysis
Given O.P.
State eqns
Linearize
Linearized
state eqns
(Jacobians)
Time
response
Integrate
Take LT
n
ct
nf s
g e si
Ei aly
An
Form TFs
Equivalent
circuit
What is g(t)
due to small
changes in
Vin(t)?
Natural
system
dynamics
Given O.P.
How fast
can I wiggle
tip?
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Eigenfunction Analysis
> For an LTI system, we can find the eigenvalues and
eigenvectors of the J1 (or A) matrix describing the internal
dynamics
dx
= x
dt
x(t ) = K 0 e t + K1
If we try solution:
x (t ) = Ke t
Plug into DE:
x (t ) = J1x + J2u(t)
dx
= Ax
dt
d (x )
= J1x
dt
x = Ax
This is an eigenvalue
equation
If we find we can find
natural frequencies of
system
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Eigenfunction Analysis
> These are the same as the poles si of the system
> Can solve analytically
Find from det(A-I)=0
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Increasing voltage
frequency decreases
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Spring softening
> Plot damped resonant frequency versus applied voltage
> Resonant frequency is changing because net spring constant
k changes with frequency
k'=k
AV 2
g
This is called
spring softening
Voltage
Image by MIT OpenCourseWare.
Adapted from Figure 7.5 in Senturia, Stephen D. Microsystem Design.
Boston, MA: Kluwer Academic Publishers, 2001, p. 169. ISBN: 9780792372462.
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Small-signal analysis
Given O.P.
State eqns
Linearize
Linearized
state eqns
(Jacobians)
Time
response
Integrate
Take LT
n
ct
nf s
g e si
Ei aly
An
form TFs
What is g(t)
due to small
changes in
Vin(t)?
Form TFs
Natural
system
dynamics
Given O.P.
How fast
can I wiggle
tip?
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Linearized Transducers
> Can we directly linearize
our equivalent circuit?
YES!
Vin(t) +
-
1/k
Fout
Source
Transducer
Load
Find OP
Linearize
Vin(t)
+
-
+
V
Linearized
Transducer
+
Fout
Source
Load
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
V=
Fout
Q0
A Q
g
k
Q
s
g = U
V0
sg 0 I
k U
s
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Linearized Transducers
> Now we want to convert this relation into a circuit
> Many circuit topologies are consistent with this matrix relation
> THIS IS NOT UNIQUE!
i'
-1/k'
1/k
u'
1:
'
Co
F'
2/
Co
Co
1/k
1:
1/k*
1:/2
i
+
Co
1_
1
k_/C
Co
+
o
F
_
Linearized Transducers
> This is the one used in the text
V Z EB Z EB I
F = Z
U
Z
MO
out EB
Z MS
2 Z EB
= Z MO 1
Z MO
e2
=
f 2 0
0 e1
1 f
1
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Linearized Transducers
V Z EB Z EB I
F = Z
U
Z
MO
out EB
2 Z EB
Z MS = Z MO 1
Z MO
1
V sC0
F = V
0
sg 0
Z MS
V0
sg 0 I
k U
s
2 1
2
k Q0 sC0 k Q0 1
= 1
= 1
k
s g 0 C0 k
s g 0
2
Q
k
Q02
0
= 1
k
k
=
'
s Akg 0
Ag
C0V0
g 0
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Linearized Transducers
C0 =
A
g 0
Linearized Transducers
Q02
k'= k
Ag 0
in k
Linearized Transducers
= V0
= V0
=
C
g
C0V0 Q0
=
g 0
g 0
= V0
O. P.
A
g g O. P.
A
g 02
C0V0
g 0
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Transfer Functions
> Can use linearized
>
Z1
1:
Z1
Z2
1:
Vin
U
m
+
-
C0
2/k
Vin
1/k
+
-
U
m/2
C0
b/
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Proceedings of SPIE Int Soc Opt Eng 5715 (January 2005): 11-25.
Proceedings of SPIE Int Soc Opt Eng 3673 (July 1999): 55-66.
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
State eqns
Linearize
Linearized
state eqns
(Jacobians)
Time
response
Integrate
Take LT
n
ct
nf s
g e si
Ei aly
An
form TFs
What is g(t)
due to small
changes in
Vin(t)?
Form TFs
Natural
system
dynamics
Given O.P.
How fast
can I wiggle
tip?
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Conclusions
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
+
-
1/k
+ ek - +
em
- eb + -
d x x
= 1
dt x m (F kx bx )
State
eqns
x = Ax + Bu
y = Cx + Du
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Step Response
Amplitude
Position
1.5
1
0.5
Velocity
0
1
0.5
0
-0.5
0
10
15
Tim e (sec)
20
25
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Transfer Functions
> Can get TFs from A,B,C matrices
Y ( s ) = CX ( s ) + DU( s )
Y( s ) = C ( sI A ) B U( s )
Y( s ) = H ( s )U( s )
1
H ( s ) = C ( sI A ) B
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Transfer Functions
> Lets do analytically & via MATLAB
1
s 0 0
k
sI A =
s
0
m
m
1
s
= k
b
+
s
m
m
1 s + b m 1
1
(sI A ) = k
s
m
= s ( s + b m) + k m = s 2 + s b m + k m
1 0 1 s + b m 1 0
1
C(sI A ) B =
1
k
0 1 m s m
1
1 m
=
s
m
X( s )
1
F( s) 2
ms + sb + k
H( s) =
=
X( s )
s
ms 2 + sb + k
F( s)
s 2 + 0.5s + 1
H( s) =
2
s + 0.5s + 1
>> [n,d]=ss2tf(A,B,C,D)
n=
s1
s0
s2
0 -0.0000 1.0000
0 1.0000 -0.0000
d=
1.0000
0.5000
1.0000
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Transfer Functions
> Can also construct H(s) directly
using complex impedances and
circuit model
.
1/k
x
+
-
+ ek - +
em
- eb + b
F ek em eb = 0
k
ek = kx = x
s
eb = bx
em = mx = msx
(s)
X
1
= H2 ( s ) =
F( s )
Z( s )
1
=
b + ms + k s
s
=
ms 2 + bs + k
( s ) sX( s )
X
=
F( s ) F( s )
1
X( s )
= H1 ( s ) =
ms 2 + bs + k
F( s )
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
2
1 s + s b m + k m
H(s) =
s
m
s2 + s b m + k m
1 ( s s1 )( s s2 )
=
s
m
( s s )( s s )
1
2
where
2
b
k
b
s1,2 =
2m
2
m
m
these are the poles
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Spring-mass-dashpot system
> It is a second order system, with
two poles
s2 + b
s+k
= s 2 + 2s + 02
k
m
b
=
2m
0 =
s1, 2 = 2 02
For underdamped systems ( < 0 )
s1, 2 = j d
where
d = 02 2
Quality factor :
Q=
0 m 0
=
2
b
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Pole-zero diagram
s
H2 ( s ) = 2
s + 0.5s + 1
s1, 2 = 0.25 j 1 1 = 0.25 j 0.97
16
Pole-Zero Map
2
1.5
pole
Imaginary Axis
1
0.5
zero
0
-0.5
-1
-1.5
-2
-2
-1
0
Real Axis
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
1
1
H( j ) =
m ( 02 2 ) 2 + 4 2 2
Bode Diagram
0
-10
-20
-30
-40
360
Phase (deg)
H( j ) = atan 2
2
0
Magnitude (dB)
1
1
H( j ) =
m 2 + 2j + 02
10
315
270
225
180
-1
10
10
Frequency (rad/sec)
10
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Eigenfunction Analysis
> Find eigenvalues numerically using MATLAB and A matrix
1
0
A=
0
0
.
5
[ V , ] = eig( A)
0
1 0 0.25 + 0.97 j
=
=
0
0
.
25
0
.
97
j
0.707
0.707
V = [v1 v2 ] =
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Outline
> Effects of residual stresses on structures
> Energy methods
Elastic energy
Principle of virtual work: variational methods
Examples
> Rayleigh-Ritz methods for resonant frequencies and
extracting lumped-element masses for structures
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
> Residual stress can also come from film structure: intrinsic
stress
> Stresses set up bending moments that can bend the substrate
> When we release a residually stressed MEMS structure,
interesting effects can ensue
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
d 4w q
=
4
dx
EI
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
s0
s0
0 H
P0 =
P0
s0WH
2WP0 = 20WH
s0WH
d 4w
EI 4 = q + q0
dx
d 4w
d 2w
EI 4 0WH 2 = q
dx
dx
-0.2
Displacement
0
s = 250 MPa
0.2
0.4
100
0.6
0.8
50
25
1
1.2
20
40
60
Position
80
100
2)
with stressstiffening
3)
Figure 3 on p. 499 in: Hung, E. S., and S. D. Senturia. "Extending the Travel Range of Analog-tuned Electrostatic Actuators."
Journal of Microelectromechanical Systems 8, no. 4 (December 1999): 497-505. 1999 IEEE.
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Euler
2 EH 2
=
3 L2
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
N x 2w N y 2w
= P
+
2
2
W y
W x
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
After release
but before bending
-H/2
x
o
Compression
H/2
z
Stress before release
-H/2
-1
Tension
1
x
Compression
H/2
z
Stress after release
but before bending
After bending
-H/2
Tension
x
Compression
H/2
z
After bending
EI
1
1 EH
2
x =
M x = z x dA = WH 1 and x =
A
Mx
6
2 1
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
> In this case, the curling does not relieve all the stress
After release
Before release
t
-H/2
-H/2
o
H/2
2
-3
H/2
y
y
Stress before release
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Outline
> Effects of residual stresses on structures
> Energy methods
Elastic energy
Principle of virtual work: variational methods
Examples
> Rayleigh-Ritz methods for resonant frequencies and
extracting lumped-element masses for structures
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Elastic Energy
> Elastic stored energy density is the integral of stress with
respect to strain
(x,y,z)
~
W(x,y,z) =
()d
0
1
~
2
W(x,y,z) = E [(x,y,z)]
2
> The total elastic stored energy is the volume integral of the
elastic energy density
~
W = W(x,y,z)dxdydz
Volume
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
~ 1
For axial strains : W =
2
~ 1
For shear strains : W =
2
This leads to a total elastic strain energy :
W=
1
( x x + y y + z z + xy xy + xz xz + yz yz ) dxdydz
2 Volume
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
(F) = ??
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
are guesses
is best guess
x
xx
Deformation
> Yes! You can choose a plausible shape function for the
displacement with a few adjustable parameters and iteratively
guess the constants to best equate stored energy and work
done
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
u and xy = v + u
y
x
x
This implies changes in strain energy density
x =
>
~
W = x x + + xy xy +
> The principle of virtual work states that in equilibrium, for any
virtual displacement that is compatible with the B.C.,
~
Wdxdydz
Volume
(F
(F
s,x
u + Fs , yv + Fs , zw)dS
Surface
u + Fb , yv + Fb , zw)dxdydz = 0
b, x
Volume
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Differential Version
~
Wdxdydz (Fs , x u + Fs , y v + Fs , z w )dS (Fb, x u + Fb, y v + Fb, z w)dxdydz = 0
Surface
Volume
Volume
This can be restated in the following form :
U = 0
where
~
U = Wdxdydz (Fs , x u + Fs , y v + Fs , z w )dS (Fb, x u + Fb, y v + Fb, z w)dxdydz
Volume
Surface
Volume
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Variational methods
> Select a trial solution with parameters that can be varied
(x, y, z; c1, c2,cn) = trial displacement in x
v(x, y, z; c1, c2,cn) = trial displacement in y
(x,
y, z; c1, c2,cn) = trial displacement in z
> Formulate the total potential U of the system as functions of
these parameters
> Find the potential minimum with respect to the values of the
parameters
U
U
U
= 0,
= 0,....
=0
c1
c2
cn
> The result is the best solution possible with the assumed trial
function
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Why Bother?
> Nonlinear partial differential equations are basically very nasty.
> Approximate analytical solutions can always be found with
variational methods
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Outline
> Effects of residual stresses on structures
> Energy methods
Elastic energy
Principle of virtual work: variational methods
Examples
> Rayleigh-Ritz methods for resonant frequencies and
extracting lumped-element masses for structures
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
w ( x) = c0 + c1 x + c2 x 2 + c3 x 3 + c4 x 4
Boundary conditions : w = 0 and w = 0 at x = 0, L
w ( x) = c4 L2 x 2 2 Lx 3 + x 4
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
d 2 w
= = z 2 = zc4 2 L2 12 Lx + 12 x 2
dx
EW
W=
2
1
3 5 2
=
dxdz
EWH
L c4
H / 2
30
H /2
(
> This yields total potential energy
1
U=
EWH 3 L5c42 L2 x02 2 Lx03 + x04 Fc4
30
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
U
=0
c4
L2 x02 2 Lx03 + x04
c4 = 15
F
3 5
EWH L
L2 x02 2 Lx03 + x04 L2 x 2 2 Lx 3 + x 4
(
w = 15
)(
)F
EWH 3 L5
> Compare stiffness for the case of a center-applied load
( )
3
15
L
wL =
F
2 256 EWH 3
256 EWH 3
EWH 3
k=
17
3
15
L
L3
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
0.000
0.010
0.010
0.020
0.020
Vertical position
Vertical position
0.030
0.040
0.050
0.060
Exact when xo = L/2
0.070
0.080
0.2
0.4
0.6
0.030
0.040
0.050
0.060
Exact when xo = L/2
0.070
0.8
Axial position
0.080
0.2
0.4
0.6
Axial position
0.8
Adapted from Figure 10.1 in Senturia, Stephen D. Microsystem Design. Boston, MA: Kluwer Academic Publishers, 2001, p. 248.
ISBN: 9780792372462. The artist's representation of the fourth and fifth degree polynomials is approximate.
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
ds =
-L/2
L/2
0
c
Deformed shape
of original neutral
axis
Segment of original neutral axis
x
x+dx
w(x)
dx
dx 2 dx
The change in length is
L/2
w(x+dx)
u(x+dx)
Same segment after deformation
u(x)
L/2
ds dx
L =
dx = x dx
dx
L / 2
L / 2
-L/2
L/2
> Bending and external work already calculated for one trial
function
> Pick another trial function (same weakness as last attempt, but
easy to use) and include large deflections
c
2x
w = 1 + cos
L
2
Why not a ?
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
L2
1
=
a =
x dx
L L L 2
L/2
du 1 dw 2
1
a =
dx
+
L L / 2 dx 2 dx
L/2
1 dw 2
1 L L 1
a = u u + dx
L 2 2 L L / 2 2 dx
H /2 L/2
EWH 4 8 H 2 + 3c 2 c 2
dxdz =
3
96
L
H / 2 L / 2
2
T
EWH 4 8 H 2 + 3c 2 c 2
U = W Fc =
Fc
3
96 L
U
4 EWH 3 4 EWH 3
=0
c + 3 c
F =
3
c
6 L 8 L
> Compare linear term with solution to beam equation: prefactor
16.2 instead of 16
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
3
3
L
L
> Once youve found the elastic strain energy, finding results for
another load is easy
Work = Fc
L2
Work =
q( x)w (x )dx
L 2
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
L/2
2x
c
WLPc
1
cos
dx
+
=
2
2
L
L / 2
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
a
~
W = d
~
W=
+ E )d
0
0
> Now there is a residual
stress term in the stored elastic energy
Wr = 0W
H /2
H / 2
L/ 2
dz
dx
a
L / 2
> For the fixed-fixed beam example, the residual stress term is:
>
2 2
Wr = 0WLH 2 c
4L
This leads to a general form of the load-deflection relationship
for beams, which can be extended to plates and membranes
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
L
L
2
6
and for the pressure loaded case :
2 0 H 4 EH 3 4 EH 3
P = 2 + 4 c + 4 c
L 3 L 4 L
The general form for pressure loading, useful for fitting to FEM results, is :
0 H
EH 3
EH
P = Cr 2 + Cb 4 c + Cs 4 c 3
L
L
L
Finally, we note that the stress term dominates over bending when
EH 2
0 2
L
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Outline
> Effects of residual stresses on structures
> Energy methods
Elastic energy
Principle of virtual work: variational methods
Examples
> Rayleigh-Ritz methods for resonant frequencies and
extracting lumped-element masses for structures
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
But we still dont know how to find the mass term associated
with structures
> We can get the mass term from the resonance frequency and the
stiffness
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
t =
= w ( x )
2
1 2
mvmax
2
1
2
= m ( x ) 2 w (x )
2
2
2
(
)
(
)
x
w
x
dxdydz
m
volume beam
Rayleigh-Ritz
=
2
0
Welastic
1
2
m ( x)w ( x)dx
2 Volume
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Deflection
0.02
0.04
Bending solution
0.06
0.08
0.10
0.12
0.14
-0.5
Tensioned wire
-0.25
0
x/L
0.25
0.5
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
> Extract the mass from the relation between mass, stiffness,
and resonant frequency.
2 = k/m
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Dissipation and
The Thermal Energy Domain Part I
Joel Voldman*
Massachusetts Institute of Technology
*(with thanks to SDS)
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Outline
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Thermal MEMS
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
MEMS Imagers
> A bolometer heats up due to
incoming radiation
0.5 m
IR
Radiation
Y-metal
Better design
2.5 m
Image removed due to copyright restrictions.
B
E
X-metal
Monolithic bipolar
transistor
Honeywell
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
MEMS Imagers
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Sensors, Actuators, and Microsystems, Boston, June 9-12, 2003. Vol. 1. Piscataway, NJ:
IEEE Electron Devices Society, 2003, pp. 686-689. ISBN: 9780780377318. 2003 IEEE.
of MEMS
conductivities
From vacuum (~0) to metal (~100s
W/m-K)
Figure 3 on p. 687 in: Meng, E., and Y.-C. Tai. "A Parylene MEMS Flow Sensing Array.
Technical Digest of Transducers'03: The 12th International Conference on Solid-State
Sensors, Actuators, and Microsystems, Boston, June 9-12, 2003. Vol. 1. Piscataway, NJ:
IEEE Electron Devices Society, 2003, pp. 686-689. ISBN: 9780780377318. 2003 IEEE.
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Flow
Calm
Thermopile B
Heater
Temperature distribution
Image by MIT OpenCourseWare.
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
> Reason #2
Commercial
0.179
Sensitivity (V/g)
0.180
0.178
0.177
0.176
0.175
0.174
0.173
0.172
0.171
0.170
-30 -20 -10 0 10 20 30 40 50 60 70 80
ADI ADXL320
Temperature (oC)
Image by MIT OpenCourseWare.
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Outline
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Charging a capacitor
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
VS
Vc
R
+
VS
+
C
-
VC
-
VC = VS (1 e t / RC )
I =C
dVC VS t / RC
= e
dt
R
VR
time
1
Energy stored in capacitor: WC = CVS2
2
Energy delivered by power supply:
VS2 t / RC
PS (t ) = IVs =
e
R
Ws = Ps dt =CVS2
0
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
VR2
PR = IVR = I R =
R
For normal "positive" resistors
PR 0
2
This means
[ ]/m3
2
P%
R = J eE = eE
3
P%
d
r
R
Volume
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Outline
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Elastic
Magnetic
Electric
Chemical
Fluids
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Electrical energy
heat up
Structure will bend in response
Thermal energy
Lost to thermal
reservoir
Strain energy and
deflection
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Governing Equations
> Some introductory
notation
X ~
=X
V
Q
Thermal energy [J]
~
Q
Thermal energy/volume [J/m3]
Q&= I
Q
Q
Heat capacity at constant volume (J/K)
T Volume
Heat capacity at constant pressure (J/K)
Q
T
Pressure
CV = C P = C
~ C
C=
V
~ C~
Cm =
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Governing Equations
n
S
generation
+
of
stuff
in
volume
d
bdV = F ndS + gdV
dt
Get point relation
net stuff
d stuff in
= entering
dt volume
volume
b
t dV = FdV + gdV
b
= F + g
For heat
t
~
transfer
dQ
~
+ JQ = P
sources
dt
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Heat conduction
J Q = T
J Q = hc (T2 T1 )
sometimes is
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
J Q = T
J Q = (T )
~
Q
~
= (T ) + Psources
t
dQ% %
=C
For homogeneous materials, with
dT
T 2
1 ~
= ~ T + ~ P
t C
C sources
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Thermodynamic Realities
> The First law of thermodynamics implies that entropy is a
generalized displacement, and temperature is a generalized
effort; their product is energy
> The Second Law states that entropy production is 0 for any
process
Outline
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Thermal sources
IQ
+
-
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
J Q = T
Electrical
conductivity
Relation between
effort and flow
T 2
~
= ~ T +P
sources
t C
2T = 0
T2 = T1
No heat
storage
(J
n )1 = (J Q n )2
Laplaces Eqn.
Continuity of effort
Continuity of flow
J E = e E = eV
2V = 0
V2 = V1
No
charge
2 storage
(J E n )1 = (J E n )
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
RT
1 L
RT =
[K/W]
A
> Plus, heat conduction and current flow obey the same
differential equation
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
> Convection
IQ
J Q = hc (T2 T1 )
I Q = hc A (T2 T1 ) = hc AT
RT ,conv
1
=
hc A
IQ
RT,conv
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
> Radiation
Nonlinear with temperature
Large-signal model
IQ
- T1
T2 +
IQ
T2
T1
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
> Radiation
Many ways to linearize
We show two approaches
T2>>T1
I Q SB F12 AT24
I Q = ( 4 SB F12 AT23 ) T
IQ
RT,rad
I Q = ( 4 SB F12 AT13 ) T
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Q
CE =
V
Q
CT =
T
~
CT = Cm mV
IQ
CT
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Electro-thermal transducer
> In electromechanical energy transduction, we introduced the twoport capacitor
> What will we use to couple electrical energy into thermal energy?
In the electrical domain, this is due to Joule dissipation, a loss
mechanism
Therefore it looks like an electrical resistor
In the thermal domain it looks like a heat source
Therefore it looks like a thermal current source
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Electro-thermal transducer
> Our transducer is a resistor and a
dependent current source
power
Reflects fact that heat current will
always be positive out of transducer
IQ
+
V
IR
R
+
T
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Outline
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
0.5 m
IR
Radiation
Y-metal
2.5 m
B
E
X-metal
IQ
Monolithic bipolar
transistor
CT
RT
RT I Q
1
=
T = I Q RT //
CT s 1 + RT CT s
Tss = RT I Q
= RT CT
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Implications
Tss = RT I Q
= RT CT
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Thermal resistance
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Conduction resistance
> Conduction
Si is too thermally
conductive
SiO2 is compressively
stressed
Try SiN
11 L
2 A
(50 m )
2(20 W/m - K )(0.5 m )(5 m )
Material
(W/m-K)
Silicon
148
Silicon Nitride
RT ,legs =
20
0.03
Air (1 mtorr)
10-5
RT ,air =
1 L
A
2.5 m )
(
=
(105 W/m-K ) ( 50 m )( 50 m )
RT ,air = 108 K/W
Conduction through legs dominates
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Other resistances
> Convection
RT ,rad =
> Radiation
There is transfer
between plate and body
RT ,rad =
1
4 SB F12 AT13
1
3
4 5.67 10 8 W/m 2 K 4 (0.5)(50 m )(50 m )(300 K )
Radiation negligible
CT = C%m mV
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
responsivity higher?
50 m
0.5 m
IR
Radiation
Y-metal
2.5 m
B
X-metal
Monolithic bipolar
transistor
rigidity
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Joel Voldman*
Massachusetts Institute of Technology
*(with thanks to SDS)
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Outline
> Review
> Lumped-element modeling: self-heating of resistor
> Analyzing problems in space and 1/space
The DC Steady State the Poisson equation
Finite-difference methods
Eigenfunction methods
Transient Response
Finite-difference methods
Eigenfunction methods
> Thermoelectricity
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
a general conservation
equation
b
= F + G
t
~
dQ
~
+ JQ = P
sources
dt
n
F
S
Image by MIT OpenCourseWare.
relation
J Q = T
Q
=C
T
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
> Applies to
Heat flow
Mass transport (diffusion)
Squeezed-film damping
T
2T = P
sources
t
1
T
D 2T = P
t
C sources
[m2/s]
D= ~
C
[W/m-K]
[J/K-m3]
Thermal diffusivity
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
IQ
RT
IQ
CT
CT = Cm mV
IQ
density
1 L
RT =
A
+
V
IR
R
+
T
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Outline
> Review
> Lumped-element modeling: self-heating of resistor
> Analyzing problems in space and 1/space
The DC Steady State the Poisson equation
Finite-difference methods
Eigenfunction methods
Transient Response
Finite-difference methods
Eigenfunction methods
> Thermoelectricity
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
0.5 m
IR
Radiation
Y-metal
2.5 m
CT
X-metal
Monolithic bipolar
transistor
RT I Q
1
=
T = I Q RT //
CT s 1 + RT CT s
+
IQ
RT
Tss = RT I Q
= RT CT
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
TCR
> Resistance changes with
temperature (TCR)
R (T ) = R0 [1 + R (T T0 ) ]
R R0
R =
= R T
R0
hotplate or a temperature
sensor
V2O5
-200
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
+
T CT
RT
R( T) V
R(T ) = R0 (1 + R T )
+
V
IR
R
+
IQ
+
T CT
RT
R( T) V
2
I
> We can add in the current R
IQ
T CT
RT
R( T) V
dependent on R, which is
dependent on T, and so on
V R( T)
RT
T
=
I Q 1 + RT CT s
T (1 + RT CT s ) = I Q RT
dT
T +
RT CT = I Q RT
dt
I Q = I 2 R = I 2 R0 (1 + R T )
RT
CT
IQ=I2R
Current-source drive
Expand out
into D.E.
T +
d T
RT CT = I 2 R0 (1 + R T ) RT
dt
Plug in for
IQ
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
I
R0 R RT ) I 2 R0
1
(
d T
+ T
=
dt
RT CT
CT
dy
+ ay = b
dt
I =
RT CT
1 I 2 R0 R RT
TSS , I
)
)
Recognize
D.E. form
I 2 R0
R0 RT I 2
CT
=
=
1 I 2 R0 R RT
1 R R0 RT I 2
RT CT
Collect terms
and rearrange
1
R R0 RT
For R>0
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
RT
dT
T +
RT CT = I Q RT
dt
+
-
T (1 + RT CT s ) = I Q RT
Same
T.F.
IQ=I2R
RT
T
=
I Q 1 + RT CT s
IQ is now
different
V2
V2
IQ =
=
R R0 (1 + R T )
IQ
Voltage-source drive
V
(1 R T )
R0
This leads to
negative feedback
for R>0
TSS ,V
RT V 2 / R0
=
1 + R RT V 2 / R0
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
CT
Results of modeling
> A positive TCR resistor driven from a current source can go
unstable fuse effect
> Here we see that, depending on the way the electrical domain
couples to the thermal energy domain, it is also important to
look at the drive conditions of a system.
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
TSS , I
R0 RT I 2
2
=
R
R
I
0 T
1 R R0 RT I 2
TSS ,V
RT V 2 / R0
2
=
R
V
/ R0
T
2
1 + R RT V / R0
Rsignal = R RT I Q
Rmeas
V2
= R RT
0.01 R RT I Q
R0
I Q R0
or a 10 resistance change
V2
= R RT
R0
100
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Outline
> Review
> Lumped-element modeling: self-heating of resistor
> Analyzing problems in space and 1/space
The DC Steady State the Poisson equation
Finite-difference methods
Eigenfunction methods
Transient Response
Finite-difference methods
Eigenfunction methods
> Thermoelectricity
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
DC Steady State
> The Poisson Equation
> Boundary conditions
T
1
2
D T = P
t
C sources
At steady state
boundary
Fixes T (r ) boundary
Fixes
dT
dn
1 ~
D T = ~ P
C sources
2
boundary
Finite-Difference Solution
1 ~
D 2T = ~ P
C sources
d 2T
1 ~
D 2 = ~P
dx
C sources
Ie
0
A
T=0
L
x
d 2T
dx 2
xn
T ( xn + h) + T ( xn h) 2T ( xn )
h2
x1
x2
xn-1
xn
xn+1
h
xN+1
x
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Equivalent Circuit
> Can create an equivalent
circuit for this equation
T ( xn + h) + T ( xn h) 2T ( xn )
P ( xn )
=
2
h
2 P ( xn )
(Tn+1 Tn ) + (Tn1 Tn ) = h
I2
n-1
~
I S ,n = (hA)P ( xn )
I1
Rn - 1
IS,n
Rn
IS,n + 1
n+1
Rn + 1
Define local
current source
(Tn+1 Tn ) + (Tn1 Tn ) = h
IS,n + 2
(Tn+1 Tn ) + (Tn1 Tn ) + I
Image by MIT OpenCourseWare.
Adapted from Figure 12.1 in Senturia, Stephen D. Microsystem Design.
Boston, MA: Kluwer Academic Publishers, 2001, p. 302. ISBN: 9780792372462.
Rn
Rn 1
I S ,n
A
S ,n
=0
Define local
resistance
Rn = Rn 1 =
1 h
A
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Equivalent Circuit
x1
x2
xn-1
xn
Gn=1/Rn
xn+1
h
xN+1
x
Gn=Gn+1
At node N:
(Tn+1 Tn ) + (Tn1 Tn ) = I
Rn
Rn 1
S ,n
Gn (Tn +1 Tn ) + Gn 1 (Tn 1 Tn ) = I S ,n
GTn +1 + 2GTn GTn 1 = I S ,n
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
> Solve
> Very appropriate for
MATLAB
0 ...
1 0
- G 2G - G ...
0 - G 2G
0
2G - G 0
- G 2G - G
0
0
0 - G 2G
0 T1
0
0 T2
1
1
0 T3
1
0 Tn 1
~
0 Tn = hAPo 1
0 Tn +1
1
2G - G 0 TN 1
1
1
- G 2G - G TN
0 0 1 TN +1
0
G = P
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Eigenfunction Solution
> This is a standard method for solving linear partial differential
equations
> Typically problems converge with only a few terms THIS IS WHY IT IS
USEFUL
2T =
P ( x)
d 2 i
Eigenfunctions of :
=
i i
2
dx
Can use any linear combination of e jkx , including s in( kx) and cos( kx)
Values of k are determined by the boundary conditions
2
j i dx = ij
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Eigenfunction Expansion
Ie
n ( x) = cn sin ( kn x )
sin( k n L) = 0 k n =
Apply BC at x=0, L:
T=0
n
for n = 1,2,3,...
L
n =1
Eigenfunctions
for this problem:
Normalize:
T ( x) = An n ( x)
Assume:
2
n
L
x
2
sin(k n x)
L
d 2T
P( x)
=
2
dx
P( x)
2
kn An n ( x) =
1
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Eigenfunction Expansion
2
k
n An n ( x) =
1
Multiply by
orthogonal
eigenfunction and
integrate:
~
P ( x)
2
k
n An n ( x) m ( x)dx =
0
k Am =
2
m
Am =
1
k m2
~
P ( x)
m ( x)dx
2
mx ~
sin
P ( x)dx
L L
2
mx ~
sin
P ( x)dx
L0 L
L
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Eigenfunction Expansion
For uniform
power density:
~
L
P0 2
mx
Am = 2
sin
dx
k m L 0 L
~
P0 2
n
An = 3
1 ( 1)
k n L
T ( x) = An n ( x)
n =1
~
P
2
2
nx
n
T ( x) = 30
1 ( 1)
sin
L
L L
n =1 k n
~
4 L2 P0
1
nx
T ( x) = 3 3 sin
n odd n
L
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
The Details
Final answer: T ( x) =
Power density:
At x=L/2:
Tmax
4 Po L2
n odd
sin ( n x / L )
n3
I e2 R
I e2
=
Po =
volume e A2
2 2
4 Ie L
= 3
2
e A
1 1
1 33 + 53 +
2 2
1 Ie L
=
2
7.75 e A
2 2
1 Ie L
compared to the exact solution of
2
8 e A
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Outline
> Review
> Lumped-element modeling: self-heating of resistor
> Analyzing problems in space and 1/space
The DC Steady State the Poisson equation
Finite-difference methods
Eigenfunction methods
Transient Response
Finite-difference methods
Eigenfunction methods
> Thermoelectricity
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Transient Modeling
IS,n - 2
Rn - 2
IS,n - 1
n-1
Rn - 1
Rn
IS,n
IS,n + 1
n+1
Rn + 1
n+2
IS,n + 2
C = hA mCm
Node volume
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Transient Modeling
Tn
Rn-1
IS,n
Rn
Tn+1
Cn
T ( x, t ) = T ( x)Y (t )
dT
1 ~
2
D T = ~ P
dt
C sources
Use separation of
variables to separate
space and time
~
~
P ( x, t ) = Q0 ( x) (t )
Separate
variables
dT
D 2T = 0
dt
[J/m3]
t>0+
2
dY
(
t
)
d
T ( x)
T ( x)
DY (t )
=0
2
dt
dx
1 d 2T ( x)
1 dY (t )
=
=
D
2
Y (t ) dt
T ( x) dx
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
1 d 2T ( x)
1 dY (t )
= =
D
2
Y (t ) dt
T ( x) dx
Y (t ) = e t
T ( x, t ) = T ( x)e t
d 2T
D 2 = T
dx
2
T ( x) = An
sin (k n x )
L
n
n
2
2
kn D = kn = =
D L
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
T ( x, t ) = An
n
series coefficients
T(x,0) is related to
instantaneous heat input
and heat capacity
T ( x,0) = An
n
~
Q0
An = ~
C
An ,odd
2
sin (k n x )e nt
L
~
Q0
2
sin (k n x ) = ~
L
C
2
sin (k n x )dx
L0
~
~
Q0 2 2 Q0 2 2 L
= ~
= ~
C L k n C L n
~
4 Q0
n t
(
)
sin
k
x
e
T ( x, t ) =
~
n
n
C
n , odd
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
y
L
~
Q
T ( x, t ) = ~0
C
where
n odd n
nx nt
sin
e
L
n 2 2 D
n =
L2
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
W H T
I Q =
0 0 x
T
dzdy
x
x =0
0 0
~
Q
8
I Q (t ) = WH e nt ~0
L n odd
C
WH
8WH
1
I Q ,n ( s) =
nL 1+ s
Y (s) =
1
1+ s
~
Q0
~
C
X (s)
dzdy
x=L
Y(s)
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Tn
Cn
Rn
IQ,n(s)
~
Cn = (mode shape )(volume)C
2
~
~
nx
Cn = C sin
LHWC
dxdydz =
n
L
0 0 0
HW L
n 2 2 D
1
= n =
RnCn
L2
1
Rn =
n
Q0,n ( s ) =
L/2
WH
8WH Q0 8WHL Q0
= 2 2
n L C
n D C
8
Q0,n ( s ) = 2 2 (WHL ) Q0
n
8
Q0,n (t ) = 2 2 (WHL ) Q0 (t )
n
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
C3
IS,2(t)
R3
C2
IS,1(t)
10-1
R2
R1
IQ
C1
Magnitude
IS,3(t)
10-2
10-3
10-4
10-3
1 Term
3 Terms
100 Terms
10-2
10-1
100
101
102
103
R1C1
Image by MIT OpenCourseWare.
Adapted from Figure 12.6 in Senturia, Stephen D. Microsystem Design.
Boston, MA: Kluwer Academic Publishers, 2001, p. 313. ISBN: 9780792372462.
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Outline
> Review
> Lumped-element modeling: self-heating of resistor
> The DC Steady State the Poisson equation
Finite-difference methods
Eigenfunction methods
> Thermoelectricity
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
> Sensors
TCR: temperature resistance change
Thermal bimorph: temperature deflection
Thermoelectrics: temperature induced voltage
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Coupled Flows
> In an ideal world, one driving
force creates one flux
J Q = T
J e = e
Drift-diffusion in
semiconductors or
electrolytes
J e = z n qe Dnn qe n n
n
J i = Lij F j
j =1
matrix is reciprocal
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
J e = L11 L12T
JQ
T
= L21 L22T
Seebeck coefficient
= e J e + S T
J Q = J e T
Peltier coefficient
Thermal conductivity
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Thermocouples
> Analyze the potential gradient around
a closed loop under the assumption
of zero current (Je=0)
Isothermal voltmeter
Hot
S,1
S,2
a
Cold
= S T
Tb
Vab = S (T )dT
Ta
TH
S ,2
S ,1 ) dT
TC
VTC = ( S ,2 S ,1 ) T
For small temp rises
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
MEMS Thermocouples
> Many thermocouples in series
create higher sensitivity (V/K)
Thermometrics commercial
Si thermopile
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Conclusions
Fluids A
Joel Voldman*
Massachusetts Institute of Technology
*(with thanks to SDS)
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Outline
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Microfluidics
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Microfluidics
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Microfluidics examples
> H-filter
Developed by Yager and colleagues
at UWash in mid-90s
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Microfluidics
> Multi-layer elastomeric microfluidics
(Quake, etc.)
Fluidigm
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Goals
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Outline
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Viscosity
> When a solid experiences shear stress, it
U
h
and, in the differential limit
Kinematic Viscosity
* =
U x
y
A related quantity :
deforms continuously
=*
( mU x )
y
[m2/s]
This is a diffusivity
for momentum
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Surface Tension
> A liquid drop minimizes its free energy by minimizing its
surface area. The effective force responsible for this is
called surface tension () [J/m2 = N/m]
2r
(2r ) = P (r 2 )
solving for P
2
P =
r
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Capillary Effects
2r
actually transport
liquids
m gh (r 2 ) = 2r cos
2 cos
h=
m gr
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Capillary Effects
> A hydrophobic
valve
Hydrophobic barrier
Fill
Image removed due to copyright restrictions.
Stop
Burst
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Surface tension
> Solutions
Prime with low-surface tension
liquids
Or use surfactants
Use CO2 instead of air
Dissolves more readily in water
Zengerle et al., IEEE MEMS 1995, p340
Outline
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Continuity equation
> Conservation of mass
> In this case, for a control or
fixed fluid volume
m=
m dV
volume
d
m dV = surface
m U n dS
dt volume
m
dV + m U n dS = 0
t
volume
surface
Apply the divergence theorem:
d
bdV = F ndS + gdV
dt
n
F
b
= F + g
t
U
(
)
m
t
dV = 0
volume
which implies
m
+ ( m U ) = 0
t
m
+ U m + m U = 0
t
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Material Derivative
> The density can change due
to three effects:
time
U m
m ( U )
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Material Derivative
Incompressible
m
+ U m + m U = 0
t
If we define
D m m
=
+ U m
t
Dt
or. more generally, define the operator
D
= + U
Dt t
we can write the continuity equation as
D m
+ m U = 0
Dt
If the density is uniform, then in steady state
U = 0
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Momentum Conservation
> We want to write
Newtons 2nd Law for
fluids
Material volume
dp d (mU)
=
dt
dt
d
F=
m UdVm
velocity of
dt Vm (t )
F=
surface
dt V ( t )
control volume
S (t )
Must account for flux of
momentum through
surface
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Momentum Conservation
> Pull time derivative into integral
> Cancel terms
> Apply divergence theorem
d
F=
m UdV + m U ( U U s ) n dS
dt V (t )
S (t )
=
m UdV + m U [ U s n ] dS + m U ( U U s ) n dS
t
V (t )
S (t )
S (t )
Leibnizs rule
m UdV + m UU ndS
=
t
V (t )
S (t )
a ndS = adV
S (t )
V (t )
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Momentum Conservation
=
m UdV + m UUdV
t
V (t )
V (t )
m
= U
+ m U + m
+ U U dV
t
V (t )
DU
F = m
dV
Dt
V
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Momentum Conservation
Fb = m g dV
V
Fs =
s(n)dS
S (t )
s(n) = n
Fs =
n dS
S (t )
s(n)
Fs =
dV
V (t )
DU
= mg +
m
Dt
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Navier-Stokes Equations
= Pn +
= P +
stress tensor
> Compressible
Newtonian fluid
constitutive relation
> Compressible
Navier-Stokes
equations
P* = P m g r
U x
y
2 U + ( U )
3
DU
2
= P + U + ( U ) + m g
m
Dt
3
DU
= P * + 2 U + ( U )
m
Dt
3
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Navier-Stokes Equations
> Terms in
compressible N-S
equations
time-dependence
pressure
compressibility
2
+ U U = P + U + ( U ) + m g
m
3
t
inertial
viscous stresses
gravity
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Dimensionless Numbers
> Fluid mechanics is full of nondimensional numbers that help
classify the types of flow
~ ~~
~ ~ ~2~
Re U U = ( A)P + U
Non-dimensionalized
steady incompressible flow
m L0U 0 L0U 0
U0
=
=
Re =
* *
L0
effects
Ratio of convective to diffusive
momentum transport
Small Reynolds number means
neglect of inertia
Flow at low Reynolds number is
laminar
Outline
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Navier-Stokes becomes
DU
m
= P * + 2 U
Dt
to obtain a "diffusion-like" equation:
DU
m
= 2 U P *
Dt
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
T
1 %
2
= D T + P
t
C% sources
DU
m
= 2 U P *
Dt
DT
1 %
2
= D T + P
Dt
C% sources
1 %
2
U T = D T + P
C% sources
4
4
LU (10 m )(10 m / s )
Pe =
~ 0.1
=
2
6
D
0.15 10 m
s
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
dU
+ U U = 2 U P *
dt
W
W
2U x
=0
2
y
U x = c1 y + c2
Ux
y
Ux = U
h
Poiseuille Flow
low P
W
Umax
Ux
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
dP
= K
dx
2U x
K
=
2
y
1
Ux =
y ( h y ) K
2
U max
h2
=
K
8
Wh3
K
Q = W U x dy =
12
0
h
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
P = effort = KL
12L
Q
P =
3
Wh
12L
RPois =
Wh 3
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Development Length
> It takes a certain characteristic length, called the
development length, to establish the Poiseuille velocity
profile
L
* Re
U
LD (time)U Re L
2
L
time
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
A note on vorticity
> A common statement is to say
that laminar flow has no vorticity
1
[ y(h y )]K
Ux =
2
= U
U x
U x
= ny
nz
z
y
K
= n z
(h 2 y )
2
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Fluids - B
Joel Voldman
Massachusetts Institute of Technology
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Outline
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Last time
> Surface Tension
Force at a liquid-fluid interface
U x
y
> Viscosity
Constitutive property relation
D
= + U
Dt t
D m
+ m U = 0
Dt
DU
2
m
= P + U + ( U ) + m g
Dt
3
Newtonian fluids
m LU
Re =
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Outline
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Poiseuille Flow
low P
W
Umax
Ux
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Incompressible
Steady
2
m
+ U U = P + U + ( U ) + m g
3
t
Ux only depends on y
Ignore gravity
dP
= K
dx
2U x
K
=
2
no-slip boundary
condition
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
1
Ux =
y ( h y ) K
2
U max
h2
K
=
8
Wh3
Q = W U x dy =
K
12
0
h
Umax
Ux
P = effort = KL
12L
Q
P =
3
Wh
12L
RPois =
Wh 3
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Development Length
> It takes a certain characteristic length, called the
development length, to establish the Poiseuille velocity
profile
L
* Re
U
LD (time)U Re L
2
L
time
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
A note on vorticity
> A common statement is to say
that laminar flow has no vorticity
= U
Vorticity
1
[ y(h y )]K
Ux =
2
U x
U x
nz
= ny
z
y
K
(h 2 y )
= n z
2
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Outline
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Stokes Flow
> Steady-state flow in which
inertial effects can be neglected,
Re0
DU
When m
can be neglected
Dt
2 U = P *
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Vz = U
Image by MIT OpenCourseWare.
Fd = 6RU
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
U ( y)
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Outline
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Squeezed-Film Damping
> This is how we will get our b (or R) for the parallel-plate actuator
> The result of motion against a fluid boundary
If the fluid is incompressible, there can be a large pressure rise, so
One-dimensional pressure
( Ph) h3 1 2 2
=
P
t
12 2
z
y
x
Reynolds equation
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
( Ph) h3 1 2 2
=
P
t
12 2
If we linearize:
h = h0 + h P = Po + P
z
y
x
Normalize: =
y
W
p =
P
Po
h02 Po 2 p h
p
=
2
2
ho
t 12W
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
96 LW 3
F ( s) =
4 3
ho
n =
1 1
sz ( s )
4
s
n odd n
1+
n
2 2
n ho Po
= n
2
12W
n=1
96 LW 3
b=
4 ho3
2 ho2 Po
c =
12W 2
R=b
C=
1
bc
Outline
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Electrokinetic Phenomena
> It is a coupled-domain problem in which electrostatic forces
result in fluid flow (and vice versa)
> Start with electrolytes, move into double layer, and finally show
how to manipulate the double layer
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Electrolytes
> Electrolytes: liquids with
mobile ions
zi
Ni =
ui Ci E Di Ci
zi
Flux (cm-2-s-1)
Valence
Diffusivity (cm2/s)
Concentration (cm-3)
In neutral regions: 2 =
=0
N + = u+ C+ E D+ C+
For a binary electrolyte
(e.g., NaCl in water)
N = uC E DC
e = qe (C+ C ) 0
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Electrolytes
> Surfaces with fixed
charge can lead to net
space charge in the
liquid
Glass
OOOOOO-
Glass
OOOOOO-
+ -+ -+
+ + + + + + -+ -+
+ - + +
+ + +
C+ C-
+ +
+ + - + +
+ +
+ +
+ + + + - + + + +
C+
C-
Ni =
zi
ui Ci E Di Ci = 0 at equilibrium
zi
Ci ( x ) = Ci ,o e
zi qe ( ( x ) o )
k BT
2 =
zqC
i e
i ,o
zi qe ( o )
k BT
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
2 =
zqC
i e
i ,o
zi qe
k BT
2
q
2
e
zi qeCi ,o +
z
C
i
i ,o
i
k BT i
electrolyte
= e D
2
LD
Debye
Length
(e.g., NaCl)
2qe2Ci ,o
qe2
1
2
=
z C = k T
k BT i i i ,o
LD
B
LD=1 nm for
LD ~ 1
Ci ,o 0.1 M NaCl
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Double-layer charge
= w e
z
LD
T he charge density is
=
e = 2
LD
2
in diffuse layer
d = e dz =
0
DL charge/area
[C/cm2]
w
LD
w LD L
e
=
= w
wall charge/area
[C/cm2]
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Gouy-Chapman layer
(~1-20 nm)
Potential
Shear boundary
Zeta potential
0
Image by MIT OpenCourseWare.
Phase
boundary
(Nernst)
potential
GouyChapman (up to several
potential hundred mV)
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Electroosmotic Flow
Electric field
Electrolyte
Insulating solid
Image by MIT OpenCourseWare.
Adapted from Figure 13.11 in Senturia, Stephen D. Microsystem Design. Boston, MA: Kluwer Academic Publishers, 2001, p. 343. ISBN: 9780792372462.
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Creeping flow
One dimensional flow Ux(z)
No pressure drop
Electrical body force
2U x = e Ex
e =
d Ux
w LD
e
=
2
dz
LD
2
LD
w
LD
z
LD
Ex
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
d 2U x w LzD
=
e Ex
2
dz
LD
Ux(z)
dU x
dz
( ) =
w LD L
L
Ux =
e e
z
z
w LD L
( z ) =
e
=0
h/2
Ex
Plug flow
Ux
~3LD
U0
Ux =
Ex
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
It is what is measured
Ux =
Ex
w LD L
U0 =
e Ex =
E
x
D
experimentally
=0
w =
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Electrophoresis
> This is just electroosmosis
around a solid surface
U ep =
E x = epE x
For a
large
particle
More
generally
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Electrokinetic separation
> This was THE original driver for micro-TAS
TAS = total analysis systems
> The KEY enabler was the integration of nonmechanical valves with the separation column
Electrokinetic separation
> We use a channel-crossing
structure to select a sample
plug
Ls
EO
EP,1
EP,2
Ls = t sep EP ,1 EP , 2 E x
Separation time
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Schematic Illustration
> The key discovery was that
liquid samples could be
controlled with voltages
V1 (+)
VJ
Injection
V3 > VJ
V4 > VJ
V2 = 0
V1 < VJ
V3 (+)
V4 = 0
U0
V2 < VJ
V1 < VJ
V3 (+)
ORNL movie
Slower component
U0
V2 < VJ
U0
V4 = 0
Faster component
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Electrokinetic separation
> The macro technology is
conventional capillary
electrophoresis
Ls
Ls W
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Electrokinetic separation
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
An aside on electrodes
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Electrokinetics
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Flowrate scaling
Liquid composition
System partitioning
Materials
Species Transport
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
> Flowrate
Water in rectangular SiO2 channel
h varies, W=1000 m, L=2 cm, =800, =50 mV
Drive with Ex=100 V/cm, P=5 psi
Ex
hW
Q = U 0 hW =
Ex
U0 =
Wh3
P
Q=
12 L
Q
h2
P
U0 = =
A 12 L
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
> Scaling
Pressure-driven flow
2
10
Due to cubic
10
dependence of flow
resistance
-2
channels
Q (l/s)
10
EO
-4
10
-6
10
Poiseuille
-8
10 -6
10
-5
-4
10
10
-3
10
h (m)
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
EOF
Typically use ~10-100 mM salt buffer
EOF pH limits
pH affects wall charge affects EOF
Typically use pH ~7 buffers
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Whats next
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Joel Voldman
Massachusetts Institute of Technology
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Outline
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Chemical potential
T ,V
i ( x) = i0 + k BT ln
ci ( x)
ci0
in semiconductors
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Chemical potential
> We can derive Ficks first law
from the chemical potential
U = n E =
[m/s]
[V/m]
ci = N ACi
# # mol
m 3 = mol m 3
J i = ciU i = N ACiU i
U i = Mf = M
P
x
[s/kg]
n
qe
( qe E ) =
n
qe
( qe )
[N]
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Chemical potential
i ( x) = i0 + k BT ln
ci ( x)
ci0
i
c ( x)
= ci Mk BT ln i 0
x
x
ci
J i = ci Mk BT ( ln ci ( x ) ln ci0 )
x
J i = Mk BTci ( ln ci ( x ) )
x
1 ci
J i = Mk BTci
ci x
J i = ci M
ci
ci
= D
J i = Mk BT
x
x
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Outline
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
d
bdV = F ndS + gdV
dt
b
= F + g
t
n
F
ci
= J i + RVi
t
convection
J i = Di ci + ci U i
diffusion
ci
= ( Di ci + ci U i ) + RVi
t
ci
= Di 2 ci ci U i U i ci + RVi
t
ci
+ U i ci = Di 2 ci + RVi
t
Dci
= Di 2 ci + RVi
Dt
Convection-Diffusion Equation
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Convective term
> We have seen this equation
before
ci
+ U i ci = Di 2 ci + RVi
t
c
convection U i ci U L LU
~
~
~
2
c
diffusion
Di ci D 2
D
L
)(
LU 10 4 m 10 3 m / s
3
Pe =
=
~
10
11 m 2
D
7 10
s
Dheat
~10-4 m2/s for water
Dmomentum~10-6 m2/s for water
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Diffusivities
> How can we get diffusivities for
different objects?
Ui
k BT
D = Mk BT =
f
Ui
1
=
f = 6RU i
f
6R
D = Mk BT =
k BT
6R
R=45 nm
Rh=44.8 nm
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Outline
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
H-filter
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
H-filter
ci
+ U i ci = Di 2 ci + RVi
t
ci
2 ci
= Di 2
t
x
ci
ci
~ Di 2
~ Di
~ Di
L
U
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
H-filter
> Assumptions
Ignore convection
No generation
No concentration gradients
along channel height or
length
ci
+ U i ci = Di 2 ci + RVi
t
ci
= Di 2 ci
t
c
2c
=D
t
x 2
1-D diffusion
L
h
W
y
x
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
H-filter
Initial condition:
c0
x
0
c
x
= 0 for all t
x = 0 ,W
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
H-filter
> First, separate variables
> Time response is exponential
> Spatial eigenfunctions are
Y
= Y Y = e t
t
sinusoids
d 2C
D 2 = C
dx
2
2
C ( x) = a0 + An
sin kn x + Bn
cos kn x
W
W
n =1
c
2c
=D 2
t
x
c( x, t ) = C ( x)Y (t )
kn2 =
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
H-filter
> Sine does not meet BCs
c
x
x =0
dC
=
dx
=0
x =0
2
2
0 = An kn
cos kn 0 Bn kn
sin kn 0
W
W
n =1
An = 0
2
2
sin kn x + Bn
cos kn x
C ( x) = a0 + An
W
W
n =1
c
x
x =W
dC
=
dx
0 = Bn kn
n =1
n
kn =
W
=0
x =W
2
sin knW
W
for n = 1, 2,3,
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
H-filter
> Finally, use eigenfunction expansion to
meet initial concentration profile
c( x, t ) = a0 + Bn
n =1
2
cos kn x e nt
W
t=0
c( x, 0) = a0 + Bn
n =1
2
2
2
2
=
+
c
x
k
xdx
a
k
xdx
B
k
x
(
,
0)
cos
cos
cos
cos km xdx
0
m
m
n
n
0
W
W
W
W
n =1 0
0
extract coefficient
W
Bn = c( x, 0)
0
2
cos ( kn x ) dx
W
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
H-filter
> Get coefficients and
Bn = c( x, 0)
DC term
2
cos ( kn x ) dx
W
d
W
2
Bn =
c0 cos ( kn x ) dx + 0 cos ( kn x ) dx
W 0
d
d
2 c0
Bn =
sin ( kn x ) 0
W kn
Bn =
2 c0
sin ( kn d )
W kn
for n = 1, 2,3,...
cd
1
a0 = c( x, 0)dx = 0
W 0
W
W
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
H-filter
> Can plot time evolution
for d=W/2
2c0 nd nx nt c0 d
sin
cos
e +
W
W W
n =1 n
c ( x, t ) =
n
n = D
W
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
H-filter
cout
1
c( x, t )dx
=
W d d
cout
2
=
W
W /2
2c0
n
sin
2
n =1 n
c ( x, t ) =
c( x, t )dx
n x nt c0
cos
e +
2
n +1
2c0
n x nt c0
2
1
cos
c ( x, t ) =
( )
e +
2
W
n odd n
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
H-filter
> Only focus on 1st mode
Simplifies math
Is dominant mode
c ( x, t )
x
cos e
2c0
Dt
W
c0
2
2c
Dt
x
c
0
0
+
cout =
e
dx
cos
t=0
W W /2
2
W
Dt
c
W
x
2 2c0W
cout = 2 sin e W + 0
W
4
W W
2
cout
2 2c0W W
=
e
2
W
cout
Dt
c0
8 W
= 1 2 e
2
c0W
+
4
Dt
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
H-filter
> Can also look at all modes
at short time
2c0
n
sin
2
n =1 n
c ( x, t ) =
n x nt c0
cos
e +
2
output channel
cout =
c0 4c0
2
2
1 n t
e
2
n odd n
?
cout
Dt
1.1
W2
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
cout
0.3
helps
Minimum W is set by
0.25
Pressure drop increases 0.2
Clogging and bubbles
1st mode
0.15
Dt approx
0.1
0.05
0
0
Complete solution
0.5
1
time
1.5
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Outline
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Taylor dispersion
> Was ignoring convection OK?
Not really
Pe 2
U 2h2
= Di 1 +
K i = Di +
210 Di
210
Parallel-plate flow channel
U 2h2 h
K i = Di +
f
210 Di W
Rectangular flow channel
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Taylor dispersion
> Can determine Ki for
8.5hW
h W
f
2
2
W h h + 2.4hW + W
rectangular channels
10
wall
Chatwin solution
Approximate model
Two-dimensional result
Isotropic etch profile
Double etch profile
9
8
f (h/W)
Approximation
6
5
4
Exact solution
3
2
Parallel plate sol'n
1
0
0.1
0.2
0.3
0.4
0.5
0.6
0.7
0.8
0.9
h/W
Image by MIT OpenCourseWare.
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Outline
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Mixing
> Mixing is driven by diffusion
> Macroscale mixing uses
turbulence (e.g., stirring) to
reduce length for diffusive mixing
THEREFORE,
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Mixing
> How does one define mixing?
> No universal definition
> One definition:
When concentration profile is
2c
nd nx nt c0 d
c( x, t ) = 0 sin
cos
e +
n
W
W
W
n =1
2c0 nd
n
t
=
sin
1 ( 1) e n
W
n =1 n
4c0 nd nt
sin
e
W
n odd n
d
sin e
W
cmax
= 0.01
d
c0
W
W 2 1 400 W
d
2 ln
=
sin
W
d
D
cmax
Tmix
Dt
W
4c0
n
n = D
W
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Mixing
2
Tmix
relative
to W
mixing
time relative
to h2/D
/D
W 2 1 400 W
d
2 ln
=
sin
W
d
D
Tmix
W 2
0.5
D
0.6
0.5
0.4
0.3
0.2
0.1
0
0
0.2
0.4
0.6
0.8
d/W
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Mixing
> At the microscale various
approaches exist for
reducing diffusion lengths
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Mixing
> 3-D split and recombine
lamination
Figure 1 on p. 442 in Branebjerg, J., P. Gravesen, J. P. Krog, and C. R. Nielsen, C.R. "Fast Mixing by Lamination."
In Micro Electro Mechanical Systems, 1996, MEMS '96: An Investigation of Micro Structures, Sensors, Actuators,
Machines and Systems, February 11-15, 1996. New York, NY: Institute of Electrical and Electronics Engineers,
1996, pp. 441-446. ISBN: 9780780329850. 1996 IEEE.
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Mixing
> Laminate in one level of
channels by moving complexity
from fab to packaging
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
driven flow
pw
m
x
d
c u
}
}
u c
w
h
2/q
B
z
0 Cycles:
1/2 Cycle:
x
1 Cycle:
x
100 m
Image by MIT OpenCourseWare. Adapted from Figure 2 on p. 648 in Stroock, A. D., S. K. W. Dertinger,
A. Ajdari, I. Mezic, H. A. Stone, and G. M. Whitesides. "Chaotic Mixer for Microchannels." Science
New Series, 295, no. 5555 (January 25, 2002): 647-651.
'
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
A
y = 3 cm
Cycles 1-5:
0.2 cm
1.9
y = 3 cm
y90 (cm)
100 m
1.7
1.5
1.3
1.1
0.9
0.7
0.5
200 m
10
11
12
13
Cycle 15:
14
In(Pe)
Images by MIT OpenCourseWare. Adapted from Figure 3 on p. 649 in Stroock, A. D., S. K. W. Dertinger, A. Ajdari, I. Mezic, H. A. Stone, and
G. M. Whitesides. "Chaotic Mixer for Microchannels." Science New Series, 295, no. 5555 (January 25, 2002): 647-651.
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
More info
> Microfluidic flow
Viscous fluid flow, F. White
Low Reynolds Number Hydrodynamic, Happel & Brenner
Gravesen et al., Microfluidics, A Review, JMME 3(1993) 168
Includes lumped resistances for turns, constrictions, etc.
Life at Low Reynolds Number, E.M. Purcell
http://brodylab.eng.uci.edu/%7Ejpbrody/reynolds/lowpurcell.html
> Transport
Analysis of Transport Phenomena, W. Deen
Transport Phenomena, Bird, Stewart & Lightfoot
Feedback
Joel Voldman*
Massachusetts Institute of Technology
*(with thanks to SDS)
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007.
MIT OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Outline
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007.
MIT OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
An optical attenuator
that uses
MEMS actuator
Senses optical output
Uses feedback to
control attenuation
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007.
MIT OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
An accelerometer that
uses
MEMS tunneling sensor
Electrostatic actuation
Uses feedback to control
tunneling current (and
thus gap)
Figure 3a) on p. 426 in: Liu, C.-H., and T. W. Kenny. "A High-precision,
Wide-bandwidth, Micromachined Tunneling Accelerometer." Journal of
Microelectromechanical Systems 10, no. 3 (September 2001): 425-433.
2001 IEEE.
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007.
MIT OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Feedback in MEMS
Outline
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007.
MIT OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Membrane
low-stress SiNx, 2x 500 nm
Wet SiO2
1 mm
Si wafer
0.33 mm
330 m
Image by MIT OpenCourseWare.
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007.
MIT OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
+
_
Error
Sum
K(s)
Control
Actual Output
H(s)
Plant
Controller
Sensed output
1
Output
M(s)
Sensor
+
_
Sum
Heater resistor
External amp
Error
K(s)
Voltage
Controller
Measured temperature
Temperature
H(s)
1
Output
Plant
M(s)
Sense resistor
+
_
Error
Set point
Sensed output
temp
(noisy)
K(s)
Controller
Voltage
M(s)
Sense resistor
+
+
Hotplate
Disturbed resistor
control
H(s)
Actual
output
Plant
+
_
1
Output
Temperature
3
Johnson noise
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007.
MIT OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
+
_
Error
K ( s ) [ X in ( s ) X out ( s )]
K(s)
1
H(s)
1
Control
X out ( s ) = H ( s ) Feedback
K ( s ) [XPath
in ( s ) X out ( s ) ]
X out ( s ) =
H ( s) K ( s)
X in ( s )
1 + H (s) K (s)
Blacks formula
1
Output
X out (s )
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007.
MIT OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Open-Loop Operation
> Control hot plate via calibration
Remember
IQ
Tset
Tcal
RT
T
=
=
I Q 1 + RT CT s 1
RT CT
RT CT
+s
+
-
RT
RT 1
CT
K(s)
H(s)
Thotplate
+
Open-Loop Operation
40
A0=1
Tcal=23 C
Troom=25 C, K0=1
38
Troom=23 C, K0=1
36
Temp (C)
34
32
30
28
Tset=37 C
26
24
22
0
0.2
0.4
0.6
0.8
tim e (s)
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007.
MIT OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
+
K(s)
H(s)
Thotplate
+
HK
1
Tset +
Troom
1 + HK
1 + HK
A0 s0
K0
s + s0
1
=
Tset +
Troom
A0 s0
A0 s0
K0
K0
1+
1+
s + s0
s + s0
Thotplate =
Closed-loop TF Thotplate =
A0 K 0 s0
s + s0
Tset +
Troom
s + s0 (1 + A0 K 0 )
s + s0 (1 + A0 K 0 )
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007.
MIT OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Open-loop
40
Set-point
38
Temp (C)
36
42
K0=10
34
32
K0=1
30
28
Heater A0=1.2
Troom=25 C
26
24
0
0.05
0.1
tim e (s)
0.15
Tset=37 C
( s ) s =0 = Tset Thotplate =
0.2
1
1
Tset
Troom
1 + A0 K 0
1 + A0 K 0
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007.
MIT OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Thotplate
Tset
A0 K 0 s0
= H cl ( s )
s + s0 (1 + A0 K 0 )
36
Temp (C)
A0 s0
s + s0
K0=10
34
32
K0=1
30
28
Heater A0=1.2
Troom=25
26
24
0
s0 s0 (1 + A0 K 0 )
Set-point
38
Open-loop
40
0.05
0.1
tim e (s)
0.15
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007.
MIT OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
0.2
Figure 4 on p. 435 in: Bernste in, J., R. Miller, W. Kelley, and P. Ward.
"Low-noise MEMS Vibration Sensor for Geophysical Applications." Journal of
Microelectromechanical Systems 8, no. 4 (December 1999): 433-438.
1999 IEEE.
X out ( s ) 1
1
=
F (s)
k s 2 + 1 s + 1
Q
m0
where: s = s , 0 = k , Q =
m
b
0
H ( s) =
Figure 6 on p. 435 in: Bernste in, J., R. Miller, W. Kelley, and P. Ward.
"Low-noise MEMS Vibration Sensor for Geophysical Applications." Journal of
Microelectromechanical Systems 8, no. 4 (December 1999): 433-438.
1999 IEEE.
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007.
MIT OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
X in ( s )
1 + H (s) K (s)
order system
Q of closed-loop response
1.5
K0=100
1
s + ( K 0 + 1)
Q
Qcl = Q K 0 + 1
Decreasing DC error as K0
s 2 +
0,cl = K 0 + 1
K0
K0=10
0.5
0
0
10
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007.
MIT OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007.
MIT OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
1st-order
controller
K0
K ( s) =
1 + s
= 0
controller
time constant
X out ( s)
K0
= 3
X in ( s ) s + (1 + 2 )s 2 + (2 + )s + K 0 + 1
Routh test for third - order system :
a3 s 3 + a2 s 2 + a1s + a0
All coefficients ( an ) must have same sign
AND a2 a1 > a0 a3
K0 <
11
1
+ +
QQ
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007.
MIT OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
gains
15
^ = 1
Imaginary
Imaginary
10
0
-5
-10
-15
-3
-2
-1
Real
-5
-6
-5 -4 -3 -2 -1
Real
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007.
MIT OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
=1
Phase (deg)
Magnitude (dB)
Bode Diagram
=100
Bode Diagram
50
-50
-50
-100
-100
0
-45
-90
-135
-180
-225
-270
10-2
Q=1/2
0=1
K0=6
10-1
100
Frequency (rad/sec)
101
Controller
-150
0
-45
-90
-135
-180
-225
-270
2
10
10-4
Plant
10-2
100
Frequency (rad/sec)
102
Overall
=1
Q=1/2
0=1
K0=6
Phase (deg)
Magnitude (dB)
Bode Diagram
Bode Diagram
50
0
-50
-50
-100
-150
-100
0
-45
-90
-135
-180
-225
-270
10-2
=0.01
10-1
100
Frequency (rad/sec)
101
Controller
102
-200
0
-45
-90
-135
-180
-225
-270
10-2
Plant
100
102
Frequency (rad/sec)
104
Overall
PI control
Proportional - Integral (PI) Control :
K ( s ) = K 0 1 +
s
> Benefits
As long as 0, will get
perfect DC tracking, but
it may take awhile
1.8
1.6
K0=100, =0
1.4
1.2
K0=1, =2
Completely insensitive
0.8
to changes in plant at
DC
0.6
K0=1, =0.1
K0=1, =0
0.4
> Drawbacks
Additional pole means
possibility of ringing
and instability
0.2
0
0
20
40
60
80
100
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007.
MIT OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
PID control
> Final generic term is to
K ( s ) = K 0 1 + + s
s
add in differential
feedback
Anticipate future
10
8
instability due to
integral and
proportional control
Imaginary Axis
= 0.03
= 2.2
=0
= 2.2
0
-2
-4
-6
-8
-10
-1.5
-1
-0.5
Real Axis
0.5
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007.
MIT OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
> Stabilize
Figure 2 on p. 139 in: Piyabongkarn, D., Y. Sun, R. Rajamani, A. Sezen, and B. J. Nelson. "Travel Range
Extension of a MEMS Electrostatic Microactuator." IEEE Transactions on Control Systems Technology 13, no. 1
(January 2005): 138-145 2005 IEEE.
.
electrostatic
actuator beyond
pull-in
no feedback
with feedback
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007.
MIT OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
> However:
All potentially unstable modes must be both observable and
controllable
Observable means that the sensor provides state information
about the mode
Controllable means that the control inputs can modify the
mode
If a mode has both attributes, it can be stabilized (at least in
theory) with feedback
IMD hole
Metal 2
Assembled Pixel
each site
PECVD SiO2
Metal 1
Thermal SiO2
Silicon
Bead
This is hard
Cell
Image by MIT OpenCourseWare.
100 m
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007.
MIT OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Outline
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007.
MIT OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
X/X0
F
X out = X 0 tan 1
F0
/2
/2
-20 -15 -10 -5
1
Error
Error
C ontrol
F=K0(Xin-Xout)
C ontrol
K0
Xin
In1
0 5 10 15 20
F/F0
f(u)
Plant
1
Out1
Xout
Controller
Feedback Path
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007.
MIT OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
K ( X X out )
X out = X 0 tan 1 0 in
F
0
0.04
0.03
0.02
0.5
X in X out
0.0
Error
X
F0
tan out
K0
X0
0 for K 0 >> F0
X in X out =
Xout
0.01
-0.01
-0.02
-0.5
-0.03
-1.0
-1
-0.5
0.5
-0.04
Xin
Imag e by MIT OpenCourseWare
Adapted from Figure 15.12 in: Senturia, Stephen D. Microsystem Design. Boston,MA:
Kluwer Academic Publishers, 2001, p. 413. ISBN: 9780792372462.
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007.
MIT OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007.
MIT OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
+ vC -
vs
+
-
iL
vo
L
R
+ vC C
iL
+
L
R
dvC 1
= iL
dt
C
dvC 1
= iL
dt C
diL 1
= (vS vC iL R )
dt L
v
diL 1
= ( v0 vC v0 ) = C
dt L
L
vo
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007.
MIT OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Vc
20
A>1
1000
10
-1000
-10
-2000
-2000
20
-20
-20
2000
20
A=1, Vc0=11.5
10
10
-10
-10
-20
-20
-20
-20
20
IL
A<1
20
A=1, Vc0=5
20
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007.
MIT OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
state
eqns.
limiter
v
vs = V1 tan 0
V2
1
dvC 1
= iL
dt C
Ai R
diL 1
= V1 tan 1 L vC iL R
dt L
V2
For
+ Vc+
iL
Amplifier
Limiter
V5
V0
AV1
>1
V2
iL R
Ai R
V1 tan 1 L
V2
Net gain
Net loss
iL R
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007.
MIT OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Marginal Oscillator
15.0
iL
5.0
-10.0
-15.0
90
4
10
Damped
92
94
96
98
100
103
102
15.0
101
10.0
100
10-1
10-2
0
0.5
1.5
2
Frequency (Hz)
2.5
Capacitor voltage
0.0
-5.0
105
10-3
Damped
10.0
5.0
0.0
-5.0
-10.0
-15.0
-15
-10
-5
10
15
Inductor current
Image by MIT OpenCourseWare.
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007.
MIT OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007.
MIT OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Conclusions
Noise
Carol Livermore
Massachusetts Institute of Technology
* With thanks to Steve Senturia, from whose lecture notes some
of these materials are adapted.
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Outline
> Where does noise come from?
> Interference and how to deal with it
> Noise definitions and characterization
> Types of noise
Thermal noise
Shot noise
Flicker noise
> Examples
Electronics (diodes, amplifiers)
Resistance thermometer
> Modulation
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
> Solutions:
Make sure that the temperature change that you impose is
> But how low can you lower the voltage and still get enough
signal?
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Tunneling accelerometer
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Outline
> Where does noise come from?
> Interference and how to deal with it
> Noise definitions and characterization
> Types of noise
Thermal noise
Shot noise
Flicker noise
> Examples
Electronics (diodes, amplifiers)
Resistance thermometer
> Modulation
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Power-line
ground
Stray capacitance
V0
+
_
Signal ground
R0
Amp
Load
Rc
Shields
> A shield is a grounded conductor that surrounds the space
being shielded
60 Hz power line
DC power
supply
Source shield
V0
+
_
Signal ground
Rc
R0
Amp
Load
Rs
Potential difference
Amplifier ground
(power line)
Load ground
Ground Loops
V0
+
_
Rc
R0
Amp
Rs
EMFs can be
created
Ground loop
V0
+
_
Rc
R0
Amp
Rs
Ground strap
(low resistance and low inductance)
Guards
Signal line
+
_
Oxide
p-Type substrate
n Diffusion
Image by MIT OpenCourseWare.
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Outline
> Where does noise come from?
> Interference and how to deal with it
> Noise definitions and characterization
> Types of noise
Thermal noise
Shot noise
Flicker noise
> Examples
Electronics (diodes, amplifiers)
Resistance thermometer
> Modulation
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Noise characterization
vs (t ) = signal
vn (t ) = noise
t / 2
1
vn = lim
vn (t )dt = 0
t t
t / 2
t / 2
1
2
2
[
]
(
)
vn = lim
v
t
dt 0
t t n
t / 2
v = v s + vn
v = vs
v 2 = vs2 + vn2
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Signal-to-Noise Ratio
S/N =
vs2
v
2
n
(linear scale)
decibel scale :
vs2
S/N = 10 log 2
v
n
vs ,rms
S/N = 20 log
v
n
,
rms
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
vo2 ( f o , f ) = Sn ( f o )f
vn2 = Sn ( f ) df
0
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
S o ( f ) = H ( j 2 f ) S n ( f )
Vn
+
_
H (s)
+
V0
_
v02 = H ( j 2f ) Sn ( f ) df
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Outline
> Where does noise come from?
> Interference and how to deal with it
> Noise definitions and characterization
> Types of noise
Thermal noise
Shot noise
Flicker noise
> Examples
Electronics (diodes, amplifiers)
Resistance thermometer
> Modulation
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Thermal Noise
> Imagine a damped harmonic oscillator that is not driven
mx + bx + kx = 0
> Any initial motion will be damped out
> BUT this cannot be an accurate description of reality
> Equipartition: in thermal equilibrium, each energy storage
mode has an average energy kBT/2
R (noiseless)
R (noisy)
+
_
Vn
Sn ( f ) = 4k BTR
Noise Bandwidth
vn2 = S n ( f ) df
0
Sn ( f ) = 4k BTR
vn2 = 4k BTRf
S n ( f ) = 4k BTb
f n2 = 4k BTbf
S n ( f ) = 4k BTR
pn2 = 4k BTRf
Noise on Capacitors
> When a capacitor is connected
vC2 = SC ( f ) df
0
VC (s )
1
H (s ) =
=
Vn (s ) 1 + sRC
R
(noisy)
Vn
+
_
vC2 = H ( j 2f ) S n ( f )df
v =
2
C
Vc
_
1
4k BTRdf
2
1 + (2fRC )
1 k BT
v = 4k BTR
=
4 RC C
2
C
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Noise bandwidth
> Can define a noise bandwidth
H ( s) =
1
VC ( s )
=
Vn ( s ) 1 + sRC
1
f =
df
2
0 1 + (2 fRC )
R(noiseless)
R
(noisy)
Vn
+
_
Vc
_
1
f =
4 RC
k T
vC2 = B
C
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
96LW 3
=
0.2 Ns
4 3
m
h0
b perf bsolid
bsolid + b perf
0.01 Ns
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
22
N2
Hz
Hz
Hz
With a 1 kHz bandwidth, this is 1.4 g' s sensitivity
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
> Amplifiers have other kinds of noise, too, such as 1/f noise: stay
tuned until we have the tools
> Electronics noise turns out not to be dominant for the tunneling
accelerometer
Shot noise
> The granularity of the electronic charge leads to a noise
associated with the flow of electric current
t
Discrete charge,
no noise
t
Constant
current
Charge
vs. time
t
Discrete charge,
with noise
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Shot noise
in2 = Si ( f ) df
0
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
28
A2
Hz
Hz
Hz
> How to fix it? Through luck or design, find and control the
factors that correlate with the noise.
Outline
> Where does noise come from?
> Interference and how to deal with it
> Noise definitions and characterization
> Types of noise
Thermal noise
Shot noise
Flicker noise
> Examples
Electronics (diodes, amplifiers)
Resistance thermometer
> Modulation
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Noise in a Diode
> Charge carriers are trapped in
and released from trap states in
the semiconductor
+_ vn
ID
rs is incremental resistance of
Si ( f ) = 2qe I D
in
a
(
ID )
+K
f
The exponent a is in the range 0.5 - 2
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Amplifier Noise
> MOSFETs have relatively high 1/f-noise corner frequencies
> One way to model the noise in a MOSFET is to refer all noise
sources back to one equivalent input noise source
Vn
_
+
Vin
id
Cgd
Cgb
_
_ source
Vbs
Cgs
+
Vgs
drain
gmbVbs
gmVgs
ro
_
Csb
+ body
Cdb
Kf
2
(1 + Fn ) +
Sn ( f ) = 4k BT
WLC ox f
3g m
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
RT
VnT
_
Vn1
_
Cin
Vn3
_
+
+
_
V0
V0, s =
RF
Vs
RT
Vn2
to a temperature change T
Image by MIT OpenCourseWare.
Adapted from Figure 16.12 in Senturia, Stephen D. Microsystem Design.
Boston, MA: Kluwer Academic Publishers, 2001, p. 443. ISBN: 9780792372462.
V0 =
RF
(VS + vnT + vn1 ) + 1 + RF vn 2 + vn 3
RT
RT
vo , s =
RFVS R
T
RT
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
R
[S n ,T ( f ) + S n ,1 ( f )] + 1 + F
RT
S n , 2 ( f ) + S n ,3 ( f )
Kf
2
= 4kbT
+ WLC f
g
3
m
ox
R
[S n ,T ( f ) + S n ,1 ( f )] + 1 + F
RT
S n , 2 ( f ) + S n ,3 ( f )
2K f
R0
4
+
S n.o ( f ) = 10 4k BT R0 +
+
g
3
100
WL
C
f
m
ox
S n.o ( f ) = 8.9 x 10
-13
7.2 x 10 8
+
f
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Outline
> Where does noise come from?
> Interference and how to deal with it
> Noise definitions and characterization
> Types of noise
Thermal noise
Shot noise
Flicker noise
> Examples
Electronics (diodes, amplifiers)
Resistance thermometer
> Modulation
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
DC Source
> Need to assume some finite bandwidth to filter the signal after
amplification. We assume a single-pole filter, with noise
bandwidth 1/4b (recall the noise bandwidth for the RC filter.)
v
2
n ,o
8.9 10-13
7.2 108
=
+
df
2
4 b
1 /tm f 1 + (2 f b )
tm
1
1 tm
=
+
df
ln
ln
1
2 2 b
f 1 + (2f b )
2 b
1 / m
9.7 104
=
VS
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
AC Source
> If we use an AC source, the signal appears within a bandwidth
Log noise
Log frequency
Image by MIT OpenCourseWare.
vo2,n =
Sn ( fo )
= 7.2 10 10 V 2 which corresponds to 27 V rms
2 b
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
RT = R0 [1 + (t ) + R T ]
R V
1
V0 (t ) = F S
R0 [1 + (t ) + R T ]
RFVS
[1 (t ) R T ]
V0 (t )
R0
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Conclusions
> Many noise calculations are easily integrated into our existing
approach to modeling, so you can (and should) consider noise
constraints from the earliest stages of design
> The dominant noise sources vary widely from system to system,
so dont make any assumptions
> 1/f noise is less easily dealt with in advance, but careful
debugging and circuit design can help
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Packaging
Carol Livermore*
Massachusetts Institute of Technology
*With thanks to Steve Senturia, from whose lecture notes some of
these materials are adapted
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Outline
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Package requirements
> Man the gates: let the right things in and out and prevent
other things from entering or leaving
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
> Subsequent products adapt what they can from the earlier
approach and develop specific solutions where they must
High costs (package cost 10x device cost!), devices that must be
redesigned
Devices cant make the jump to market!
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Outline
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Key Ideas
> Concurrent design
Design the device and the package at the same time
Often companies have different teams for the two parts
> Partition carefully
Which functionalities go in the chips, and which
functionalities go in the package?
How will the way we partition it affect the way that we have to
test it?
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
> Aim for a perfect as-fabricated device and no shifts from the
package?
> Aim for a device that is perfect once it has interacted with the
package?
> Admit that you cant control all the factors and simply trim the
hardware once its packaged?
> Admit that you cant control all the factors and simply calibrate
whatever you get in the software?
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
A process flow
A mask set
The corresponding device geometry and materials
A model supporting predicted performance
Specification of the test and calibration method
Outline
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Die separation
> Multiple dies fabricated on the same wafer must be separated in
order to be packaged and sold
Die attach
> Whether ICs or MEMS devices, dies must be attached to a
package
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Plastic packaging
> The integrated circuit standard
> Very inexpensive, pennies per electrical connection pin
> A thermosetting plastic is melted (ballpark 175 C) and injected
into a mold
Plastic packaging
> Second approach: a more flexible, more expensive, gentler
approach to plastic packaging
> Injection mold the package around a lead frame before the die is
attached
Ceramic packaging
> Collections of particles are sintered at temperatures ranging
from 800 C to 1600 C to form the package (often alumina, Al2O3)
Metal packaging
> A solution for harsh environments or relatively quick
prototyping
> For a pressure sensor: protect the membrane with a cap layer
and route a hole in the package to the connector of your choice
(ie screw thread)
> Your device wont survive die saw unless the fragile parts are
encapsulated
Sealing
> Vacuum operation
Device needs to operate at vacuum, or some fixed pressure,
without ever being pumped out again
Figure 4 on p. 582 in: Chavan, A. V., and K. D. Wise. "Batch-Processed, Vacuum-Sealed Capacitive Pressure Sensors.
Journal of Microelectromechanical Systems 10, no. 4 (December 2001): 580-588. 2001 IEEE.
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Figure 10 on p. 290 in Lin, L., R. T. Howe, and A. P. Pisano. "Microelectromechanical Filters for Signal Processing."
Journal of Microelectromechanical Systems 7, no. 3 (September 1998): 286-294. 1998 IEEE.
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Figure 11 on p. 291 in Lin, L., R. T. Howe, and A. P. Pisano. "Microelectromechanical Filters for Signal Processing."
Journal of Microelectromechanical Systems 7, no. 3 (September 1998): 286-294. 1998 IEEE.
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Hermetic packaging
> Moisture is bad and can lead to corrosion in the most benign of
circumstances
> Electronics and MEMS often last much longer and are more
reliable if moisture is kept out
Permeability chart
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
> Will you be able to calibrate and test your device? When and
how? All significant package-induced variations must occur
before that point, or else be accounted for in advance.
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Outline
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Pressure
Wire bond
Sensor on
backplate
Lead frame
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Define Interfaces
> Electrical interface: how many pins?
The sensor needs only three pins
> Mechanical interface: a stainless steel lid on the package
> When to calibrate? Before or after packaging?
Things to consider
Package-induced stress
Gel-seal induced shift in calibration
> The decision: Calibrate after bonding into the package, but
before the gel-seal.
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Wafer-level packaging
> Cant use fusion bonding
Silicon
Glass frit
Die attach
With the chip sitting on a gel base, how can you wire bond to it?
Heat transfer through the gel is poor
Mechanical support is soft
Motorola developed custom tooling to apply heat directly to the chip
during wire bonding
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Market fragmentation
Different customers want different
next-level assemblies (Ford doesnt
want a Chrysler package)
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
> Electrical connections and hermetic package seal made by flipchip solder bonding and through-cap interconnects
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Details
> Caps made by standard KOH/electroplating bulk
micromachining process
> Pick and place assembly, or wafer to wafer transfer; heat in the
pick and place tool to prevent caps from sliding
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Bottom line
> People are actively trying to create and sell techniques like this
that can package a greater (though still not infinite) set of MEMS
devices with a single process and vendor
> This may make the life of the MEMS designer easier, permit
smaller packages, and (hopefully some day) smooth the trip to
market for some MEMS devices
> But there is still no universal solution: what if you need optical
access, fluidic access?
> And you still have to design the device and the packaging
process together, even as the number and convenience of
available tools increases
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Your task is to design a fabrication process flow and a package process to create an accelerometer that meets
the above specs. You should determine the important dimensions of your device and package. Youre free
to use bulk micromachining or surface micromachining, to start your packaging at the wafer scale or not, etc.
You need to consider everything: when the wafer is diced, when the proof mass is released, attachment into
the package, etc. Be sure to think about unintended interactions, such as thermal mismatch. Make sure that
you meet the specs above; within those constraints, your goal is to come up with the smallest packaged device
that can be mounted on a circuit board.
Cite as: Carol Livermore and Joel Voldman, course materials for 6.777J / 2.372J Design and
Fabrication of Microelectromechanical Devices, Spring 2007. MIT OpenCourseWare
(http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Packaging options
Wafer-level chip-scale packaging (CSP)
Image removed due to copyright restrictions.
e
a
c
a
c,d
e
(mm)
0.18
0.5
0.32
a
b
c,d
e
f
g
(mm)
0.64
1.27
1.27
1.27
1.0
1.8
Hermetic: Yes.
Package thickness: 1.8 mm
Maximum chip thickness: 800 m
Dimensions:
d
a
c
Die
Cite as: Carol Livermore and Joel Voldman, course materials for 6.777J / 2.372J Design and
Fabrication of Microelectromechanical Devices, Spring 2007. MIT OpenCourseWare
(http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
a,b
c
d
e
f
g
a
c
(mm)
0.5
1.0
1.5
0.5
0.8
0.9
Die
Cite as: Carol Livermore and Joel Voldman, course materials for 6.777J / 2.372J Design and
Fabrication of Microelectromechanical Devices, Spring 2007. MIT OpenCourseWare
(http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Carol Livermore
Massachusetts Institute of Technology
Outline
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Motivation
> We now have lots of domain knowledge that we can apply to
decide whether a particular design is good enough for a
particular application
> How do you develop your intuition about which approaches are
likely to meet the needs of a given application?
Experience?
Literature search?
> One approach: borrow the concept of design charts, a tool
commonly used at the macroscale for basic design choices
(which material to choose?)
> D.J. Bell, T.J. Lu, N.A. Fleck, and S.M. Spearing, MEMS Sensor
and Actuator Selection: Database and Case Study, J.
Micromechanics and Microengineering, v 15, p. S153, 2005.
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Be
MFA:88-91
12
10
Engineering
composites
104
Parallel
to grain
Balsa
Wood
Products
Woods
1.0
3x10
ASH
OAK
PINE
FIR
Lower E limit
for true solids
Perpendicular
to grain
Spruce
3x102
0.01
0.1
Mg
Alloys
Polymers foams
0.3
ZrO2
BeO
Porous
ceramics
Engineering
alloys
Engineering
polymers
Polyesters
PP
HDPE
Hard
BUTYL PU
Silicone
Soft
BUTYL
1.0
PTFE
E
=C
Plasticised D
PVC
103
Cork
Sialons
MEL
PC
Epoxies
PS
PMMA
PVC
Nylon
LDPE
Balsa
0.1
KFRP
ASH
OAK
PINE
FIR
Aluminas
Mo W-Alloys
Alloys
Si
Ni Alloys
CFRP Glasses
Steels
UNI-PLY
3e
Cu Alloys
Pottery Ti Alloys
Zn Alloys
KFRP
Al Alloys
GFRP
CFRP
Tin Alloys
Rock, stone
Cement, concrete
Laminates
GFRP
Lead alloys
100
) E ) (m/s)
WC-Co
Engineering
Ceramics
Diamond
B SIC Sl2N4
1. Modulus-Density
Elastomers
1
E 2
=C
1
E 3
=C
10
30
Material Selection in
Mechanical Design,
M.F Ashby, Pergamon
Press, Oxford, 1995.
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
> RF MEMS
Speed, range of motion, force, power dissipation, voltage
required, ability to sense as well as actuate
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
RF MEMS Approach
> Active RF circuit components
Implement through CMOS compatible circuits
> Reconfigurable system that allows multiple functionalities
MEMS ohmic contact switches with low losses and good
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
RF MEMS Components
> Switches and relays open circuits, close circuits, or connect
signals to ground
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Outline
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
> Thermal
Displacement due to thermal
expansion
> Piezoelectric
Displacement due to strain induced by
an electric field
> Magnetic
Displacement due to interaction among
various magnetic elements:
permanent magnets, external magnetic
Figure 8 on p. 43 in De Los Santos, H. J., G. Fischer, H. A. C. Tilmans, and J. T. M.
fields, magnetizable material, andvan
Beek. "RF MEMS for Ubiquitous Wireless Connectivity. Part I. Fabrication." IEEE
Mirowave Magazine 5, no. 4 (December 2004) 36-49. 2004 IEEE.
current-carrying conduct
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Spring
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
2)
with stressstiffening
3)
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Figure 5 on p. 333 in Yeh, R., S. Hollar, and K. S. J. Pister. "Single Mask, Large Force, and
Large Displacement Electrostatic Linear Inchworm Motors." Journal of Microelectromechanical
ystems 11, no. 4 (August 2002): 330-336. 2002 IEEE.
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Figure 1 on p. 122 in Minami, K., S. Kawamura, and M. Esashi. "Fabrication of Distributed Electrostatic Micro
Actuator (DEMA)." Journal of Microelectromechanical Systems 2, no. 3 (September 1993): 121-127. 1993 IEEE.
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
10000
10000
1000
1000
Breakdown voltage
Breakdown field
100
100
10
10
10
100
1000
1
10000
Separation-Pressure (m-atm)
Image by MIT OpenCourseWare.
1 mN
1 m
1 mm
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
1 MHz
1 kHz
1 Hz
1 mN
Courtesy of S. Mark Spearing. Used with permission.
Few masks
Standard, CMOS-compatible processes and materials
Ability to be fabricated on the same chip as circuits
so-so
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Thermal Actuation
> Heat up a moveable structure; when it expands, you get force
and displacement
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Pre-buckled shape
ensures that point of V
moves outward
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Figure 3 on p. 223 in Agrawal, V. "A Latching MEMS Relay for DC and RF Applications." Electrical
contacts-2004: proceedings of the 50th IEEE Holm Conference on Electrical Contacts; the 22nd
International Conference on Electrical Contacts, Seattle, WA. Piscataway, NJ: IEEE, 2004, pp. 222-225.
ISBN: 9780780384606. 2004 IEEE.
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
1N
1 mN
1 m
Courtesy of S. Mark Spearing. Used with permission.
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
1 kHz
1 mN
1N
so-so
Piezoelectric Actuation
Piezoelectric Actuators
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Piezoelectric Actuators
> If antiparallel electric field is too large, PZT will repole in the
other direction
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Piezoelectric Actuators
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
piezoelectric)
so-so
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Magnetic Actuation
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Electromagnetic actuators
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Figure 2 on p. 26 in Tilmans, H.A.C., E. Fullin, H. Ziad, M. D. J. Van de Peer, J. Kesters, E. Van Geffen,
J. Bergqvist, M. Pantus, E. Beyne, K. Baert, and F. Naso. "A Fully-packaged Electromagnetic Microrelay."
MEMS '99: Twelfth IEEE International Conference on Micro Electro Mechanical Systems technical digest:
Orlando, Florida, USA, January 17-21, 1999. Piscataway, NJ: IEEE, 1999, pp. 25-30. ISBN: 9780780351943.
1999 IEEE.
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
> Wafer 1: a magnetic substrate with thick plated coils, poles, and
>
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
temperature
Eliminates some process steps from consideration and
modifies many others
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
so-so
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
106
MACRO
Magnetostrictive
Electroactive polymer
104
Thermal bimorph
Piezoelectric
Pneumatic
102
Hydraulic
SMA
State change
Topology optimized
State change
Fluid expansion
1
Magnetostrictive
10-2
Electromagnetic
Magnetic relay
Solid expansion
Piezoelectric expansion
10-4
SMA
External field
Scratch drive
Comb drive
Inchworm
Curved electrode
Thermal bimorph
Parallel-Plate
Impact actuator
10-6
10-8
Electrostatic relay
Thermal relay
Piezoelectric bimorph
Distributed actuator
Repulsive force
Electromagnetic
10-7
10-6
10-5
10-4
10-3
10-2
10-1
108
Magnetostrictive
MACRO
Parallel-Plate
106
Piezoelectric
Piezoelectric bimorph
Electrostatic relay
Magnetic relay
Curved electrode
Repulsive force
Piezoelectric expansion
Electromagnetic
104
External field
Solid expansion
Electroactive polymer
Comb drive
102
Magnetostrictive
Hydraulic
Thermal bimorph
Thermal relay
Electromagnetic
Thermal bimorph
Fluid expansion
Topology optimized
Pneumatic
State change
State change
SMA
S-Shaped actuator
SMA
Inchworm
Distributed actuator
10-2
Scratch drive
Impact actuator
10-4
10-7
10-6
10-5
10-4
10-3
10-2
10-1
Thermal
Piezo
Magnetic
Low power
Fast switch
High force
Large travel
Simple fab
Low voltage
Robustness
Sense/actuate
Conclusions
> An awareness of the options can give you a head start in
designing a device or process, and improve your device
intuition
Literature awareness
Design charts
> Other factors come into play as well:
Ease of fabrication
Materials compatibilities
Resolution
Calibration
Robustness
Power consumption
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Carol Livermore
Massachusetts Institute of Technology
Thanks to the MIT microengine team, past and present, for many of
these materials. Thanks also to A. Forte, J. Yoon, and T. Lyszczarz of
Lincoln Laboratory.
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Outline
> Brief overview of power MEMS
> MIT microengine
What to make it from, and how?
High speed rotation
Combustion
Motors and generators
Putting it all together
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
A clear win when you can find a wall to plug into, because you have
dense energy storage in combustible fuels and efficient generation
in large scale systems
> Batteries
The usual portable power solution, but they can be cumbersome for
high power/long usage applications
Extractable energy
batteries
fueled generator
System weight
hardware weight
Other metric: power level vs. system weight
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
1 W power output
___________________ Used
Courtesy of Elsevier, Inc., http://www.sciencedirect.com.
with permission. Figure 11 on p. 21 in Jeon, Y. B., R. Sood,
J.-h. Jeong, and S.-G. Kim. "MEMS Power Generator with
Transverse Mode Thin Film PZT." Sensors and Actuators A,
Physical 122, no. 1 (July 2005): 16-22.
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Outline
> Overview of power MEMS
> MIT microengine
What to make it from, and how?
High speed rotation
Combustion
Motors and generators
Putting it all together
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
> But, hydrocarbon fuels have high energy density (of order
13,000 W-hr/kg), so even a lower efficiency may outperform
batteries (up to ~200 W-hr/kg for rechargeables) on overall
energy density
Compressor
P3
Inlet
3.7 mm
Exhaust
21 mm
Combustor
Turbine
Thrust = 11 g
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
> Approach
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Rotating Structure
Fillet radius
roughness control
Rotor FEM
Courtesy of S. Mark Spearing and company.
Used with permission.
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
> DRIE can produce high aspect ratio features (30:1) and etch
through wafers
BUT
> Deep reactive ion etching produces extruded 2D rather than true
3D
Microengines
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Cross-sectioned microengine
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
MIT microturbine
x20
2mm
10kv
12mm
C.C. Lin
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Development approach
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Figure 8 on p. 162 in Wong, Chee Wei, Xin Zhang, S. A. Jacobson, and A. H. Epstein. "A Self-acting Gas Thrust
Bearing for High-speed Microrotors." Journal of Microelectromechanical Systems 13, no. 2 (April 2004): 158-164.
2004 IEEE.
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Wafer #2
Data A
Best Fit SIN
Data B
Best Fit SIN
Data C
Best Fit SIN
Data D
Best Fit SIN
0
200
400
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Combustion in microengine
> Requirements
Make sure that the structure can survive the high temperatures and
(for rotating components) the high stress
> Reactant mixing times can scale with size and geometry
> Necessary reaction times are independent of scale
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
1.5 mm
Operation at 1600K
Courtesy of Amit Mehra and Ian Waitz, et al.
Used with permission.
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Catalytic microcombustor
Courtesy of Hur Koser, and Jeffrey Lang, et al. Used with permission.
> Pros
> Cons
> Status
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
stator
E
-----
+++++
++++ ------
-----
+++++
electrodes
-----
poor conductor
rotor
insulator
leads
Low resistance electrodes and interconnections to minimize power
losses
Low stray capacitance to minimize power losses (insulator beneath
electrodes and leads)
Bearings that can support high speed rotation (tight tolerances, no
leaks!)
Tolerate high temperatures in fabrication and, ultimately, in engine
operation
Final structure must be wafer-bondable
> Contradictions
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
oxide
1 m
3 m
10 m
ROTOR
oxide
Si substrate
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
ROTOR
PLATE
STATOR
PLATE
TiPt
SiO2
PolySi
Si
Rotating Si
Stator
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Stator
1 m aluminum sacrificial layer
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Stator
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Stator
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Stator
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Stator
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Stator
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Stator
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Stator
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Stator
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Stator
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Stator
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Stator
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Electric stator
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
4 mm
Contact
Courtesy
Of Lodewyk
Steyn, et al.
Used with
permission.
Press
Courtesy
Of Lodewyk
Steyn, et al.
Used with
permission.
Thermal
Press
Courtesy of Lodewyk Steyn, et al. Used with permission.
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Careful design, including all strays both inside device and out and
taking into account what is fabricatable
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
> Challenge: attrition! Maybe you can achieve ten miracles, but
what is your yield at achieving them all at the same time?
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
CASE STUDY:
MEMS-Based Projection Displays
Carol Livermore*
Massachusetts Institute of Technology
* With thanks to Steve Senturia, from whose lecture notes some of
these materials are adapted.
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Outline
Packaging
Test procedures
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
D LP
TM
5 KO
1.0 mm
X1, 800
9 mirror pixels
1,310,720 mirror pixels
(1280 x 1024)
Image by MIT OpenCourseWare.
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Image removed due to copyright restrictions. Figure 20.2 in Senturia, Stephen D. Microsystem
Design. Boston, MA: Kluwer Academic Publishers, 2001, p. 533. ISBN: 9780792372462.
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Pixel Operation
Incident light
Screen
GLV Pixel
(ribbon axis
into page)
Diffracted light
Lens
Projecting an Image
GLV array
(array axis
into page)
Ribbon axis
Direction of
ribbon motion
Screen
Diffracted light path
Adapted from Figure 20.6 in Senturia, Stephen D. Microsystem Design. Boston, MA: Kluwer Academic Publishers, 2001, p. 535. ISBN: 9780792372462.
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Device Wafer
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Outline
Packaging
Test procedures
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
The pixels
> One mechanical mirror per optical
Mirror
pixel
Torsion hinge
pixel
Address
electrode
Yoke
Bias/Reset bus
Image by MIT OpenCourseWare.
To SRAM
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Pixel operation
> Pixels rotate 10 degrees in
either direction
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
System operation
> Grayscale obtained by alternating
each mirror between on and off
positions in time
Multiple switch events per
frame update
The product
> MEMS are fun, but products sell
> The core of the product is the digital display engine, or DDE
f/3 Projection lens
45 mm reflector f/1.5
Condenser lens
6 mm spot
size
Fold
mirrors
Condenser lens
Relay optics
Condenser f/1
Lamp 270W MH
DMD
Magnification = 3x
DMD diagonal = 17mm
Rotation axis
RGB color wheel
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Fabrication considerations
> MEMS parts must be fabricated over SRAM memory cells
> MEMS processing must not damage circuits, including
aluminum interconnects
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Fabrication process
Spacer-1
Metal-3
CMP oxide
(via 2 not shown)
Hinge
support post
Yoke
Hinge
Spacervia-1
Substrate with
CMDS address circuitry
After spacer-1 patterning
Oxide hinge mask
Yoke (beam)
metal
Metal-3
After yoke oxide patterning
Spacer-2
CMOS
Substrate
CMP Oxide
Completed device
Image by MIT OpenCourseWare.
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Mirror
support
g
x1
L
x
Electrode
x
Actuation electrode
beneath mirror support
o
g
x1
x
P
Electrode
Image by MIT OpenCourseWare.
Adapted from Figure 20.10 in Senturia, Stephen D. Microsystem Design. Boston, MA: Kluwer Academic Publishers, 2001, p. 538. ISBN: 9780792372462.
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Capacitance Modeling
> Calculate capacitance
vs. tilt angle
C=
C ( 0 )
1 + a10 + a330
C ( 0)
1
W * ( 0 ) = C ( 0 )V 2
2
W * ( 0 )
=
0
pull-in analysis
Normalized capacitance
2.4
2.2
2.0
1.8
1.6
1.4
0 =
1.2
1.0
0WL tan 0
g
0
0.02
0.04
0.06
Angle
Image by MIT OpenCourseWare.
Adapted from Figure 20.11 in Senturia, Stephen D. Microsystem Design. Boston,
MA: Kluwer Academic Publishers, 2001, p. 540. ISBN: 9780792372462.
k
k
a1
3a C V 2 3a
3a3C0V 2
3
3 0
1/ 4
k2
VPI =
2
3a1a3C0
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Outline
Packaging
Test procedures
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
The ratings
> Breakage due to handling/shock
> Stiction (from surface contamination, moisture, or van der Waals
forces)
Thin film material: Structures are one grain thick, so stresses are
immediately relieved on the surface
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Particles
> Particles limit yield AND reliability, since loose particles are a
failure waiting to happen
Be careful!
Particle monitoring
Change die attach adhesive
Adjust die separation process
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Reset pulse jiggles mirror out of position, even if its just going to
switch back to that position after the reset
Packaging process I
> Preliminary die separation steps
Before release, spin coat a protective layer
Die saw partway through the wafer to form cleave lines
Clean, removing debris and protective layer
> Test for functionality at the wafer scale
Plasma ash to remove the sacrificial photoresist spacer
layers
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Packaging process II
> Final preparation for die attach
Plasma clean
Repassivate to prevent stiction in operation
> Attach die to a ceramic package with an unspecified adhesive
> Wirebond to make electrical connections
> Cap package with a welded-on metal lid containing an optical
window to form a hermetic seal
> Moisture control not only limits stiction, but impacts hinge
memory as well
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
The package
> Ceramic package
> Heat sink for temperature
2. DMD
control
7. Getter strips
1. Ceramic header
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Testing
> If one mirror on a chip doesnt work, the projector is broken
> For good reliability, the failure rate of projectors, EVER, should
be well below 1%
> Question: how do you ensure that youre not sending out a
batch of projectors that are just waiting to fail?
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Conclusions
> Intuition can be deceiving. Who would have thought that you
could get reliability at such an immense scale?
> If you want people to get excited about your MEMS technology,
show them the product.
> If the MEMS part alone doesnt meet the spec, ask yourself if the
overall system can be designed to meet the spec.
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Cite as: Carol Livermore, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
CASE STUDY:
A Capacitive Accelerometer
Joel Voldman
Massachusetts Institute of Technology
Thanks to SDS and Tim Dennison (ADI)
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Outline
History
Structure
Design and modeling
Fabrication and packaging
Noise and accuracy
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Measurement choices
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Accelerometer types
> Open vs. closed loop sensing
Open loop: Measure change due to acceleration
Closed loop: A disturbance in a position control system
Resonant sensing
Measure change in resonant frequency
Due to position-dependent nonlinear spring
Accelerometer fundamentals
> Displacement and acceleration
are coupled together by a
fundamental scaling law
F ma
x= =
k
k
a
x= 2
an ,rms
4 k B T 0
=
mQ
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Accelerometer specifications
> Initial application arena was
automotive crash sensor
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Piezoresistive accelerometers
> Use piezoresistors to convert stress
in suspension beam change in
resistance change in voltage
Bulk micromachined
Glass capping wafers to damp and stop
motion
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Can be nonlinear
Parallel plate
> Differential
capacitors
One capacitor
increases while the
other decreases
Fixed
Interdigital
Fixed
Floating electrode
C1
C2
Differential overlap
Circuit model
>
> Output linear with gap
+Vs
0
C1
C2
V0
C1
C1 C2
V0 = VS +
VS
( 2VS ) =
C1 + C2
C1 + C2
for parallel-plate capacitors where
0
-Vs
Image by MIT OpenCourseWare.
Adapted from Figure 19.5 in Senturia, Stephen D. Microsystem Design.
Boston, MA: Kluwer Academic Publishers, 2001, p. 502. ISBN: 9780792372462.
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Third Mask
A. First silicon etching
Second Mask
Silicon
Third Mask
B. Second silicon etching
Third Mask
Silicon
Adapted from Figure 3 on p. 688 in Sasayama, T., S. Suzuki, S. Tsuch itaii, A. Koide,
M. Suzuki, T. Nakazawa, and N. Ichikawa. "Highly Reliable Silicon Micro-machined Physical
Sensors In Mass Production." The 8th International Conference on Solid-State Sensors and
Actuators and Eurosensors IX: digest of technical papers. June 25-29, 1995, Stockholm, Sweden.
Stockholm, Sweden: Foundation for Sensor and Actuator Technology, 1995, pp. 687-690. ISBN: 9789163034732.
Figure originally from Koide, A., K. Sato, S. Suzuki, and M. Miki. Technical Digest of the 11th Sensor Symposium:
June 4-5, 1992, Arcadia Ichigaya, Tokyo. Tokyo, Japan: Institute of Electrical Engineers of Japan, 1992, pp. 23-26.
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Thermal accelerometer
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Transimpedance circuits
> The simplest type of circuit measures the displacement current
in a capacitor using a transimpedance amplifier
iC
dVS
dQ
C dx
iC =
= C ( x, t )
+ VS
dt
dt
x VS dt
V
C dx
iC = VS
= 0
x VS dt
RF
Vs
+
-
C(x)
CP
V0
V0 = RFVS
C dx
x VS dt
constant
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Transimpedance circuits
CF
iC
Vs
+
-
RF
C(x)
CP
frequency of LP filter
iC =
V0
dV
dQ
C dx
= C ( x, t ) c + Vc
dt
dt
x Vc dt
VS = VS 0 cos(t ) = Re VS 0 e
j t
C dx
iC = C ( x, t ) j +
VS
x Vc dt
iC C ( x, t ) jVs
V0 = ic (C F // RF ) = ic
RF
1 + j C F RF
ic RF
ic
C ( x, t ) jVs
=
V0
jC F RF
j C F
j C F
V0
C ( x, t )
VS
CF
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Vx
C2
+
CP
-Vs
V0
C1 C2
V0 = Vx =
VS
C1 + C2 + CP
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
V0
C ( x, t )
VS (t )
CF
Voltage
0
V0
Time
Adapted from Figure 19.11 in Senturia, Stephen D. Microsystem Design. Boston, MA: Kluwer Academic Publishers, 2001, p. 508. ISBN: 9780792372462.
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Synchronous Demodulation
> Use a nonlinear circuit to multiply V0 by an in-phase sinusoid
> This demodulates to baseband
> Relative phase is important
Differential capacitor
Vs0 cos(t)
A
Signal
source
V0
Vd
LPF
Output
Vout
-1
Inverter
C ( x, t )
V0
VS
CF
Low-pass filter
Amplifier
Synchronous
demodulator
C ( x, t )
V0
VS 0 cos(t )
CF
Vd = V0 Vs = V0 Vs 0 cos(t + )
Allow phase shift
Vd =
Vout
C ( x, t ) 2
Vs 0 [cos( ) + cos(2t + )]
2C F
C ( x, t ) 2
=
Vs 0 cos( )
2C F
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Signal-to-noise Issues
V0
C ( x, t )
VS (t )
CF
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
> BUT
Entailed large infrastructure costs that essentially hemmed
future opportunities
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Fixed electrodes
Folded spring
Self-test region
(12 cells)
Anchor
Closer views
Image removed due to copyright restrictions. Figure 19.18 in Senturia, Stephen D. Microsystem
Design. Boston, MA: Kluwer Academic Publishers, 2001, p. 514. ISBN: 9780792372462.
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Even closer
Image removed due to copyright restrictions. Figure 19.19 in Senturia, Stephen D. Microsystem
Design. Boston, MA: Kluwer Academic Publishers, 2001, p. 515. ISBN: 9780792372462.
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Fabrication sequence
BPSG
LPCVD
Nitride
Ox
p
p- substrate
Circuit area
BPSG
n+
n+ runner
Sacrifical oxide
LPCVD
Nitride
Gate oxide
Ground plane
Sensor poly
Ox
p
n+ runner
Circuit area
Sensor area
p- substrate
n+
Sensor area
PECVD Nitride
Metallization
PECVD Oxide
BPSG
Ox
p
n+ runner
p- substrate
Circuit area
n+
Sensor area
Packaging
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Packaging
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
System diagram
V-
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Stiffness of springs
> Parallel-plate approximation to
C sense
y
42
60fF1
g0 y
g0
0 HL0
L1
L2
Folded
Motion
Unfolded
k2
5.6 N/m
3
3
6 ( 2 L1 ) + ( 2 L2 )
4
EWH
0 = 24.7 kHz
L1
L2
L2
L1
Almost rigid
Motion
Image by MIT OpenCourseWare.
Adapted from Figure 19.22 in Senturia, Stephen D. Microsystem Design. Boston,
MA: Kluwer Academic Publishers, 2001, p. 518. ISBN: 9780792372462.
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
accurately
Squeezed film damping between
fingers
Couette drag beneath proof mass
Complex actual geometry
Rough model gives Q =34, a poor
estimate
stationary
finger
proof mass
fluid
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
ADXL50
K ( s ) = K 0 (1 + s )
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
ADXL50
> Use feedback to get both
Critical damping (when ON)
Insensitivity to material
properties
K0
(1 + s )
F (s)
m
=
K k + K0
D( s)
b
s 2 + + 0 s +
m
m
m
K0
b
k + K0
4
+
=
m
m
m
K0
K0
b
2
+
m
m
m
0
K0
K0
+
Q
m
m
o.l .
K0
K0
1
2
m
m Qo.l .
K0
k
2
m
m
m
K0
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Vout
VS
=
+ aVS
2
offset
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Newer designs
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Figure 1 on p. 637 in Brosnihan, T. J., J. M. Bustillo, A. P. Pisano, and R. T. Howe. "Embedded Interconnect and Electrical Isolation for High-aspect-ratio,
SOI Inertial Instruments. In Transducers '97 Chicago: 1997 International Conference on Solid State Sensors and Actuators: digest of technical papers, June
16-19, 1997. Vol. 1. Piscataway, NJ: IEEE, 1997, pp. 637-640. ISBN: 9780780338296. 1997 IEEE.
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
> MEMS
Higher-aspect ratio structures lead to more squeezed-film
damping Q=1
ADXL40
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Summary
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Outline
BioMEMS
> Applications of microsystems
Berkeley
to bioscience
Neural probes
Capillary electrophoresis
Drug delivery
Cellular engineering
Tissue engineering
Univ. Michigan
Courtesy of Kensall D. Wise.
Used with permission.
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Courtesy of Affymetrix,
Inc. Used with permission.
Courtesy of Affymetrix, Inc. Used with permission.
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
> Caliper/Aclara
Lab-on-a-chip
> I-stat
Portable blood analyzer
Uses ion-selective electrodes,
Introduced ~1997
Purchased by Abbott
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Univ. Michigan
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Outline
T 3N
N1 A 4
3
8
9
5 6
N
4 G 1
3 2
3' 2'
4' 1'
-O
O
O
3' 2'
1'
4'
O
P
3' 2'
4' 1'
CH2
4'
2' 3'
-O
O
1'
4'
2' 3'
P
H
N1 G
4
2
5'
-O
C 3N
1'
6
N3 T1
N
A 1
-O
-O
5 6
N
H
5
4
C 6
3
N
1
O
P
4'
1'
3'
2'
5' CH2
-O
7 8
9
5'
3' 2'
4' 1'
helical strands
Read 5 to 3
A sugar-phosphate backbone
Specific bases (A, C, G, T) that
CH3
3'
(B)
O
1'
4'
2' 3'
O
H
O
-O
3'
Lodish, MCB
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
DNA Amplification
> The bases pair specifically
A with T
C with G
Repaired
DNA helix
Incoming
deoxyribonucleoside
triphosphate
Template strand
3'
3'
5'
Gap in helix
replication
Alberts, MBC
1993
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Cycle 2
Cycle 1
stranded copy
Region to be amplified
3'
5'
5'
3'
Primer 1
Target DNA
5'
3'
3'
5'
3'
5'
o
5'
5'
3'
3'
Primers extended by Taq
o
polymerase at 72 C
5'
5'
3'
o
Heat to 95 C to melt strands
o
Cool to 60 C to anneal primers
Lodish, MCB
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Cycle 4
Cycle 3
And so on
Lodish, MCB
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
PCR
> Key technological improvement
was use of polymerase that could
withstand high temperatures
(Taq)
Dont have to add new polymerase
at each step
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
PCR cycles
> Taq extension rate ~60 nt/sec
Temperature (oC)
Step 3:
Primer
extension
90
80
70
60
Step 2:
Anneal primer
50
40
30
8
10
Minutes
12
14
16
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
PCR
> Cycle time is dominated by
ramp times due to thermal
inertia
Property
Temp range
5-105 C
Set-point accuracy
0.25 C
Temperature uniformity
0.4 C
within 30
sec
Heating/cooling rate
~ 3 C/sec
Sample volume
50 l
Number of samples
96
Power required
850 W
Spec
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Outline
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Batch PCR
> First reported by Northrup et al.
in 1993
Essentially a miniaturized
thermal cycler
Cover glass
Polyethylene tubing
10 mm
Silicone rubber
Silicon
0.5 mm
Low-stress
silicon nitride
Aluminum bond pad
Polysilicon heater
Batch PCR
> Daniel et al. improved
thermal isolation
chamber
on
mesh isolati
beams
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Continuous-flow PCR
Sample in
Product out
60oC
Output capillary
Input capillaries
MM
77oC
Annealing
zone
Extension zone
95oC
Denaturing zone
Two-layer glass with etched flow channels
Image by MIT OpenCourseWare.
Adapted from Figure 1 on p. 1046 in Kopp, M. U., A. J. de Mello, and
A. Manz. "Chemical Amplification: Continuous-flow PCR on a Chip."
Science, New Series 280, no. 5366 (May 15, 1998): 1046-1048.
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Batch PCR
> Daniel reactor
SiN mesh structure, undercut with KOH
Made hydrophobic to keep water in chamber during
loading
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
substrate
chamber substrate
beams
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
y
x
section
Eigenfunction expansion
solution to heat-flow eqn.
n x
m y m ,nt
T ( x, y, t ) = An ,m cos
cos
e
L
L
n m
x
y 1t
T1 ( x, y, t ) = A1 cos
cos
e
L
L
Lowest mode
~
L2Cm m
f = = 2 =
1 2 D
2 2
1
L2
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
L/2 L/2 H
x y
cos
cos dxdydz
L L
L / 2 L / 2 0
~ 2L 2L
C f = mCm
H
~
C f = mCm
Cf =
~
4 mCm L2 H
f = Rf C f Rf =
1
8H
1
L 1
1 length 4
1
= 2 4=
Rf =
area
LH
8H
wall
substrate
Rb /2
beam
Rb
Rb /2
Substrate
Wall
Cb
Substrate
Wall
Cb /2
wall
1
Tf =
Tw
1+ f s
f =
fluid
substrate
L2
Rf
Rb
2 2 D
+
P
Tw
-
lag
Substrate
Wall
+
Cb /2
Tf
Cf
-
Fluid in chamber
+
-
Signal builder
As0
s + As0/K
Op-amp gain K
Fluid
u[1]^2/Rh
Saturation
Joule heat P
Wall
Switch
1
Rf*Cf.s + 1
Fluid temp
0
Rb*Rf*Cf*Cb/2.s2
Rb*Rf*Cf.s + Rb
+ (Rb *Cb/2 + (Rf + Rb) *Cf)s + 1
Transfer Fcn
Select feedback
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Simulation response
100
90
80
Temp
60
50
40
30
20
10
20
T (s)
30
40
10
20
30
40
120
Control
Fluid
Wall
100
Temp
70
80
60
40
20
T (s)
Image by MIT OpenCourseWare.
Adapted from Figure 22.17 in Senturia, Stephen D. Microsystem Design. Boston,
MA: Kluwer Academic Publishers, 2001, p. 620. ISBN: 9780792372462.
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Continuous-flow device
Sample in
Product out
60oC
77oC
95oC
Le 3v f f
> Average flow velocity
vf =
Qf
area
= 20 mm/s
Le 60 m
This is much smaller
than zone lengths
L1
L2
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Continuous-flow device
> What about Taylor dispersion?
> Pressure-driven may cause
k BT
D=
6R
LU (40 m)(0.02m / s )
5
~
2
10
Pe =
x
=
4.4 x10 8 cm 2 / s
D
Pe 2 L1
f
K = D1 +
210 L2
L1
f = 4
L2
K ~ 8 x108 D ~ 35 cm 2 / s
L1
~ 0.4
L2
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Thermal lessons
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
5'
3'
5'
Forward primer
Cleavage
Reverse primer
5'
3'
5'
3'
5'
Strand displacement
5'
3'
5'
Polymerization completed
Q
5'
3'
5'
5'
3'
5'
5'
3'
5'
3'
5'
3'
5'
3'
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Continuous flow
Batch
Slower
No temp overshoot
Static protocol
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Materials issues
> Reactor surface must be compatible with PCR reagents
DNA, nucleoside triphosphates, polymerase, buffers
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Outline
1993
> 1995-6
Silicone rubber
Silicon
0.5 mm
Two-heater chambers
Improved surface coating:
10 mm
Low-stress
silicon nitride
Aluminum bond pad
Polysilicon heater
silanize+BSA+polypro
Image by MIT OpenCourseWare.
Adapted from Northrup, M. A., M. T. Ching, R. M. White, and R. T. Watson. "DNA Amplification with a
insert
Microfabricated Reaction Chamber." In Transducers '93: the 7th International Conference on Solid-State
Fan for cooling
Sensors and Actuators: digest of technical papers: June 7-10, 1993, PACIFICO, Yokohama, Japan. Japan:
Hiroyuki Fujita, 1993, p. 924-926. ISBN: 9784990024727.
Chamber volume 20 l
30 sec cycle time
~10 C/s up, 2.5 C/s down
Real time-PCR via
coupled electrophoresis
Cepheid formed
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
> 1998
> Same two-heater chambers
> Portable application
> Up to 30 C/s up, 4 C/s down
Image removed due to copyright restrictions.
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Thin-film
wall
Optical
excitation
window
response
tio
ta
i
c
Ex
D
et
ec
heater
Optical detection
window
tio
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Conclusions
Cite as: Joel Voldman, course materials for 6.777J / 2.372J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Device Type
Accelerometer using capacitive sensing
Accelerometer using tunneling-based sensing
Silicon pressure sensor
Silicon pressure sensor
Microfabricated capillary electrophoresis
device
Microfluidic flow cytometer
Room-temperature microfabricated bolometer
Room-temperature microfabricated bolometer
MEMS thermal actuator
MEMS thermal actuator
MEMS thermal actuator
MEMS nanopositioner
MEMS tunable capacitor
Microfabricated optical switch
Surface micromachined tunable capacitor
Microfabricated electrophoresis chip for
proteins
Microfabricated RF switch
Active gaseous valve
Active liquid valve
Gyroscope (any type)
Micro mechanical resonator
Micro mechanical resonator
Cite as: Carol Livermore and Joel Voldman, course materials for 6.777J Design and Fabrication
of Microelectromechanical Devices, Spring 2007. MIT OpenCourseWare (http://ocw.mit.edu/),
Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Due: Lecture 4
H ( s) =
Y1 ( s )
1
= 2
X ( s) s + 2s + 4
This transfer function models some of the kinds of 2nd-order systems that we will encounter in this course
(e.g., accelerometer). Determine the differential equation relating y1(t) to x(t). Write the system as a pair
of coupled first-order differential equations with state variables y1(t) and y2 (t ) = y1 (t ) . Use the Matlab
command ode45 to integrate these equations forward in time from an initial state y1(0) = y2(0) = 0
subject to a step input x(t)=u(t). Plot y1(t) to show that this corresponds to an underdamped 2nd-order
system.
(b) An alternate approach is to define Matlab LTI models using the control systems toolbox. Define
your transfer function in Matlab using the tf command and plot its step response and Bode plot
using step and bode.
(c) Simulink can also be used to model dynamical systems. Create a Simulink model of this
dynamical system, using either a transfer function or differential equation formulation, and plot
y1(t) in response to a unit step as above.
Cite as: Carol Livermore and Joel Voldman, course materials for 6.777J Design and Fabrication of
Microelectromechanical Devices, Spring 2007. MIT OpenCourseWare (http://ocw.mit.edu/),
Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Being a seasoned MEMS designer, you immediately notice several critical errors with Wayfords
process (things that wont work or wont produce the result that Wayford shows in the cross
sections). Please find the critical errors in this process flow and, where possible, suggest
alternate approaches. Do not worry about the accumulation of errors, but rather treat each step
assuming that the structure up to that step could be created.
Then recreate a correct process flow along with the device cross sections at each step and the
associated mask set (with dimensions).
1
Process steps:
1. Start with a double-side-polished n-type silicon wafer.
2. Perform photolithography using 1-m-thick positive photoresist to define the
diaphragm area.
3. Deep-reactive-ion etch the silicon to form the diaphragm; ash resist.
4. Anodically bond the silicon wafer to a pyrex wafer.
Problem 4.13 (4 pts): Crayon engineering: Create process and mask set for a DEP trap
Figure 2 shows an electrical trap that uses dielectrophoresis (DEP) to trap cells. Using the principles of
crayon engineering, design a process and mask set that will produce this structure (not to scale). Both
metal layers must be 0.5-m-thick gold (though other metals are acceptable beneath the gold). The
substrate B and layer A both must be electrical insulators. The gold linewidth is 10 m, and all other
critical parameters are specified in the figure.
You are asked to create a table of process steps as shown in Table 4.1 in the book, along with process
flow cross-sectional diagrams and masks as shown in Figure 4.1. Specify materials and the proposed
etch methods, and be sure to include as steps in your process the required wafer cleans, application of
photoresist, and stripping of photoresist. You do not need to include dimensions in your mask set in this
problem (but do draw the geometries correspondingly).
10 m
20 m
10 m
A
B
Cite as: Carol Livermore and Joel Voldman, course materials for 6.777J Design and Fabrication of
Microelectromechanical Devices, Spring 2007. MIT OpenCourseWare (http://ocw.mit.edu/),
Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
H (s) =
we have,
Y1 ( s)
1
= 2
X ( s) s + 2s + 4
s 2 Y1 ( s ) + 2sY1 ( s ) + 4Y1 (s ) = X ( s )
Taking the inverse Laplace transform, the time domain expression becomes:
y1 (t ) = y2
y 2 (t ) = 4 y1 (t ) 2 y2 (t ) + x(t )
The system is subjected to a step input x(t) = u(t), which can be expressed as:
x0
0
u (t ) =
x>0
1
We can create an ode function called fnc as follows:
function dy = fnc(t,y)
dy = zeros (2,1)
Matlab command ode45 can be used to integrate these equations for a time interval of 10 seconds:
[T,Y] = ode45(@fnc,[0 10],[0 0]);
Figure 1 shows the system response of y1 (t), which corresponds to an underdamped 2nd-order system (overshoot,
oscillation, etc..). Alternatively, we can integrate using ode23. The difference between ode23 and ode45 is the
algorithm: ode23 uses a lower order algorithm and is more efficient for a coarse estimate. In this simple case, there
is not much difference using either algorithm. But whenever you use numerical methods, it is wise to choose the
integration parameters (tolerance, for example) to ensure an accurate representation of the system and a practically
acceptable computation time.
The full MATLAB script for the problem is posted at the end of the solution. Note that the program fnc.m (which
defines the function fnc) and the program p2_6a.m must be in the same directory when p2_6a.m is called.
Cite as: Carol Livermore and Joel Voldman, course materials for 6.777J Design and Fabrication of
Microelectromechanical Devices, Spring 2007. MIT OpenCourseWare (http://ocw.mit.edu/),
Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
1
, by including the coefficients of the powers of s, as
s 2 + 2s + 4
arrays in descending order (of the powers), for the numerator and denominator respectively.
we create the transfer function corresponding to
Cite as: Carol Livermore and Joel Voldman, course materials for 6.777J Design and Fabrication of
Microelectromechanical Devices, Spring 2007. MIT OpenCourseWare (http://ocw.mit.edu/),
Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
a = d + 2(t w t d ) cot
(1)
d .
20 m
d = 400 m
500 m
54.7
If the sensitivity S of a pressure sensor varies as the inverse fourth power of the diaphragm edge length d, i.e.
S d 4
then the percentage variation attributed to variations in wafer thickness is:
S
%
4d 1 d 100%
S
= 18.7%
Cite as: Carol Livermore and Joel Voldman, course materials for 6.777J Design and Fabrication of
Microelectromechanical Devices, Spring 2007. MIT OpenCourseWare (http://ocw.mit.edu/),
Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
(3)
Problem 4.15 (2 pts): Crayon engineering: Debug and recreate a process and mask set for a
pressure-sensing silicon diaphragm
Error
Corrected process:
1. Start with double-side polished SOI wafer, device layer 15 m thick, oxide layer 1m thick,
substrate 500 m thick. RCA clean.
2. Deposit LPCVD nitride, 0.5 m thick (will coat both sides).
3. Spin 1-m-thick positive photoresist on bottom side and perform photolithography using Mask 1
to the bottom side.
4. Dry etch the nitride on the bottom side using CF4 / H2 plasma for example. Ash resist .
5. KOH etch the silicon from the bottom side using the embedded oxide layer as an etch stop. If the
dimensions of Mask 1 were calculated correctly, the resultant profile on the top side must be 1
mm across.
6. Piranha clean to remove all resist residue.
7. Etch the remaining nitride in 85% phosphoric acid.
8. Etch the exposed oxide using BOE for ~10 minutes. RCA clean.
9. Anodically bond the patterned SOI wafer to a Pyrex wafer.
The device cross sections at different steps and the mask needed are shown in Figure 5 below.
The side of the square on the mask is calculated from equation 1 in Problem 3.8 above.
Cite as: Carol Livermore and Joel Voldman, course materials for 6.777J Design and Fabrication of
Microelectromechanical Devices, Spring 2007. MIT OpenCourseWare (http://ocw.mit.edu/),
Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Figure 5: Corrected process flow and mask for a pressure-sensing silicon diaphragm
Cross sections
Mask 1
1.9 mm
2
3
Materials
Silicon substrate
Embedded oxide layer
Si device layer
Nitride
Photoresist
Pyrex wafer
Cite as: Carol Livermore and Joel Voldman, course materials for 6.777J Design and Fabrication of
Microelectromechanical Devices, Spring 2007. MIT OpenCourseWare (http://ocw.mit.edu/),
Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Problem 4.13 (4 pts): Crayon engineering: Create process and mask set for a DEP trap
Step
Description
1
2
Clean
Photolithography
Piranha
Using image reversal resist and Mask 1. Thickness of resist at least 1.5 m
(3 times that of the layer to be lifted off). Image reversal (negative) resist
necessary for lift off process later on
Clean
Photolithography
Using image reversal resist and Mask 2. Thickness of resist at least 1.5 m.
10
Photolithography
11
Etch oxide
Dry etch using CF4/H2 plasma. Anisotropic and selective over Si.
12
13
Strip resist
Clean
Cite as: Carol Livermore and Joel Voldman, course materials for 6.777J Design and Fabrication of
Microelectromechanical Devices, Spring 2007. MIT OpenCourseWare (http://ocw.mit.edu/),
Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Process Steps
Masks
3
Mask 1
4
Mask 2
7
Mask 3
9
Materials
Pyrex wafer
10
Silicon oxide
Positive photoresist
transfer function
step response
Plot');
the bode plot
%----------------------------END OF PROGRAM----------------------------------
Cite as: Carol Livermore and Joel Voldman, course materials for 6.777J Design and Fabrication of
Microelectromechanical Devices, Spring 2007. MIT OpenCourseWare (http://ocw.mit.edu/),
Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Due: Lecture 6
Problem 4.14 (4 pts): Crayon engineering: Debug and recreate a process and mask set for a
polysilicon surface micromachined cantilever
You are a young junior faculty member who has just hired your first graduate student, Terry Ibelfabber.
You have developed an idea for using a polySi surface-micromachined cantilever that youre sure will
make you famous and assure your tenure. You ask Terry to design a process flow for creating this simple
structure, and Terry returns with the process flow detailed in Figure 1.
Being a seasoned MEMS designer, you immediately notice several critical errors with Terrys process
(things that wont work or wont produce the result that Terry shows in his cross sections). Please find
the critical errors in this process flow and, where possible, suggest alternate approaches. Do not worry
about the accumulation of errors, but rather treat each step assuming that the structure up to that step
could be created.
This structure is actually quite simple to make. Develop a simpler process flow and associated masks to
create the final structure. Be sure to show cross-sectional and planar views of all key steps in the process.
Process steps:
1. Start with a silicon wafer.
2. Deposit 1 m of polysilicon.
3. Perform photolithography using positive photoresist (not shown) and wet-etch the
polySi using KOH.
4. Thermally grow 1 m of thermal oxide.
5. Perform photolithography using positive photoresist (not shown) and wet etch the oxide
in 49% HF.
6. Deposit 1 m of polysilicon.
7. Perform photolithography using positive photoresist (not shown) amd dry etch the
polysilicon using SF6 plasma.
8. Release the cantilever by etching the oxide with 49% HF.
Cite as: Carol Livermore and Joel Voldman, course materials for 6.777J Design and Fabrication of
Microelectromechanical Devices, Spring 2007. MIT OpenCourseWare (http://ocw.mit.edu/),
Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
1/5
2/5
Metal layer: 0.5 microns thick and covers the whole top surface of the cantilever, to within process
biases.
Underlying hole: at least 10 microns deep.
Integrated heater: 0.5 microns thick, made of doped polysilicon. Make sure that the heater has an
accessible contact pad.
Si cantilever
metal layer
polySi heater
(b)
(c)
Cite as: Carol Livermore and Joel Voldman, course materials for 6.777J Design and Fabrication of
Microelectromechanical Devices, Spring 2007. MIT OpenCourseWare (http://ocw.mit.edu/),
Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
3/5
Cite as: Carol Livermore and Joel Voldman, course materials for 6.777J Design and Fabrication of
Microelectromechanical Devices, Spring 2007. MIT OpenCourseWare (http://ocw.mit.edu/),
Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
4/5
It is possible to spend a lot of time on this, and still not find the best. Shrewd searching, using forward
searches or review articles, can save lots of time. However, please use judgment in allocating a reasonable
amount of effort for this problem.
Cite as: Carol Livermore and Joel Voldman, course materials for 6.777J Design and Fabrication of
Microelectromechanical Devices, Spring 2007. MIT OpenCourseWare (http://ocw.mit.edu/),
Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
5/5
Due: Lecture 6
Problem 4.14 (4 pts): Crayon engineering: Debug and recreate a process and mask set for a
polysilicon surface micromachined cantilever
Proposed process step
1. Start with a silicon wafer
Error
2. Deposit 1 m of polysilicon
6. Deposit 1 m of polysilicon.
Simpler Process:
1.
2.
3.
4.
5.
Cite as: Carol Livermore and Joel Voldman, course materials for 6.777J Design and Fabrication of
Microelectromechanical Devices, Spring 2007. MIT OpenCourseWare (http://ocw.mit.edu/),
Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
1/7
6.
Release the cantilever by etching the oxide with BOE followed by super-critical freeze drying.
Figure 1: Simplified process flow & mask set for a surface micromachined cantilever.
Note: Darker shades of the layer colors shown in the planar views indicate geometric curvature.
Cite as: Carol Livermore and Joel Voldman, course materials for 6.777J Design and Fabrication of
Microelectromechanical Devices, Spring 2007. MIT OpenCourseWare (http://ocw.mit.edu/),
Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
2/7
Problem 4.13 (7 pts): Crayon engineering: Create a process and mask set for a thermal bimorph
cantilever
a)
Some challenges:
1. Order of depositions: the polysilicon must go down before the metal because of thermal compatibility (poly
deposition is carried at a high temperature)
2. Because of topography concerns, you have to pattern the films on the cantilever before you etch the hole
beneath it. This requirement makes the organization of the process flow a bit more challenging and involved.
Additionally, the cantilever, once released, won't be robust enough to tolerate lithography.
4. A wet etch like KOH would undercut the cantilever effectively, but you cant mask it with resist. You must
know what materials will effectively mask the wet etch. A lack of consideration here can cause inadvertent
damage to structural/functional parts of the device.
5. How do you define a cantilever that is precisely 1.5 microns thick? You will need to incorporate a
thickness-determining layer into the structure of the wafer.
b) Three approaches:
1. Use an SOI wafer to set the thickness of the cantilever. Deposit LPCVD nitride to provide electrical isolation
between the cantilever and the polySi heater. Deposit polySi for the heater, dope it, then perform
photolithography and dry etch the poly, stopping on nitride, defining heater pattern. Strip the resist, clean the
wafer, and deposit LPCVD nitride everywhere to isolate the heater from metal layer. Deposit aluminum,
perform photolithography, and etch it into the correct shape. Use thin resist photolithography to mask around
the etch hole. Dry etch the nitride to expose the silicon and open contact pads, then define the contour of the
cantilever by dry etching to the buried oxide. (*) Use BOE to remove the exposed oxide. Flip the wafer over,
and dry etch several times to strip blanket films from the back (nitride, then poly, then nitride). Pattern the back
with thick photoresist, then DRIE through to define cantilever.
2. This option is very similar to the preceding sequence, but it omits the final DRIE step. Follow the process to
point (*). Repattern so that the resist sticks out a little beyond the cantilever edges, and dry etch the exposed
buried oxide. Strip resist and recoat, patterning so that the resist extends beyond the cantilevers Si, covering it
entirely (very important.) Use a XeF2 isotropic dry etch to undercut the cantilever; the cantilever itself is
protected by resist and oxide, while the Si underneath gets removed.
3. This listing is the approach we will use in part c. Briefly: Grow doped, KOH resistant Si by epitaxy, deposit
nitride, followed by polysilicon. Dope the poly and then pattern it. Deposit another layer of nitride and then
pattern it to open contact pads. Deposit a Ti-Au bilayer and use liftoff to define the metal layer. Dry etch the
nitride and the epitaxial silicon to define the cantilever. KOH etch the substrate to release it. See part c.
c) Using the third approach from above 1 , we detail the process flow here. Key device cross sections, planar views,
and the mask set are shown in Figures 2-4. Note that the cross section taken (A-A) is not straight but rather bent
around a corner to show the different critical parts of the device during the fabrication process.
adapted from: Riethmuller and Benecke, "Thermally Excited Silicon Microactuators," IEEE Transactions on
Electron Devices, Vol 35, No. 6. June 1988.
Cite as: Carol Livermore and Joel Voldman, course materials for 6.777J Design and Fabrication of
Microelectromechanical Devices, Spring 2007. MIT OpenCourseWare (http://ocw.mit.edu/),
Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
3/7
Step
Starting Material: Silicon wafer
1
Clean
2
Deposit p+ Si
Description
4 or 6; (100) orientation; 500 m or 650 m thick
Standard RCA.
Using epitaxy, thickness = 1.5 m. Heavily doped Si (example: Boron
concentration ~ 1.3 x 1020 cm-3 ) is KOH resistant.
Deposit nitride
LPCVD, thickness = 0.1m. Used to electrically insulate the heater from the
p+ Si. Will cover both sides of wafer.
Deposit polysilicon
LPCVD, thickness = 0.5 m. Will act as the heater. Also covers both sides.
Deposit oxide
7
8
Etch oxide
Photolithography
10
Strip PR
Using HBr for example (used by AME5000 in MTL-ICL). HBr etches poly
anisotropically. The heater is now patterned.
By ashing for example.
11
12
Clean
Deposit nitride
13
Photolithography
14
15
Strip resist
16
Photolithography
17
18
19
Clean
Using Nanostrip
20
Photolithography
21
22
23
24
Strip resist
Etch silicon (from top)
Standard RCA.
LPCVD, thickness = 0.1 m. Used to electrically insulate the heater from the
metal layer to be deposited next. Will cover both sides of wafer.
Spin cast 1 m thick positive photoresist, prebake; expose MASK 2,
develop, postbake. Mask 2 is used to open contact pads to the poly heater.
Using CHF3/O2 plasma for example . This patterns the contact pads into the
heater.
By ashing, for example
Using image reversal resist and MASK 3. Thickness of resist about 2 m (3
times that of the layer to be lifted off, plus an extra 0.5 m to counter the
step coverage problem due to the presence of the 0.6 m poly-nitride step ).
Image reversal (negative) resist necessary for lift off process later on.
E-beam evaporation (good for lift-off). Thickness of titanium ~ 100 ,
thickness of gold ~ 0.5 m. Ti used as adhesion layer.
Cite as: Carol Livermore and Joel Voldman, course materials for 6.777J Design and Fabrication of
Microelectromechanical Devices, Spring 2007. MIT OpenCourseWare (http://ocw.mit.edu/),
Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
4/7
Cite as: Carol Livermore and Joel Voldman, course materials for 6.777J Design and Fabrication of
Microelectromechanical Devices, Spring 2007. MIT OpenCourseWare (http://ocw.mit.edu/),
Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
5/7
Cite as: Carol Livermore and Joel Voldman, course materials for 6.777J Design and Fabrication of
Microelectromechanical Devices, Spring 2007. MIT OpenCourseWare (http://ocw.mit.edu/),
Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
6/7
Figure 4: The mask set used for this process along with some key dimensional constraints
Note: Generally, bond pads are at least 100m 100m in size. Anything smaller is difficult to bond
using a wirebonder. There also has to be adequate spacing between the bondpads. Generally, anything
less than 100 m is considered risky. The bondpads are not drawn to scale in this process flow. If
they were drawn accurately, it would be difficult to see the features on the cantilever.
Cite as: Carol Livermore and Joel Voldman, course materials for 6.777J Design and Fabrication of
Microelectromechanical Devices, Spring 2007. MIT OpenCourseWare (http://ocw.mit.edu/),
Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
7/7
Due: Lecture 9
a = 50 m
L = 250 m
Computer
Rs
+
R1
Sensor
R2
Vs
+
-
(a)
(b)
(c)
R2
VL
R1
Rs
Computer
+
RL
Vs
+
-
VL
RL
One way to amplify the signal VS is to use a non-inverting amplifier (Figure, left). If we
use this circuit, what is VL as a function of Vs and the four resistors, assuming that the opamp is ideal?
A second way to amplify the signal is to use the inverting amplifier (Figure, right).
Repeat part (a) for this circuit.
As RS changes, the gain of each circuit may change, leading to unpredictable behavior,
which wed like to avoid. What is the gain of each circuit when RS = 0? This is the ideal
gain. Determine analytically the (actual gain)/(ideal gain) for each circuit. Give the
constraints on each circuit such that the actual gain approaches the ideal gain. Which
circuit is less sensitive to changes in RS?
b
L
underlying electrodes
Cite as: Carol Livermore and Joel Voldman, course materials for 6.777J Design and Fabrication of
Microelectromechanical Devices, Spring 2007. MIT OpenCourseWare (http://ocw.mit.edu/),
Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Consider a fixed-fixed silicon beam of width w, length L, and height h that is actuated by leveraged
bending. If the electrodes are narrow enough, we may approximate the load as two point forces applied at
distances a and b from the ends of the beam, as shown in the right hand figure above. (We would
typically design the system so that a = b, but fabrication nonuniformities may lead to some asymmetry.)
Assuming small deformations, calculate the deflection of the beam at the center. You are welcome to use
any analytic method you like (explicit integration of the beam equation, use of tabulated beam bending
solutions, etc.)
Problem 5.9 (2 pts): Circuit representation of a lumped mechanical system
A mechanically coupled micromechanical resonator can be lumped modeled as shown in the figure.
Derive an equivalent circuit in the eV convention and find the transfer function x1(s)/F(s).
k2
k1
k3
m1
m2
b1
x2
x1
Cite as: Carol Livermore and Joel Voldman, course materials for 6.777J Design and Fabrication of
Microelectromechanical Devices, Spring 2007. MIT OpenCourseWare (http://ocw.mit.edu/),
Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Due: Lecture 9
d w
dx
EI
z
M = -F (L-x)
and I is the moment of inertia of the cross section at the distance x. For a rectangular cross section:
I = bh3/12
For our case, h = 0.5 m and b is a function of x due to the triangular shape of the cantilever.
Lx
a
L
a = 50m
a ( L x ) h3
I=
12 L
Therefore:
and
d w
dx
Integrating twice:
12 F ( L x ) L
Ea ( L x ) h
w=
L= 250m
12 FL
Eah3
6FL 2
x + Ax + B
Eah3
Therefore:
w( x ) =
6 FL 2
x
Eah3
Cite as: Carol Livermore and Joel Voldman, course materials for 6.777J Design and Fabrication of
Microelectromechanical Devices, Spring 2007. MIT OpenCourseWare (http://ocw.mit.edu/),
Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
6 FL3
= 55.55 F [w in m, F in N ]
Eah3
F
Eah3
=
=
= 0.018 N / m
6 L3
w( L )
w( L ) =
keq
-8
i+ = 0 V+ = Vs
i- = 0 V- = V1, i1 = i2
R2
and V = V Vs = V1
+
i2
Vs
+
-
V2
Also V1 =i1 R1 , VL = i1 ( R1 + R2 )
i1
R1
VL
RL
V1
Therefore VL / Vs = 1+ R2 / R1
R1
is = iL
is
and V+ = V- = 0
Rs
R2
iL
Also V- - VL = iL R1 , Vs - V-= is ( Rs + R2 )
Vs
Therefore VL / Vs = - R1 / (Rs + R2 )
+
-
VL
-
c) The gain G is defined as the ratio of the output voltage to the input voltage, or VL / Vs.
For the non-inverting amplifier: Gideal = 1+ R2 / R1 = Gactual for all values of Rs
Gactual / Gideal = 1
For the inverting amplifier:
Gideal = - R1 / R2
Gactual / Gideal = R2 / (Rs + R2 )
Thus for the non-inverting amplifier, the ideal and actual gains are always equal, while for the inverting
amplifier, the actual gain approaches the ideal gain only when the sensor impedance approaches zero
(assuming both amplifiers are ideal). Clearly, the non-inverting amplifier is less sensitive to changes in Rs than
the inverting one.
Cite as: Carol Livermore and Joel Voldman, course materials for 6.777J Design and Fabrication of
Microelectromechanical Devices, Spring 2007. MIT OpenCourseWare (http://ocw.mit.edu/),
Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
RL
F
a) The governing equation for the deflection of a doubly clamped beam
under a point load as shown in the figure is,
d 4w
EI 4 = F < x a > 1 ,
a
dx
1
where < x a > denotes a concentrated load at a. Integrating, we
have,
d 3w
EI 3 = F < x a > 0 +C1
dx
d 2w
EI 2 = F < x a >1 +C1 x + C2
dx
dw
F
1
EI
= < x a > 2 + C1 x 2 + C2 x + C3
dx
2
2
F
1
1
EIw = < x a > 3 + C1 x 3 + C2 x 2 + C3 x + C4
6
6
2
Apply the boundary conditions
w(0) = w( L ) = w '(0) = w '( L ) = 0 ,
we get,
F ( L a ) 2 ( L + 2a )
C1 =
L3
F ( L a )2 a
C2 =
L2
C3 = C4 = 0
Hence, the center deflection is,
2
L Fa (4a 3L)
w =
48EI
2
If we next examine the effect of the point load acting at the right hand side of the beam (acting alone as if
the load at a had been removed), we get a similar setup.
d 4w
= F < x ( L b ) > 1
dx 4
d 3w
EI 3 = F < x ( L b ) > 0 +C1
dx
d 2w
EI 2 = F < x ( L b ) >1 +C1 x + C2
dx
dw
F
1
EI
= < x ( L b ) > 2 + C1 x 2 + C2 x + C3
dx
2
2
1
1
F
EIw = < x ( L b ) >3 + C1 x3 + C2 x 2 + C3 x + C4
6
6
2
EI
we get,
Cite as: Carol Livermore and Joel Voldman, course materials for 6.777J Design and Fabrication of
Microelectromechanical Devices, Spring 2007. MIT OpenCourseWare (http://ocw.mit.edu/),
Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
C1 =
Fb 2 ( 3L 2b )
L3
Fb 2 ( b L )
C2 =
L2
C3 = C4 = 0
Applying superposition to the beam, we can obtain the center deflection due to both loads to be,
k2
k1
k3
m1
m2
b1
x2
x1
In the e V convention, the flows are the time derivatives of the displacements xi of the different bodies, and
effort is the external force. Since k1 and b1 share the same displacement, and hence, the same flow, they are in
series. The same holds for k2 and m2 and also for k3 and m1. By observation, the net flow through b1 is ( x 2 x1 ) .
Hence, the equivalent circuit can be represented as shown in Figure.
m2
1/k2
m1
1/k1
x 2
m2s
1/k3
x1
k3/s
k1/s
x2s
s domain
b1
m1s
k2/s
x1s
b1
m 2 s x 2 + k 2 x 2 + ( k1 / s + b1 )( x 2 s x1 s ) = F
(b1 s + k1 ) x1 + ( m 2 s 2 + b1 s + k1 + k 2 ) x 2 = F
Cite as: Carol Livermore and Joel Voldman, course materials for 6.777J Design and Fabrication of
Microelectromechanical Devices, Spring 2007. MIT OpenCourseWare (http://ocw.mit.edu/),
Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
(1)
m1s x1 + k 3 x1 + ( k1 / s + b1 )( x1s x2 s ) = 0
m1s 2 + b1s + k1 + k 3
x1
b1s + k1
F (s)
b1s + k1
(b1s + k1 ) + ( m1s + b1s + k1 + k 3 )( m2 s 2 + b1s + k1 + k 2 )
2
Cite as: Carol Livermore and Joel Voldman, course materials for 6.777J Design and Fabrication of
Microelectromechanical Devices, Spring 2007. MIT OpenCourseWare (http://ocw.mit.edu/),
Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Due: Lecture 12
Problem 6.5 (5 pts): The in-plane interdigitated electrostatic (or comb drive) transducer
Many MEMS components use interdigitated electrodes as transducers. In this and subsequent problems
we will explore this structure. Below on the left is a moveable silicon mass with many interdigitated
(comb) fingers that is connected to the fixed substrate by a flexible spring. Using DRIE, it is possible to
make such a structure with very thick fingers (>50 m out of the plane of the paper). On the right is a
schematic of one finger of this transducer, with the rest of the system specified by lumped parameters b
and k.
i
fixed
+
v
-
spring
interdigitated electrodes
k
h
g
b
cross-section
a
mass
t
t
l
(a)
(b)
(c)
(d)
(e)
Assume a current drive. Determine analytically the force, the position variable g (the
gap), and the voltage on the plates as a function of the charge Q.
State any assumptions that you are making in calculating the force in (a).
Assume a voltage drive. Determine analytically the force, the gap, and the charge on the
plates as a function of the voltage V.
Show that the effective spring constant under voltage drive does not change with position
(i.e., Fnet g is constant with V and g). What does this imply about spring
softening/hardening and instabilities for this system?
Using a similar approach for current drive, show that spring softening occurs. Will this
transducer experience pull-in? Why?
Cite as: Carol Livermore and Joel Voldman, course 1materials for 6.777J Design and Fabrication of
Microelectromechanical Devices, Spring 2007. MIT OpenCourseWare (http://ocw.mit.edu/),
Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
lo
t
(a)
(b)
Determine analytically the set of equations governing the design constraints. You will need
to make engineering approximations to solve this problem expeditiously. For instance, you
can ignore the effects of the non-ideal support and the extra stiffness induced by the
cantilever deforming at the contact. You can also model the electrical force as being applied
as a point to the tip of the cantilever (if lo<<l)..
Using hand calculations, Matlab, or any other suitable approach, determine l, t, w, and g that
will meet these specifications and result in the lowest cost. State your Vc, cost, and
resistance. If you believe that you cannot meet the cost objective, then give the dimensions
that result in the lowest cost.
Cite as: Carol Livermore and Joel Voldman, course 2materials for 6.777J Design and Fabrication of
Microelectromechanical Devices, Spring 2007. MIT OpenCourseWare (http://ocw.mit.edu/),
Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
(c)
Using the principles of crayon engineering, design a process flow and mask set to create your
device.
g0
lelec
(a)
(b)
Determine analytically the set of equations governing the static behavior of the beam.
Specifically, provide an expression for the mechanical spring constant k and the lumped
effective mass, which we will approximate as meff = 0.4mactual. Also find the pull-in voltage
VPI for this structure. You may assume the lelec << l and thus that the electrical force acts a
point force in the mechanical domain.
Using the linearized model from the figure below, determine C0, k, and , in terms of each
other, physical dimensions, material constants, the bias voltage V0, and the static actuated gap
g 0 .
1/k
1:
+
V C0
(c)
Next, remove the transformer to obtain the following equivalent circuit, and determine
expressions for C1 and L.
C1
+
V C0
(d)
Determine the input impedance Zin of this circuit as a function of Co , C1, and L. This is a 3rd
order system that has one pole at =0. Find the frequencies () of the other poles and zeros.
Cite as: Carol Livermore and Joel Voldman, course 3materials for 6.777J Design and Fabrication of
Microelectromechanical Devices, Spring 2007. MIT OpenCourseWare (http://ocw.mit.edu/),
Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
(e)
The way that we tune this device is to set the fixed point of the nonlinear system by applying
a bias voltage V0 that will deflect the beam and set k (or C1), C0, and , and then superpose a
small sinusoidal voltage as our signal. As we actuate from V0=0 to V0=VPI we will tune the
location of the zero. Determine analytically 1, the zero of Zin when V0=0, and 2, the zero of
Zin when V0=VPI, in terms of physical dimensions, material constants, the bias voltage V0,
and the static actuated gap g 0 .
(f)
As approaches (but does not quite reach) 1, you can simplify 2 into a particularly simple
form. Find this form (in terms of 1 and ) and use it to estimate the maximum change in 2
as V0 is varied from zero to 95% of VPI. The actual change will be less. Why?
Now that we know how to pick where the zero occurs and how it tunes as we change V0, we
are ready to design. Pick l, w, g0, and le subject to the following constraints:
t = 1 m
VPI = 25 V
1 = 2106 rad/s
le 0.1l
g0 0.25 m
E= 150 GPa, =2300 kg/m3 for polySi, and the gap is vacuum
(g)
You may use hand calculations or Matlab to determine suitable parameters. You may want to
familiarize yourself with the Matlab function fzero to determine the gap. Plot the zero of Zin from
V0=0.05VPI to V0=0.95VPI. Also generate a Bode plot at those two voltages to show how the zero (and
pole) move as we change VPI. For the Bode plot you will need to use a very-fine frequency vector and
concentrate on the frequency range from 106 to 107 Hz to easily see the behavior.
4
Cite as: Carol Livermore and Joel Voldman, course materials for 6.777J Design and Fabrication of
Microelectromechanical Devices, Spring 2007. MIT OpenCourseWare (http://ocw.mit.edu/),
Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Due 3/16/07
Problem 6.5 (5 pts): The in-plane interdigitated electrostatic (or comb drive) transducer
a). Current drive case
The gap g between the finger tip and the electrode can be expressed in terms of the displacement z as follow,
g = g0 z
The effective capacitor length is hence, l g = l g 0 + z . The capacitance of the upper part of comb is (by
neglecting the electric fields around the finger tip),
Cupper =
0 (l g )t
h
Since the one-finger model is equivalent to two capacitors in parallel (upper and lower parts), the total capacitance is
multiplied by two,
C=
2 0 (l g )t
h
W = vdQ =
0
Q2
C dQ = 2C
0
hQ 2
4 0 (l g )t
t
(
l
g) 2
Q
0
F
Q 2h
= g0
k
4 0tk (l g) 2
1
Cite as: Carol Livermore and Joel Voldman, course 1materials for 6.777J Design and Fabrication of
W * ( v, g ) = Qdv = Cvdv =
0
Cv 2 0 (l g )tv 2
=
2
h
The force
W *
F =
g V
F=
0 tv 2
h
Q =
v g
Q=
2 0 (l g)tv
h
0tv 2
h
= k ( g g 0 )
g = g0
0tv 2
kh
0tv 2
h
+ K (g 0 g)
Fnet
= k < 0
g
The effective spring constant is a negative constant, which means the increase in gap will cause the decrease in
force, therefore, the system is always stable and there is no spring softening/hardening.
e). The net force for the current drive case:
Fnet =
Q2
h
+ k(g 0 g)
4 0t(l g) 2
Fnet
Q2h
= keff =
k < 0
g
2 0t (l g)3
The effective spring constant is also negative, however, its not a constant. Since g always decreases from g0 to
0 when actuation starts, keff decreases as the comb drive is actuated, creating the spring softening effect. However,
since keff is always negative regardless of the value of g, the system is always stable, and hence, no pull-in will
occur. This conclusion is based on the assumption that the electric fields around the fingertips are negligible. Also,
it is assumed that the upper gap and lower gap of the comb fingers are the same, while in reality, they might vary
due to nonuniform etching or other fabrication effects. Pull-in, hence, can occur due to these secondary effects.
2
Cite as: Carol Livermore and Joel Voldman, course 1materials for 6.777J Design and Fabrication of
Microelectromechanical Devices, Spring 2007. MIT OpenCourseWare (http://ocw.mit.edu/),
Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
2F
(x 3 + 3x 2 L)
3
Eta
6M 2
2 ( x) =
For a cantilever (length L, width a, thickness t) with a moment M at the end: w
x
Eta 3
2F
6M 2
( x ) = w 1 ( x ) + w 2 ( x ) =
The resulting deflection is thus: w
(x 3 + 3x 2 L ) +
x
3
Eta
Eta 3
1 ( x) =
For a cantilever (length L, width a, thickness t) with point force F at the end: w
dw ( x)
12M
2F
=
(3x 2 + 6xL) +
3
dx x = L Eta
Eta 3
x = 0
x= L
M =
FL
2
w ( x) =
2F
(x3 +1.5 x 2 L)
Eta 3
Springs
Mass
FL3
w ( L) =
Eta 3
We can then solve for the equivalent spring constant.
keq =
F
Eta 3
= 3
w ( L)
L
3
Cite as: Carol Livermore and Joel Voldman, course 1materials for 6.777J Design and Fabrication of
Microelectromechanical Devices, Spring 2007. MIT OpenCourseWare (http://ocw.mit.edu/),
Massachusetts Institute of Technology. Downloaded
V PI =
8kg 3
= 10V -------(1)
27Acap
k=
4l
3
(assuming ideal cantilever support)
Acap = l o w ------------(3)
1 l
R=
10 ----------(4)
wt
(assuming changes in length and width of cantilever between the closed and open switch modes are
negligible)
l 5w ------------(5)
w 10t -----------(6)
Cost constraint: lw 200 10 6 $ / m 2 + (t 2 10 6 ) u(t 2 10 6 m) 2 10 6 $ / m 1$ -------(7)
(u is the unit step function, since we start paying for the thickness once it exceeds 2 m, according to
the problem statement).
(b) Substituting E = 150 GPa, = 105 S/m, =2300 kg/m 3, l0 = 10 m, and = 0 = 8.854 x 10
assuming the gap is vacuum), we get:
gt
= 9.2712 10 9 m
l
l
10 6 m 1
wt
5
w
-12
F/m (i.e.
l
t 106 m 1
w
5 t 106 m 1
5
5 10 6 m t
Therefore, from physical constraints alone tmin = 5 10 6 m = 5 m
Because we are forced to use a beam thickness greater than 2 m, in the cost function the term that involves the step
function is in the active state. We therefore find ourselves in a linear regime where any increases in the beam area
and/or the beam thickness beyond prescribed physical minima will add to the cost of the part.
From (A), l = gt / 9.2712 10 9 . The l corresponding with the tmin of 5 m and the minimum gap (0.5 m) is given
by: l min = 0.5 10 6 5 10 6 /(9.2712 10 9 ) = 2.696 10 4 m 270 m .
4
Cite as: Carol Livermore and Joel Voldman, course 1materials for 6.777J Design and Fabrication of
Microelectromechanical Devices, Spring 2007. MIT OpenCourseWare (http://ocw.mit.edu/),
l
mw
t 106
270106
m = 54106 m w
( 5 106 ) 106
The smallest w we can have and still satisfy all of the physical constraints associated with the problem is therefore:
wmin = 54 10 6 m = 54 m
We also know from (6) that the condition w 10t must be satisfied. Since tmin = 5 10 6 m = 5 m and
wmin = 54106 m = 54 m , this last condition is met, and we have therefore found our design values.
Thus g = 0.5m, t =5m, w = 54m, l = 270m. Substituting into equations (1)-(4) and (7), we get:
Vc= 9.98 V, R = 10, and the minimum cost is: 54 270 200 10 6 + (5 2) 2 = 8.92$
(c) Process: (Note that the actual dimensions of the device are slightly larger than those of the cantilever. Hence
the cost will be slightly higher than that calculated above.
1. Start with a silicon wafer, perform RCA clean with HF dip.
2. LPCVD 0.2 m of silicon nitride to act as insulator between the electrode and switch along the
substrate path.
3. LPCVD 0.2 m of polysilicon.
4. Perform photolithography using positive photoresist (not shown) and Mask 1 to define the
electrode.
5. Dry-etch the polysilicon using reactive-ion etching. Then ash resist and perform RCA clean
(without HF dip).
6. PECVD 0.5 m of sacrificial oxide
7. Perform photolithography using positive photoresist (not shown) and Mask 2 to pattern the
sacrificial layer.
8. Wet-etch the oxide using BOE. Then ash resist and perform RCA clean (without HF dip).
9. LPCVD 5 m of polysilicon.
10. Perform photolithography using positive photoresist (not shown) and Mask 3 to define the
cantilever.
11. Dry-etch the polysilicon using reactive-ion etching. Then ash resist and perform RCA clean
(without HF dip).
12. Release the cantilever by etching the oxide with BOE followed by super-critical freeze drying.
5
Cite as: Carol Livermore and Joel Voldman, course 1materials for 6.777J Design and Fabrication of
Microelectromechanical Devices, Spring 2007. MIT OpenCourseWare (http://ocw.mit.edu/),
Massachusetts Institute of Technology. Downloaded
Cross sections
Masks
1
58 m
20 m
5
Mask 1
282 m
8
62 m
11
Mask 2
292 m
12
54 m
Mask 3
Silicon wafer
Nitride
Polysilicon
Oxide
Figure 1. Process flow and mask set for micromachined cantilever switch
16Ewt 3
l 3
mactual = lwt
The mass is :
meff = 0.4mactual
8kg o 3
=
27Acap
8 16Ewt 3 g o 3
27 l elec wl 3
128Et 3 g o 3
27 l elec l 3
-------- (1)
Acap
g o
l elec w
g o
k' = k
Vo2 C o2
V 2 l w
= k o 3elec ,
Acap g o
g o
and =
6
Cite as: Carol Livermore and Joel Voldman, course 1materials for 6.777J Design and Fabrication of
Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/),
Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
(c) When we refer the impedances to the electrical side, we multiply each impedance on the mechanical side by
(1/)2, thus:
ZC 1 =
C1 =
Z1/ k ' =
2
k'
4
o
( l elec wVo )2
( l elec wVo )2
k V o l elec w
3
g o
1
=
s
C1 s
kg V l elec wg o
4
o
Zm
2
o
L=
g o is found by solving : g o = g o
(d) Z in = Z Co
ZL =
lwtg o4 s
( l elec wVo )2
= Ls
lwtg o4
( l elec wVo
)2
Vo2 l elec w
2kg o2
2
1
s +
LC1
1+ LC1 s
1 1
+ sL =
//(Z C1 + Z L ) =
//
=
2
sCo sC1
(s)(Co + C1 + LC o C1 s ) s 2 + 1 1 + 1
L C1 C0
2
1
sC0
-------- (2)
Substituting s = j and setting the denominator and numerator to zero to find the poles and zeros respectively, we
find that:
(e) When V0 = 0, 1
k
4t
= 2
lwt l
1
=
LC1
2 =
=
When V0=VPI,
g o = g o
2V PI2 l elec w
2kg o2
C o + C1
LC o C1
---------(3)
k Vo 2 l elec w / g o 3
16Ewt 3 / l 3 Vo 2 l elec w / g o 3
=
lwt
lwt
l 4
4t E
l2
(1
8 2 (g o / g o ) 3
)
27
4 2
= g o 1
27
go
g
o
(4)
--------------------(5)
7
Cite as: Carol Livermore and Joel Voldman, course 1materials for 6.777J Design and Fabrication of
Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/),
Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
2
g o , and thus:
3
4t
l2
(1 2 ) = 1 1 2
At V0=0, 2 = 1.
0.311
100 = 69%
1
The actual change in 2 will be less than that calculated above because the approximate form for 2 is really only
accurate as 1.
In fact, if we solve (5) numerically , we get g o = 0.7805 g o
Substituting in (4) gives: 2 =
4t
l
E 8 0.95 2 (1 / 0.7805) 3
1
27
4t
4 110 6
2 10
= 2.646t
l2
--------------(6)
2.646 4
100 = 33.85%
4
150 10 9
= 72 m
2300
27 l elecV PI 2 l 3 3
= 0.27 m
128Et
w must be l/5 for the structure to act as a beam (rather than a plate), hence we will pick w = 10 m.
Figure 2 below plots f2 = 2 / (2) versus Vo as Vo varies from 0.05VPI to 0.95VPI . Figure 3 is a Bode plot of Zin at
Vo = 0.05VPI and Vo = 0.95VPI .The MATLAB code is attached at the end of the solution.
8
Cite as: Carol Livermore and Joel Voldman, course 1materials for 6.777J Design and Fabrication of
Microelectromechanical Devices, Spring 2007. MIT OpenCourseWare (http://ocw.mit.edu/),
9
Cite as: Carol Livermore and Joel Voldman, course 1materials for 6.777J Design and Fabrication of
Microelectromechanical Devices, Spring 2007. MIT OpenCourseWare (http://ocw.mit.edu/),
Massachusetts Institute of Technology. Downloaded
on [DD Month YYYY].
w = logspace(6,7,5000);
bode(Z1,'r',Z2,'b',w)
figure
semilogy(V,w_r)
function y = gap(G,V0,k,e,A,g0)
y = G - g0 + e*A*V0^2/(2*k*G^2);
10
Cite as: Carol Livermore and Joel Voldman, course 1materials for 6.777J Design and Fabrication of
Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/),
Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Due: Lecture 14
a) Write expressions for and calculate the thermal resistances that govern heat loss from the pixel,
assuming that it is in air and the substrate is maintained at 300 K. What is the dominant heat removal
mechanism (i.e. radiation, conduction, etc.)? We can also define a characteristic thermal resistance for
heat flow through the pixel body. As an approximation, we will define this as the thermal resistance
between centers of the individual quadrants of the pixel body. Write an expression for and then
calculate this characteristic thermal resistance (ie. Find an expression for R2 as shown the thermal
circuit.) . In what follows, the term relative temperature will refer to the difference between the absolute
temperature and that of the substrate (300K).
Cite as: Carol Livermore and Joel Voldman, course materials for 6.777J Design and Fabrication of
Microelectromechanical Devices, Spring 2007. MIT OpenCourseWare (http://ocw.mit.edu/), Massachusetts
Institute of Technology. Downloaded on [DD Month YYYY].
We can capture some of the physics that governs temperature distribution across the pixel by means of a simple
equivalent thermal circuit, which is shown overlaid on the pixel above. In this circuit, each quadrant of the pixel
has its own thermal current source (provided by the heating resistor in that quadrant) and thermal resistances
between it and the neighboring quadrants (R2, which may be approximated from the characteristic resistance
calculated above). Some quadrants are also connected to the thermal resistance of the support tethers (R1).
b) Lets start by assuming that the heaters are laid out as a single, symmetric resistor as in the figure above,
so that the thermal currents to the four quadrants are identical. Create a finite difference matrix
G G
representation of the thermal circuit in the form: GT = I where T and I are column vectors representing
the relative temperature of and the thermal current into each quadrant, and G is a matrix whose elements
are functions of R1 and R2. Using MATLAB, find the value of the thermal current that will drive the
hottest node to 500K What is the absolute temperature of each quadrant in this case?
c) Now, by assuming that the thermal currents can have different values, find those values which will give
a uniform absolute temperature distribution of 500K as measured at each of the 4 nodes on the pixel.
What resistor layout would produce these thermal currents? What do you think is the best way to
implement variable thermal currents in each quadrant: by varying the layout of the heating resistor
between quadrants, or by having the quadrants supplied by separate circuits with their own electrical
currents? Why?
Problem 12.7 (4 pts): Transient analysis of the thermal source pixel
In this problem we will build upon our results from problem 12.6 and add in the transient response to determine
the rate at which the display can be refreshed. To do this, we need to add thermal capacitances to our circuit as
shown in the figure below to capture the heat capacity of the pixel plate.
R2
R2
R1
R2
I1
R1
I2
R2
I4
I3
a) Write an expression for and calculate the thermal capacitance C of each quadrant of the pixel. Assume
3
a specific heat for SiN of about 170 J/kg-K and a density of 3300 kg/m .
b) Using MATLAB, create a finite-difference matrix representation of the thermal circuit shown above in
the form
G
G
G
T = AT + B I
where T is a vector composed of the node temperatures of the thermal circuit relative to ground, I is
a vector of current source inputs, and A and B are matrices derived by discretizing the heat flow
equation.
Cite as: Carol Livermore and Joel Voldman, course materials for 6.777J Design and Fabrication of
Microelectromechanical Devices, Spring 2007. MIT OpenCourseWare (http://ocw.mit.edu/), Massachusetts
Institute of Technology. Downloaded on [DD Month YYYY].
c) Now we want to solve this equation to get the transient response. Noticing that the relation is in statespace form, we can use MATLABs control system toolbox to define a state-space system using ss,
where the C matrix is the identity matrix and D is all zeros. From this point, use step to determine the
step response of the system and thus the transient evolution of the system when the thermal current
sources are set to their optimum values, with all nodes starting at an absolute temperature T=300 K.
Plot the absolute temperature of each quadrant vs. time. What is the transient time to get to 95% of the
relative steady state temperature distribution that you calculated in problem 12.6?
d) Now we will simulate cooling down. The easiest way to do this is to use the Matlab command initial
using as initial conditions the node temperatures set to their steady state values as found in problem
12.6. Find the transient time to cool down the pixel to a relative temperature within 5% of the endpoint
value (when all nodes are at the substrate temperature). Plot the absolute temperature of each quadrant
vs. time. Is the cooling-down transient time the same as the heating up transient time? Why?
e) What is the maximum refresh rate for the thermal calibration source as described in this problem?
Describe in words how you could modify the design to increase the refresh rate of the pixel.
Problem 12.8 (4 pts): Design and analysis of a thermocouple microcalorimeter
Microcalorimeters are macroscale instruments that measure the heat produced by samples (such as heats of
reaction, phase change, etc.). In this problem you will design a microscale version of a microcalorimeter (micro
in microcalorimeter comes from the amount of heat (J), not the size of the instrument). The microcalorimeter
consists of a silicon nitride cantilever (thickness t=2 m) connected to a silicon substrate that is thermally
grounded at T=300 K. The samplewhich acts as the thermal sourceis placed on the end of the cantilever
over length a=50 m, and delivers 10 W of heat. The temperature difference is converted to a voltage
difference using an Al/polySi thermopile with a net Seebeck coefficient (between the two materials) of s=248
V/K. Each segment of the thermopile requires b=15 m of cantilever width. You can assume that
the silicon nitride has a thermal conductivity of =20 W/m-K, specific heat of 170 J/kg-K, and density
of 3300 kg/m3.
T( x,t)
0
SiN
b
w
l
+
V
Si
a) We could model this device by using a traditional lumped-element model. However, given that the heat
source area is not easily distinguished from the conduction area, we will instead lump the device in
terms of modes using an eigenfunction expansion. Assuming that heat conduction is the primary
mechanism for heat loss, determine the relevant boundary conditions on T(x). Next, determine a set of
eigenfunctions that will both solve the Poisson equation and meet these boundary conditions, along with
the separation coefficients kn. Finally, determine the coefficients of the eigenfunctions, incorporating
the fact that heat is generated over only part of the cantilever (from x=l-a to x=l).
Cite as: Carol Livermore and Joel Voldman, course materials for 6.777J Design and Fabrication of
Microelectromechanical Devices, Spring 2007. MIT OpenCourseWare (http://ocw.mit.edu/), Massachusetts
Institute of Technology. Downloaded on [DD Month YYYY].
b) Now extend your solution for T(x) to time-dependent temperature distribution T(x,t) by finding the
natural response of the system subject to the initial condition that T(x,0) is the temperature found in part
a. This models suddenly turning off the heat source (after reaching steady state) and letting the
temperature decay. Lump your system by assuming that we are interested in the temperature at
x=l. Take the Laplace transform of this solution to get T(s) and generate an equivalent circuit,
identifying Rn, Cn, and heat current source IQ,n(s). A good way to determine Cn is to look at the
mode volume, as we did in class.
st
c) Using the 1 -term of the solutions, determine R1, C1, and IQ,1(s) for our equivalent circuit.
d) We would like our microcalorimeter to have a 1 ms response time. Determine the dimensions l
and w that will result in this response time. Given a maximal thermoucouple packing of m=w/b
thermocouples, what is the output voltage? Is it possible to increase this without affecting the
time constant? How?
Problem 10.7 (4 pts): Effective mass of a cantilever beam
When we create lumped element models of electromechanical systems, we often need to include the lumped
mass of the element. The lumped mass for a given structure depends on how it is supported, and in general will
be different for different types of structures. In this problem, you will find the lumped mass of a cantilever
beam of Youngs modulus E, width b, thickness h, and length L. In particular, you will be looking at the case of
a tip-loaded cantilever beam. Since we have solved this problem previously (in class), you are welcome to use
the results of those calculations as a starting point.
a) First, find the elastic energy that is stored in the cantilever beam when it is deflected by a tip load F.
b) Next assume that the cantilever beam is undergoing simple harmonic motion at resonance, and find an
expression for the maximum kinetic energy of the beam.
c) Based on those results, find the resonant frequency of the cantilever beam.
d) Finally, what is the lumped mass of this cantilever beam?
Cite as: Carol Livermore and Joel Voldman, course materials for 6.777J Design and Fabrication of
Microelectromechanical Devices, Spring 2007. MIT OpenCourseWare (http://ocw.mit.edu/), Massachusetts
Institute of Technology. Downloaded on [DD Month YYYY].
Due: Lecture 16
tanh (2 n + 1)
W h dP
192 h
2 h
1 5
Q=
12 dx W n =0
(2 n + 1)5
Use this relation to calculate the resistance of the channel. What is the error of the parallel-plate
approximation?
c)
Determine analytically or numerically the minimum W/h where the Poiseuille approximation has a
10% deviation from the exact solution.
First, we will derive the relevant dimensionless quantities. Starting from the incompressible Navier-Stokes
equation in the text (Eq. 13.25), assume incompressible flow, neglect gravity, and expand out the total
derivative. What is the N-S equation now?
U / L , = L , = L
0
where U0, , and L are a characteristic velocity, timescale, and length scale for the flow. Place the nondimensional N-S equation in the form of
1 u
P +
2 u
+ u u =
Re
Sr t
What are Re and Sr? One now sees how the L in the Reynolds number comes from the characteristic
~
dimension over which spatial change occurs (e.g., from = L ).
c)
Cite as: Carol Livermore and Joel Voldman, course 1materials for 6.777J Design and Fabrication of
Microelectromechanical Devices, Spring 2007. MIT OpenCourseWare (http://ocw.mit.edu/),
Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
If Re<<Sr we return to our quasistatic creeping flow equation where time doesnt matter. Lets examine
this coefficient. The Re and Sr numbers can be represented as ratios of timescales. First, express Sr and Re
as
Sr =
Re =
v
c
Suppose you have a square 100 m 100 m microchannel filled with water ( =10-3 Pa-s, m =1000
kg/m3). You apply a step input of pressure. Approximately how long will it take for the flow to reach
steady state? Which timescale dominates the flow development in this case?
Q=100 l/min
Q1
Q2
Q3
Q4
l1
w1
a)
Determine a set of geometries (l1, w1, l2, w2, etc.) of the channels that meets the above requirements, and
then calculate the flow in each channel and the pressure drop across the channels.
Cite as: Carol Livermore and Joel Voldman, course 2materials for 6.777J Design and Fabrication of
Microelectromechanical Devices, Spring 2007. MIT OpenCourseWare (http://ocw.mit.edu/),
Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
b) Now assume that there is a fabrication variation across the chip, such that the channel height varies 10%
across the chip. Assume first that the channel height increases as one goes from channels 1-4, and then that
the channel height decreases as one goes from channels 1-4. How does each of these variations change the
flowrate ratios across the array? You may assume that the channel height is constant for a given section,
and increases stepwise from section to section.
Cite as: Carol Livermore and Joel Voldman, course 3materials for 6.777J Design and Fabrication of
Microelectromechanical Devices, Spring 2007. MIT OpenCourseWare (http://ocw.mit.edu/),
Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Posted on 4/11/07
12 L
Wh 3
1
Pa min) 0.1dm
60
R pois =
5
50 10 dm (50 105 dm)3
Pa min
Pa min
= 320 106
= 320
L
L
12 (0.001
b). We can express the general relation between flow and pressure to be,
Q = (1 A)
Wh 3 dP
12 dx
tanh (2 n + 1)
2h
5
W n=0
(2 n + 1)
A= 192 h
For Poiseuille flow, pressure drop is linear with length, so we have, dP = P , and hence,
dx
P =
12L
Q
(1 A)Wh 3
12L
(1 A)Wh 3
Using Matlab, we can find that A converges at 0.5783, substitute in, we have
R poisFull =
1
Pa min) 0.1dm
60
R poisFull =
5
(1 0.5783) 50 10 dm (50 105 dm)3
Pa min
Pa min
320
=
= 758.83
1 0.5783 L
L
12 (0.001
The error is
Error =
R poisFull R pois
R poisFull
12L
12L
(1 A)Wh 3 Wh 3
=
= A = 57.83%
12L
(1 A)Wh 3
c). As we derived from b), the error can be expressed by A, where
Cite as: Carol Livermore and Joel Voldman, course 1materials for 6.777J Design and Fabrication of
Microelectromechanical Devices, Spring 2007. MIT OpenCourseWare (http://ocw.mit.edu/),
Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
tanh (2 n + 1)
2 h
A=
192 h
5 W n=0
(2 n + 1)5
Using Matlab, it can be determined that the min W/h ratio for error to be less than 10% is 6.305.
close all;
L=1E-2;
visc=0.001*(1/60);
W=50E-6;
h=linspace(50E-6,5E-6);
h=h';
Wperh=zeros(100,1);
Error=zeros(100,1);
Rpoisfull=zeros(100,1);
Rpois=zeros(100,1);
for m=1:100
h_now=h(m,1);
Wperh(m,1)=W/h_now;
for i=0:24
Aterm(i+1,1)=((192*h_now)/(pi^5*W))*(tanh((2*i+1)*(pi/2 ...
)*(W/h_now))/(2*i+1)^5);
end
A=sum(Aterm);
Rpoisfull(m,1)=(12*visc*L*10*1E-6)/((1-A)*(W*10*(h_now*10)^3));
Rpois(m,1)=(12*visc*L*10*1E-6)/(W*10*(h_now*10)^3);
Error(m,1)=(Rpoisfull(m,1)-Rpois(m,1))/Rpoisfull(m,1);
end
plot(Wperh,Error)
ylabel('Error')
Cite as: Carol Livermore and Joel Voldman, course 1materials for 6.777J Design and Fabrication of
Microelectromechanical Devices, Spring 2007. MIT OpenCourseWare (http://ocw.mit.edu/),
Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
DU
= P + m g + 2U + (iU )
Dt
3
m g = 0
U
+ U iU ) = P + 2U
t
~
P = ( U 0 / L)P
~
=
L
~
2
2 = 2
L
We have
U2
U
U
u U 0
+ u iu 0 ) = P 2 0 + 2 u 20
t
L
L
L
m LU 0 1 u
+ u iu = P + 2 u
U
0 t
m (
Re =
Sr =
m LU 0
U 0
L
c).
Sr =
c =
Re =
L
U0
v
c
v = Re c =
=
m LU 0 L
U 0
m L2
d). Applying a step input of pressure, the flow near the wall can not violate the no-slip condition. The time scale to
establish steady flow is determined by the visous flow time scale. Using a length scale on the order of the hydraulic
diameter, we have
2Wh
2 100 100
= 100m
L=
=
100 + 100
W +h
3
Cite as: Carol Livermore and Joel Voldman, course 1materials for 6.777J Design and Fabrication of
Microelectromechanical Devices, Spring 2007. MIT OpenCourseWare (http://ocw.mit.edu/),
Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
v =
(100 10 6 ) 2 m 2
= 0.01sec
10 6 (m 2 / s)
Q=100 l/min
Q1
Q2
Q3
Q4
l1
w1
a). First let us summarize the constraints of the design of the fluidic channels:
1. Total volumetric flow rate is 100 L/min;
2. Flow ratio across the four channels are Q1 : Q2 : Q3 : Q4 = 1: 3 : 9 : 27 ;
3. Channel height h is fixed at 50 m; width w 150 um and total area A 25 mm 2 ;
4. The maximum pressure at the inlet must be 1 psi.
Assuming parallel-plate Poiseuille flow, the flow resistance is,
P 12 l
=
R=
Q
wh 3
The volumetric flow rate across each channel can be found to be,
Q1 = 2.5, Q2 = 7.5, Q3 = 22.5, Q4 = 67.5 L / min
Since the pressure drop across the channels is the same (both the inlets and outlets are connected), we can
derive that,
R1 : R2 : R3 : R4 = 27 : 9 : 3 :1
l1 l2 l3 l4
:
:
:
= 27 : 9 : 3 :1
w1 w2 w3 w4
From total area constraint, we can write,
To minimize the area, we can set the width at its minimum, w = 150 um , and we can reduce the above
expression in terms of l1,
1 1 1
2w 1+ + + l1 < Amax
3 9 27
27 Amax
27 25 mm 2
l1 <
=
= 56.25 mm
80w
80 150 103 mm
We can choose a set of channel dimensions, such that, l1 = 54 mm, l2 = 18 mm, l3 = 6 mm, l4 = 2 mm . The
pressure drop across the channel is,
12 l1
12 0.001 Pa s 54 mm
2.5 106 103 m 3 / min
P =
Q1 =
b). Now we assume that the channel height varies 10% across the chip in stepwise fashion and we will examine two
cases: case 1, the height increases from 50 m for channel 1, to 55 m for channel 4, and case 2, the height
decreases from 50 m to 45 m.
Since all the channels are designed to have the same width and same pressure drop, the flow rate is only a
function of length and height,
h3
Q
l
Therefore, the flow resistance ratios for both cases are,
503 51.673 53.333 553
case 1 Q1 : Q2 : Q3 : Q4 =
= 1: 3.3 :10.9 : 35.9
:
:
:
27
9
3
1
503 48.333 46.673 453
case 2 Q1 : Q2 : Q3 : Q4 =
= 1: 2.7 : 7.3 :19.7
:
:
:
27
9
3
1
As expected, since Q is proportional to the cube of the height, the flow rate is very sensitive to any height
variation. And a 10% height variation across the chip can cause the flow rate to vary from 26% to 33% in this case
for the longest channel.
Cite as: Carol Livermore and Joel Voldman, course 1materials for 6.777J Design and Fabrication of
Microelectromechanical Devices, Spring 2007. MIT OpenCourseWare (http://ocw.mit.edu/),
Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Due 4/20/07
First, recast the transfer function in terms of the non-dimensional complex frequency s = s / 0 .
b.
Now, assume that you are using a single-pole controller of the form in equation (15.14), with non
dimensionalized time constant = 0.1 . Using a root-locus plot for the overall control system transfer
function Xout(s)/Xin(s), determine the maximum controller gain (K0) at which the system is stable.
c.
Instead of using a single-pole controller, design a PID controller that achieves overall critically
damped system response with no DC error. Demonstrate results with SIMULINK or MATLAB
simulations.
+
+
-
Ro
Vo
v+
K(s)
V +
+ K(s)(v+-v )
-
v-
(A)
Vo
C
(B)
a. Assume that the amplifier (like ALL amplifiers) has a finite output resistance R0=40 , using the
circuit model in (B), with the load capacitor C, determine analytically the loop transmission function
H(s)K(s) for the circuit, where the loop transmission function is defined as V0 = H (s)K (s)(V V0 ) .
b. Now assume that K(s) is a 2nd-order transfer function of the form
103.5
K (s) =
1+ s
1+ s
2 105
2 108
which approximates the National Semiconductor LM359 high-speed op-amp. Determine the overall loop
transmission function H(s)K(s). Make a Bode plot for the loop transmission function and determine the
phase margin with and without the capacitor. What is the maximum capacitive load that this amplifier can
drive and be stable?
)(
1
Cite as: Carol Livermore and Joel Voldman, course 1materials for 6.777J Design and Fabrication of
Microelectromechanical Devices, Spring 2007. MIT OpenCourseWare (http://ocw.mit.edu/),
Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Vs
-Vs
The circuit assumed here is vastly simplified; we will learn more about accelerometer readouts in the case studies.
In the meantime, we assume that voltages are applied to the fingers in pulses with an amplitude Vs = 2.5 V. We will
look at the noise from various sources while the pulse is applied (in other words, we dont look very long, so it looks
like DC.) However, we will assume a finite bandwidth due to the remainder of the circuit, which is not shown here.
a. First we will examine thermomechanical noise. Assume that the microaccelerometer has a proof mass of
300 ng, a resonant frequency of 25 kHz, and the bandwidth of the measurement is 1 kHz. Also assume that
the accelerometer is critically damped and is in thermal equilibrium at room temperature. What is the total
thermomechanical noise in the bandwidth of interest?
b. Assume that at zero displacement the inter-finger gaps are 1.3m and the inter-finger capacitances C1 = C2
= 100 fF (purely parallel plate capacitances). Also assume that the parasitic capacitance Cp = 100 fF.
What is the effective variation in the capacitances C1 and C2 due to the fluctuation of the position of the
proof mass? Find the relationship between Vx (the voltage between the capacitors) and Vs, and use it to
calculate an effective voltage noise source from the thermomechanical noise at the point labeled Vx.
2
Cite as: Carol Livermore and Joel Voldman, course 1materials for 6.777J Design and Fabrication of
Microelectromechanical Devices, Spring 2007. MIT OpenCourseWare (http://ocw.mit.edu/),
Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
c. We saw in class that a capacitor connected to a thermal reservoir has a voltage noise associated with it. In
this case, the result is modified because the bandwidth of interest is limited to 1 kHz. Estimate the total
voltage noise introduced by the capacitors in the bandwidth of interest. You may assume that the dominant
resistor to which the capacitors are connected is the resistance of the long interdigitated fingers (assume 25
fingers in parallel). Each finger is made of heavily-doped polysilicon and has a resistivity of about 400
-cm. Each finger is 100 m long and has a cross-sectional area of 25 m2.
d. We will now consider the noise sources for the amplifier. Write an equation for the amplifier noise at the
inputs, and calculate the spectral density as a function of frequency. Assume a transconductance of 300
Siemens, a channel length L = 2 m, a channel width W = 30 m, and a gate oxide thickness of 15 nm;
also take Kf = 10-24 V2F. Calculate the total voltage noise at the amplifier inputs over the bandwidth of
interest, assuming a low frequency cut-off of at 10 Hz.
e. Redraw the accelerometer circuit diagram to include all of the noise sources. Analyze the circuit to find V0
in terms of Vs, the effective noise voltages, and the zero-noise component values. Assume that the noise
sources are uncorrelated, and calculate the total voltage noise at the output V0. Which term is dominant?
Does the form of the transfer function for this circuit (with the unity gain buffer) have a significant effect
on which terms are dominant?
Cite as: Carol Livermore and Joel Voldman, course 1materials for 6.777J Design and Fabrication of
Microelectromechanical Devices, Spring 2007. MIT OpenCourseWare (http://ocw.mit.edu/),
Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
And hence,
H ( s) =
10
1
s 2 + 0.1s +1
b). We will use a single pole controller, which has the form
K ( s) =
Ko
1 + 0.1s
Ko /10 2
( 0.1s +1) s2 + 0.1s +1
Using Matlab command rlocus(sys), the root locus plot of the closed loop transfer function is shown in
Figure 1 below. A zoomed-in view of the root near the imaginary axis as shown Figure 2 reveals that the maximum
gain Ko at which the two poles will coincide with the imaginary axis and hence the system will become unstable is
98.9. The Matlab code used to generate these two plots is provided.
Cite as: Carol Livermore and Joel Voldman, course 1materials for 6.777J Design and Fabrication of
Microelectromechanical Devices, Spring 2007. MIT OpenCourseWare (http://ocw.mit.edu/),
Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
K ( s) = K o 1+ + s
s3 + + o s 2 + 1 + o s + o
k
k
k
This is a third order system with 3 poles and 2 zeros. We know that for a second order system, critically damped
response means that the system transfer function has two real poles that are equal, and as a result, the system
achieves steady state with the fastest response without overshoot. In order for the system to exhibit a second order
behavior, we ideally would want to have 2 equal real poles and a third pole that would cancel out a zero. It turns out
that for this problem, it is not possible to achieve the critical damping with a PI controller.
What we can do,
however, is to have a third pole that is much larger than the 2 real poles such that its fast response does not have
much noticeable effect on the second order system behavior. Therefore, we can express the denominator as,
2
( s + a )( s + b ) , where a b
And by comparison, we have,
1 Ko
Q + k = a + 2b
Ko
= b2 + 2ab
1+
k
Ko
2
k = ab
There could be many choices for a and b that could satisfy the criteria of critical damping, and without
further specification on the rise time, for example, we will choose one pair that works. From part a), we can derive
that the plant H(s) has two complex poles 0.05 j . In order to have faster response, we want to have two real poles
move to the left of the S-plane. Lets choose b=1, and a=1000, say. We can derive that,
Ko = 2000k = 2 104 2
= 0.5
= 0.501
0.5
+ 0.501s
K ( s) = 2 104 2 1+
s
0.5
+ 0.501s
2 103 1+
s
H ( s) K ( s) =
s 2 + 0.1s +1
is shown in Figure 3. The two zeros are very close to the real poles. Although it is advantageous to have the zeros
far away from the dominant poles, since the zeros of a system affect pretty much the amplitude, rather than the
oscillation nature of the system (as long as they are in the negative half of the s-plane), we do not have to worry
about them too much. The step response of the overall closed loop system is shown in Figure 4, demonstrating the
critical damped response, with a rise time on the order of 3 ms.
The MATLAB coding used for this portion of the problem is provided below:
Cite as: Carol Livermore and Joel Voldman, course 1materials for 6.777J Design and Fabrication of
Microelectromechanical Devices, Spring 2007. MIT OpenCourseWare (http://ocw.mit.edu/),
Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
+
-
Ro
Vo
Vo
v+
K(s)
V +
v-
+ K(s)(v+-v-)
-
(A)
(B)
V += V
Applying KCL at the node connecting the output resistor and the capacitor:
V0 K s (V V0 ) V0
+
=0
1
R0
sC
Rearrange terms, we get,
K (s)
Vo =
(V V0 )
1 + Ro s C
The model is equivalent to a linear feedback system with an overall transfer function as,
V0 = H (s)K (s)(V V0 )
which is referred to as Blacks formula.
The Loop transmission function is hence,
H (s)K (s) =
K (s)
1 + Ro s C
b). Assuming
K ( s) =
103.5
(1+ s 2 10 )(1+ s 2 10 )
5
103.5
(1+ s 2 10 )(1+ s 2 10 )
5
2 + s(0.5005 10 5 + Ro C 2 )
1
1+ Ro s C
3162.28 2
+ s 2 0.25 10 13 + 0.5005 10 5 Ro C + s 3 0.25 10 13 Ro C
3162.28 2
+ s 0.5005 10 5 + s 2 0.25 10 13
2
H (s)K (s) =
2 + s (1.58 10 5 )
3162.28 2
+ s 2 1.5079 10 13 + s 3 2 10 22
The phase margin and bode plots of the two cases are shown below.
= 180 o +
where is the phase angle where the amplitude of the output signal is equal to the amplitude of the input signal. A
system is stable implies a positive phase margin value.
For the capacitance value of 0 F, the phase margin is 31.3510.
For the case with C = 200 pF = 200x10-12 F the phase margin is -19.2640. The system is unstable. We can
see that a larger capacitance implies a smaller phase margin.
5
Cite as: Carol Livermore and Joel Voldman, course 1materials for 6.777J Design and Fabrication of
Microelectromechanical Devices, Spring 2007. MIT OpenCourseWare (http://ocw.mit.edu/),
Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
The phase margin is zero when the capacitance is decreased to about 18.44 pF, which is the maximum
capacitance to be driven stably and the corresponding frequency is 147 MHz.
a). In general, the force-displacement characteristic for a spring-mass-damper system is described as follows:
Knowing that the mass of the accelerometer is m=300 ng, and a resonant frequency o = 2 25000 rad/s, and they
system is critically damped, we can expressed the system transfer function for position x as,
x( s)
1
= 2
F ( s ) ms + bs + k
1
m
=
2
b
s +
s+k
m
m
H ( s) =
( )
In our system, we know that we are in a critically damped situation. We can there for insert the following
expression in the generalized transfer function expression.
Cite as: Carol Livermore and Joel Voldman, course 1materials for 6.777J Design and Fabrication of
Microelectromechanical Devices, Spring 2007. MIT OpenCourseWare (http://ocw.mit.edu/),
Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
m0 1
= = Q for the critically damped case
b
2
k
m
where 0 =
1
x( s)
=
F ( s ) ms 2 + bs + k
1
m
= 2
s + 20 s + 02
H (s) =
( s + 0 )
The intrinsic noise due to damping is similar to the noise generated by a resistor, and the spectral density function of
this noise has the form,
S n ( f ) = 4k BTb
where b=2m o for the case of critical damping.
Though we could solve this problem using the following integral:
f
x =
2
n
H ( j 2 f ) S n ( f ) df
2
.
we can instead approach to the problem by using the fact that the system bandwidth is much smaller than the
resonant frequency of the accelerometer. Hence, we can approximate the quasi-static response, and use the
bandwidth as the noise bandwidth. The mean square force acting on the mass is,
f n2 = 4k BTbf
So the displacement is,
4k BTbf
fn
=
= 5.337 pm
k
mo2
which is nearly the same as obtained by performing the integral
xn =
G0 xn , rms
0 HL0
G0
= C0
1
1
xn, rms
G0
1
xn, rms
G0
7
Cite as: Carol Livermore and Joel Voldman, course 1materials for 6.777J Design and Fabrication of
Microelectromechanical Devices, Spring 2007. MIT OpenCourseWare (http://ocw.mit.edu/),
Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
where C0 is the capacitance at zero displacement, and G0 is the initial gap. We can further simplify this relation by
linearizing around the initial gap condition using Taylor series expansion where we retain only the first term. We
outline such a procedure here:
f = C0
1
1
x n , rms
f
=
y
and
G 0
C 0
f
=
y
G
C0
x
G0 1 n, rms
G0
C0
G0
0
G0 1
G
0
The linearized form when retaining only the 1st Taylor series term:
0
Csense f
G0
f
+
y
G0
f
xn, rms = C0 +
G0
G
0
To find the relation between Vs and Vx, apply KCL at the node connecting C1 and C2,
Vs V x V x (Vs ) V x
=0
1
1
1
sC1
sC 2
sCp
C1 C2
Vs
C1 + C2 + C p
We reasonably assume that with 2.5 V actuation, the nominal capacitances are relatively unchanged. Hence, the
effective voltage noise source at the point of Vx is
x
x
C0 1+ n, rms C0 1 n , rms
G0
G0
vT =
3C0
x
2 n, rms
G0
V =
Vs
s
3
5.337 1012
1.3 106 2.5
=
3
= 6.842106 V
2
c). The mean square voltage noise on the capacitors in our system is described using equation 16.23 from the text.
We repeat it here in a slightly altered form.
vC , rms = vC1 = vC 2 = vCp = 4k BTRf
In this expression, R represents the resistor that connects to the capacitors and also the thermal reservoir. f is the
band width of interest. For the architecture outlined in this problem, we calculate the resistance using the following
routine:
R=
L
nA
100 106 m
25 (25 1012 m 2 )
= 0.64
8
Cite as: Carol Livermore and Joel Voldman, course 1materials for 6.777J Design and Fabrication of
Microelectromechanical Devices, Spring 2007. MIT OpenCourseWare (http://ocw.mit.edu/),
Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Pluging in, the root mean square voltage noise on the capacitors is:
vC , rms = vC1 = vC 2 = vCp = 4k BTRf
= 4 1.38 1023 J / K 300 K 0.64 1000 Hz
= 3.256 109 V
d). The mean square noise at the two inputs of the operational amplifier can be written using equation 16.19.
v A2 = v B2 =
1000
S n ( f )df
f cut off
K f
2
)(1+ Fn ) +
3g m
WLC 0 x f
In this expression:
-24
V2 F
C 0 x = 0 x
t ox
Substituting in gives:
S n ( f ) = 4 1.3810 23 300
= 2.2080 10 16 +
2
(1+ 5) +
3 300 10 6
10 24
3.9 8.8510 12
f
30 2 10 12
1510 9
7.2432 10 12 2
V / Hz
f
v A2 = v B2 =
1000
10
f cut off
S n ( f )df
(2.2080 10 16 +
7.2432 10 12
)df
f
= 3.3649 10 11V 2
v A,rms =v B,rms = 5.008 10 6 V
Cite as: Carol Livermore and Joel Voldman, course 1materials for 6.777J Design and Fabrication of
Microelectromechanical Devices, Spring 2007. MIT OpenCourseWare (http://ocw.mit.edu/),
Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
I1 =
(Vs vc1 ) (V x vT )
1
sC1
I2 =
(V x vT ) ( Vs + vc 2 )
1
sC 2
Ip =
Vx v p
1
sC p
Apply KCL:
I1 = I 2 + I p
Substitute in
(Vs vc1 ) (V x vT ) (V x vT ) ( Vs + vc 2 ) V x v p
+
=
1
1
1
sC1
sC 2
sC p
Simplify the equation, we have
(Vs + vT vc1 )C1 + v p C p (Vs vC 2 vT )C 2
Vx =
C1 + C 2 + C p
where Vs is the signal. Since the noise sources are not correlated, the equivalent mean square noise at Vx is
2
n,x
C1
=
C +C +C
2
p
1
C2
(vT + vc12 ) +
C +C +C
2
p
Cp
2
vT + vc2
+
C +C +C
2
p
2
vp
V0 v B = V x + v A
V0 = v B + v A + V x
The three noise sources are again uncorrelated, the mean square noise at the output is
2 2 1 2 1 2 1 2
vT + vc1 + vc2 + vcp + vB2 + v A2
9
9
9
9
2
1
= (6.842 106 )2 + (3.256 109 ) 2 + 2 (5.008 106 ) 2
9
3
= 6.0563 1011V 2
2
Vn,0
=
vn ,o , rms = 7.7822 10 6 V
The total noise output is seen to be dominant by the thermal noise and the amplifier noise. These two noise
sources have same order of magnitude and are three orders of magnitude larger than the capacitive noises. The
transfer function of this circuit does not change the proportion of the contribution of the noise sources and hence we
conclude that the form of the transfer function has no significant affect on the dominant terms of the noise.
11
Cite as: Carol Livermore and Joel Voldman, course 1materials for 6.777J Design and Fabrication of
Microelectromechanical Devices, Spring 2007. MIT OpenCourseWare (http://ocw.mit.edu/),
Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
Reference(s)
Affiliation(s)
Device #3
Device
description
Cite as: Carol Livermore and Joel Voldman, course materials for 6.777J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
1/3
Fabrication
technology
Cite as: Carol Livermore and Joel Voldman, course materials for 6.777J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
2/3
Pros of
approach
Cons of
approach
Value of
reported
metric
Measurement
method
Confidence in
results (1- 5)
and why
Comments
Analog tuned
Tuning ratio is 8.4:1 for 8V actuation
voltage
Q is above 100 for frequencies below
700 MHz
A HP network analyzer is used to measure the
capacitance and the S parameters
4 device yield is not reported; low break-down
voltage might limit operation range
The device has high analog tuning ratio and
relatively low actuation voltage compared to
other literature found. Although device with
higher tuning ratio exists, in terms of overall
performance, this is the best device so far.
Cite as: Carol Livermore and Joel Voldman, course materials for 6.777J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
3/3
Device/Specification
Name (Email)
Device #2
Device #1
Device #3
Reference(s)
Affiliation(s)
Device
description
Fabrication
technology
Pros of
approach
Cons of
approach
Value of
reported
metric
Measurement
method
Confidence in
results (1- 5)
and why
Comments
Cite as: Carol Livermore and Joel Voldman, course materials for 6.777J Design and Fabrication of Microelectromechanical Devices, Spring 2007. MIT
OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
1/1
Design Projects
Some sample design projects used in previous terms are described briefly below, and
are representative of the types of projects we will use. Descriptions, specifications
and design goals for this term's projects will be provided later in the term. The scope
of each project will include a microfabricated device, the drive/detection electronics,
and a packaging concept. Each project will have a team of four or five students.
Depending on enrollment, there may be more than one team on a given topic.
1. A fast dielectrophoretic cell sorter
Cell sorting is a commonly used biological technique that can isolate target cells from
a bulk population based on differences in size, shape, or molecular specificity. In this
project you will explore the fundamental limits for micro-flow sorters by designing a
truly fast (>1,000 cells/sec) micro-cell sorter. The sorter will be created out of PDMS
on glass and will use dielectrophoresis to push incoming cells into one of two outlet
microchannels. In the process you will couple it to an optically based detection
system, actuation electronics, and identify the fundamental limits on sorting.
2. A piezoresistive sensor for biomolecular recognition
The goal of this project is to create cantilever-based device that detects stress
induced by molecular binding. Two cantilevers (operated differentially) will be
created out of SU-8 with integrated poly-Si piezoresistors. The packaged device will
be used in a hand-held point-of-care diagnostic monitor and so must be robust,
small, and connected to a circuit that gives an output proportional to the logarithm of
the concentration ratio.
3. RF-IF filter
The superheterodyne radio receiver, one of the truly brilliant inventions of the 20th
century, uses a nonlinear frequency converter in combination with a tunable local
oscillator to convert the incoming radio signal to a lower fixed frequency (the socalled intermediate frequency or if). The goal of this project is to design a
surface-micromachined silicon resonator or combination of resonators that are used
to create an if filter for incorporation into a one-chip radio.
4. A micro flex-tester for measuring the compliance of microstructures
The goal of this project is to build a microfabricated force-displacement sensor device
to characterize the compliance of microstructures. The micro-flextester is a
metrology tool used to measure the actual force-displacement characteristic of
microfabricated compliant structures. You will select a sensing scheme (i.e.,
piezoresistive strain gauges or capacitive displacement sensors) and use it in a
device that must be small, have tunable force resolution, and integrated
displacement and force sensing.
Cite as: Carol Livermore and Joel Voldman, course materials for 6.777J Design and Fabrication
of Microelectromechanical Devices, Spring 2007. MIT OpenCourseWare (http://ocw.mit.edu/),
Massachusetts Institute of Technology. Downloaded on [DD Month YYYY].
pacts (for example, lets all give each other As whether we contributed or not) are not allowed and will
result in each member of the team being assigned a B for their individual team grade.
Cite as: Carol Livermore and Joel Voldman, course materials for 6.777J Design and Fabrication of
Microelectromechanical Devices, Spring 2007. MIT OpenCourseWare (http://ocw.mit.edu/), Massachusetts Institute
of Technology. Downloaded on [DD Month YYYY].