Sunteți pe pagina 1din 9

Austria Gutirrez Hugo

Macas Prez Beatriz Aide


Mojica Castaeda Ftima
Silva Rodrguez Jorge Antonio
IMT-1004
DISPOSITIVOS LGICOS PROGRAMABLES
Decodificador de 8 bits a 7 segmentos de 0-99
J. GUADALUPE SANTOS GMEZ

5 DE NOVIEMBRE DEL 2015

ndice

CONTENIDO
RESUMEN ......................................................................................................................................... 3
MARCO TERICO .......................................................................................................................... 4
OBJETIVO GENERAL .................................................................................................................... 5
MATERIAL ........................................................................................................................................ 5
DESARROLLO DE LA PRCTICA .............................................................................................. 5
Cdigo en VHDL ............................................................................................................................... 5
Pines usados en la unidad UFC ........................................................................................................ 7
OBSERVACIONES .......................................................................................................................... 8
CONCLUSIONES............................................................................................................................. 9
Bibliografa ....................................................................................................................................... 9

RESUMEN
El principal objetivo de esta prctica es conocer el funcionamiento de los
decodificadores probando sus salidas en un display de siete segmentos de
manera que refleje un tipo conteo del 0 al 99, otra de las finalidades de esto es
poner en prctica los conocimientos adquiridos en clase lo cual hace que el
alumno tenga una mejor compresin sobre la aplicacin y el funcionamiento de
estos.

MARCO TERICO
La funcin bsica de un decodificador es detectar la presencia de una
determinada combinacin de bits (cdigo) en sus entradas y sealar la presencia
de este cdigo mediante un cierto nivel de salida. En su forma general, un
decodificador posee n lneas de entrada para gestionar n bits y en una de las 2n
lneas de salida indica la presencia de una o ms combinaciones de n bits. En esta
seccin, se presentan varios tipos de decodificadores. Los principios bsicos se
pueden extender a otros decodificadores.

El decodificador binario bsico


Supongamos que necesitamos determinar cundo aparece el nmero
binario 1001 en las entradas de un circuito digital. Se puede utilizar una puerta
AND como elemento bsico de decodificacin, ya que produce una salida a nivel
ALTO slo cuando todas sus entradas estn a nivel ALTO. Por tanto, debe
asegurarse de que todas las entradas de la puerta AND estn a nivel ALTO
cuando se introduce el nmero 1001, lo cual se puede conseguir invirtiendo los
dos bits centrales (cuyos bits son 0), como se muestra en la Figura 1

Fig.1 Lgica de decodificacin del cdigo binario 1001 con una salida activa a nivel ALTO

OBJETIVO GENERAL

Desarrollar un decodificador de 8 bits salida a display de siete segmentos


de 0-99.

MATERIAL
-Tarjeta Nexis 2
- Computadora
- Programas active y ISE
-Cable mini USB

DESARROLLO DE LA PRCTICA
Cdigo en VHDL
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_unsigned.all;

entity Decodificador is
port(
clk: in STD_LOGIC;
A : in std_logic_vector(3 downto 0); -- Entrada BCD 1
B : in std_logic_vector(3 downto 0); -- Entrada BCD 2
sel: out std_logic_vector(3 downto 0);
S : out std_logic_vector(7 downto 1) -- Salida 7 segmentos
);
end Decodificador;

architecture Decodificador of Decodificador is


signal slow_clk : std_logic;
signal clk_divider : std_logic_vector(15 downto 0) := x"0000";
signal C: std_logic_vector(3 downto 0);
begin

clk_division : process (clk, clk_divider)


begin
if (clk = '1' and clk'event) then
clk_divider <= clk_divider + 1;
end if;

slow_clk <= clk_divider(15);


end process;

clk1: process(slow_clk)
begin
if (slow_clk = '1') then
C<=A;
sel<="0111";
else
C<=B;
sel<="1011";
end if;
end process clk1;

process(C)
begin

case C is
when "0000" => S <= "0000001"; -- Conversion
when "0001" => S <= "1001111"; -- de codigo
when "0010" => S <= "0010010"; -- S(7) segmento a
when "0011" => S <= "0000110"; -- S(1) segmento g
when "0100" => S <= "1001100";
when "0101" => S <= "0100100";
when "0110" => S <= "0100000";
when "0111" => S <= "0001111";
when "1000" => S <= "0000000";
when "1001" => S <= "0001100";
when others => S <= "1111111"; -- No importa
end case;
end process;
end Decodificador;

Pines usados en la unidad UFC

#pin2ucf - Thu Nov 05 18:24:46 2015


#The following constraints were newly added
NET "B<0>" LOC = L14;
NET "B<1>" LOC = L13;
NET "S<1>" LOC = L18;
NET "B<2>" LOC = N17;
NET "S<2>" LOC = F18;
NET "B<3>" LOC = R17;
NET "S<3>" LOC = D17;
NET "clk" LOC = B8;

NET "S<4>" LOC = D16;


NET "S<5>" LOC = G14;
NET "S<6>" LOC = J17;
NET "S<7>" LOC = H14;
NET "sel<0>" LOC = F15;
NET "sel<1>" LOC = C18;
NET "A<0>" LOC = G18;
NET "sel<2>" LOC = H17;
NET "A<1>" LOC = H18;
NET "sel<3>" LOC = T2;
NET "A<2>" LOC = K18;
NET "A<3>" LOC = K17;

OBSERVACIONES
Para mostrar los bits salida a display a siete segmentos necesitamos de
relojes que nos ayuden a engaar la vista para mostrar las diversas
combinaciones binarias para arrojar el numero decimal en el display.

Ilustracin 1. Demostracin de bits salida a display de 7 segmentos.

CONCLUSIONES
Cada una de estas prcticas nos lleva a concretar los conocimientos
adquiridos en la lgica que tienen los circuitos digitales y reafirmar conocimientos
en FPGA por que nos podemos dar cuenta el funcionamiento de las tarjetas y
poner en practica la programacin del software lo que cada una de las practicas
nos facilita el razonamiento lgico ya prender a estructurar y diferenciar cada una
de las partes del programa.

Bibliografa

Navabi,1998, Analysis and Modeling of Digital Systems, McGraw Hill


Professional

Balabanian and Carson, 2007, Digital Logic Design Principles, Wiley India
Pvt. Limited

Sistemas digitales, principio y aplicaciones, octava edicin, tocci and


widmer

L. Floyd Thomas, Fundamentos de sistemas


digitales,PearsonPreticehall,Madrid 2006.

S-ar putea să vă placă și