Sunteți pe pagina 1din 44

Chapter 14

High-Speed I/O Interface

1
EE141
System-on-Chip
Test Architectures

Ch. 14 High-Speed I/O Interface - P. 1

What is this chapter about?




High-speed I/O interfaces


 Have been widely used in computer,
communication, and consumer electronics systems
 Are able to transmit and receive data at higher rates
with fewer I/O pins

Focus on
 High-speed I/O architectures
 I/O interface testing
 At the Component/subsystem level
 At the System level
 Using DFT-assisted Methods

New challenges in high-speed I/O and testing


2

EE141
System-on-Chip
Test Architectures

Ch. 14 High-Speed I/O Interface - P. 2

Outline
I.

II.

III.

IV.

V.
VI.

High-Speed I/O Architectures



Global Clock I/O Architectures

Source Synchronous I/O Architectures

Embedded Clock I/O Architectures
 Basics on Jitter, Noise, and Bit Error Rate (BER)
Testing of I/O Interfaces

Testing of Global Clock I/O

Testing of Source Synchronous I/O

Testing of Embedded Clock High-Speed Serial I/O
DFT-Assisted Testing

AC Loopback Testing

High-Speed Serial-Link Loopback Testing

Testing the Equalizers
System-Level Interconnect Testing

Interconnect Testing with Boundary Scan

Interconnect Testing with High-Speed Boundary Scan

Interconnect Built-In Self-Test
Future Challenges
Concluding Remarks
3

EE141
System-on-Chip
Test Architectures

Ch. 14 High-Speed I/O Interface - P. 3

I. High-Speed I/O Architectures

4
EE141
System-on-Chip
Test Architectures

Ch. 14 High-Speed I/O Interface - P. 4

(a) Global Clock (GC)





Synchronized global
clock
System clock for Tx
data driving and Rx
data sampling
Clock skew on
board limits its use
to < a few 100 Mbps
data rate

5
EE141
System-on-Chip
Test Architectures

Ch. 14 High-Speed I/O Interface - P. 5

(b) Source Synchronous (SS)




Tx sends data
along with
strobe (another
clock)
Rx uses sent
strobe to sample
the data
No clock or
strobe skew
issue

6
EE141
System-on-Chip
Test Architectures

Ch. 14 High-Speed I/O Interface - P. 6

Source Synchronous (SS) (Contd)


Data
All driven
by
same bus
clock
& matched Strobe
signal
paths

Tvb

Tva

Tvb

Tva

Tsetup Thold

Strobe#

Some designs use strobe/strobe# to improve timing accuracy

7
EE141
System-on-Chip
Test Architectures

Ch. 14 High-Speed I/O Interface - P. 7

Source Synchronous (SS) (Contd)




Limited by data to
data skew due to
uneven channels
 Board layout
 E-M issues: e.g.,
coupling, noises
 Variation in drive
among channels

Achieve up to
~1000 Mbps data
rates for wide bus
 Can improve data
rate with splitting
into many narrower
bus

8
EE141
System-on-Chip
Test Architectures

Ch. 14 High-Speed I/O Interface - P. 8

(c) Embedded Clock (EC)






Bit clock is embedded in the serial data and gets recovered at Rx via clock
recovery circuit
Link layer is composed of encoder/decoder
Physical layer (PHY) is composed of Tx, channel, and Rx
Jitter is the major limiting factor for EC link architecture
9

EE141
System-on-Chip
Test Architectures

Ch. 14 High-Speed I/O Interface - P. 9

Basics on Jitter, Noise, and Bit Error Rate (BER)

10
EE141
System-on-Chip
Test Architectures

Ch. 14 High-Speed I/O Interface - P. 10

Jitter Components and Terminology


Jitter

Deterministic

DDJ

PJ

BUJ

Random

MGJ

GJ

Uncorrelated Jitter
DCD




ISI

Correlated Jitter

DJ is bounded, and RJ is unbounded


RJ is commonly modeled by a Gaussian
11

EE141
System-on-Chip
Test Architectures

Ch. 14 High-Speed I/O Interface - P. 11

Characteristics of Jitter Component PDFs






ISI; different waveform traces


DCD: dual peak due to non-ideal reference voltage
PJ: Saddle shape or Golden Gate suspension bridge
RJ: Bell shape or Gaussian
12

EE141
System-on-Chip
Test Architectures

Ch. 14 High-Speed I/O Interface - P. 12

Jitter Separation (a): PDF Based


DJ=L- R
RJ=(L+ R)/2
Keep adjusting , mean and
magnitude until tails obtain best
fit with the data

mean

mean

R
L






Tailfit is the industry de facto standard for separating DJ and RJ


Use RJ Gaussians to model the Tail distributions
Distance between left and right Gaussian means gives DJ pk-pk
Average of left and right Gaussian sigmas gives DJ sigma
13

EE141
System-on-Chip
Test Architectures

Ch. 14 High-Speed I/O Interface - P. 13

Jitter Separation (a): CDF Based (Contd)


CDFL (ts)

BERCDF (ts)

Integrated
Gaussians
/erfc(ts)

1UI-TJ

10-12
0






CDFR(ts)

1 (UI)

ts

Tailfit the CDFs


RJ model is an integrated Gaussian
RJ becomes linear in Q-space
Same basic concept, transformed data and model
14

EE141
System-on-Chip
Test Architectures

Ch. 14 High-Speed I/O Interface - P. 14

Jitter Separation (b): Spectrum Based


PJ

psd(f)

RJ

f




DDJ the estimated in time-domain via average first


PJ is the spikes in the spectrum
RJ the background of the spectrum
15

EE141
System-on-Chip
Test Architectures

Ch. 14 High-Speed I/O Interface - P. 15

Jitter, Noise, and BER in 2-Dimension




Both jitter and


noise can cause
BER
Eye and BER
contour are 2dimensional
No dot should be
in the compliance
zone to pass

BER (10-12) Compliance Zone

Noise PDFs

Jitter PDFs

16
EE141
System-on-Chip
Test Architectures

Ch. 14 High-Speed I/O Interface - P. 16

II. Testing of I/O Interfaces

17
EE141
System-on-Chip
Test Architectures

Ch. 14 High-Speed I/O Interface - P. 17

Testing Global Clock (GC) I/O





Test with an ATE


Data and clock are
generated and by
the tester (level,
pattern, and timing)
Setup and hold time
is controlled by the
tester
Data output is
strobed by the
tester

18
EE141
System-on-Chip
Test Architectures

Ch. 14 High-Speed I/O Interface - P. 18

Testing Source Synchronous (SS) I/O




It is a difficult task to
test SS I/O DUT with
a deterministic ATE
that cannot use an
external DUT clock or
strobe
Strobe may be
generated by tester
via a linear search
that can be time
consuming
Strobe timing margin
is reduced by the
tester accuracy/jitter

19
EE141
System-on-Chip
Test Architectures

Ch. 14 High-Speed I/O Interface - P. 19

Testing Embedded Clock (EC) I/O (a): Tx


Jitter
PDF
Data Input
Eye-diagram,
Jitter PDF,
BER CDF, and
Measurement
System





+
_

Zero
Level

CR/PLL
UI-TJ
-12

10

BER
CDF

Tx needs to be tested with a compliance clock recovery defining a jitter


transfer function (JTF)
Eye-diagram, jitter PDF, BER CDF manifests JNB test
TJ is the eye-closure at a BER level (e.g., 10-12)

20
EE141
System-on-Chip
Test Architectures

Ch. 14 High-Speed I/O Interface - P. 20

Testing Embedded Clock (EC) I/O (a): Tx (Contd)


10-12 BER zone

Jitter PDFs





JNB within the context of an eye-diagram (2-dimensional)


TJ and TN defines the compliance zone
No data sample should fall within the compliance zone (e.g., BER <= 10-12)

21
EE141
System-on-Chip
Test Architectures

Ch. 14 High-Speed I/O Interface - P. 21

Testing Embedded Clock (EC) I/O (b): Channel

Tx

Rx

Channel

Mag

|Hch(s)|
f
fbw





Lossy channel is a low-pass filter


Digital square input waveform becomes slow edge round waveforms due to
the loss of high-frequency contents
Data-dependent jitter (DDJ) and Data-dependent noise (DDN) manifest lossy
and intersymbol interference effects

22
EE141
System-on-Chip
Test Architectures

Ch. 14 High-Speed I/O Interface - P. 22

Testing Embedded Clock (EC) I/O (b): Channel (Contd)


0

S21 Mag(dB)

Passing Channel

Compliance |S21| curve

Failing channel





Channel compliance test may be done in terms of S-parameter S21


An compliance |S21| curve sets the upper limit for the test
This method suffers from phase coverage skipping

23
EE141
System-on-Chip
Test Architectures

Ch. 14 High-Speed I/O Interface - P. 23

Testing Embedded Clock (EC) I/O (c): Rx


10-12 BER

Jitter tolerance
threshold

(dB)

Magnitude

Pass

Fail

fc






Frequency (f)

Rx clock recovery (CR) jitter tolerance/tracking test


The compliance jitter tolerance mask is derived from Rx CR JTF
The mask sets the lower limit for pass/fail test
Be able to tolerant/track more lower frequency jitter is a key requirement for
Rx CR
24

EE141
System-on-Chip
Test Architectures

Ch. 14 High-Speed I/O Interface - P. 24

Testing Embedded Clock (EC) I/O (c): Rx (Contd)

Worst case eye

Ideal
eye

Jitter,
no ise

Rx

Worst case
eye opening





Worst case signaling is a Rx subsystem test


It covers Rx clock recovery, equalization, sensitivity, and internal jitter and
noise generation
Both focused and subsystem test are important, depending on the test goals
and needs
25

EE141
System-on-Chip
Test Architectures

Ch. 14 High-Speed I/O Interface - P. 25

Testing Embedded Clock (EC) I/O (c): Rx (Contd)


DN

DCD

PJ/SSC

Pat Gen
With
AM/PM
/FM
Mod

Ref Channel
/DDJ

Rx

RN





BER

RJ

Measure

BUJ
DUT

A generic Rx test functional block diagram


Capable of providing both focused, worst case signaling, and full coverage
Rx tolerance/stress test
Be able to emulate all jitter components and signal signatures with
controllability for magnitude and frequency band are critical
26

EE141
System-on-Chip
Test Architectures

Ch. 14 High-Speed I/O Interface - P. 26

Testing Embedded Clock (EC) I/O (d): Ref Clock


Magnitude (dB)

P eaking
0 dB

f1:

f2

F requency






Period or cycle-to-cycle jitter are not suitable metrics for reference clock in
the common clock architecture
Phase jitter after the reference clock JTF is called for
Reference clock JTF is a band-pass filter function
Reference clock JTF is determined by Tx PLL, Rx PLL, and transport delay
between them
27

EE141
System-on-Chip
Test Architectures

Ch. 14 High-Speed I/O Interface - P. 27

Testing Embedded Clock (EC) I/O (d): Ref Clock (Contd)





Phase jitter spectrum before and after the ref clock JTF is applied
Phase jitter spectrum after JTF is what the Rx sees and related to Rx BER
Spread spectrum clock (SSC) at ~ 33 KHz is significantly suppressed by the
ref clock JTF

28
EE141
System-on-Chip
Test Architectures

Ch. 14 High-Speed I/O Interface - P. 28

System-Level BER Estimation

Number of Samples





R1

R2

R3

R4

Region 3
Jitter
characteristics

Jitter (seconds)

Jitter (seconds)

Region 2
Jitter
characteristics

Number of Samples

BER can be estimated given the Rx input jitter spectrum and CR JTF
CR phase delay can cause the Rx BER to increase (e.g., region 2)
This method enables fast/high-through BER testing in production

29
EE141
System-on-Chip
Test Architectures

Ch. 14 High-Speed I/O Interface - P. 29

Tester Apparatus Considerations


Tetser Accuracy Requirements

Timing
Accuracy (ps)

RJ rms

DJ pk-pk

10
5
0
0

10

15

Dat Rate (Gb/s)







Front-end bandwidth (BW) needs to be high enough (e.g., 5th harmonic, 2.5X
the data rate)
DJ and RJ floor needs to be small enough to avoid margin loss due to the
tester jitter floor (~ps for DJ, and ~ sub-ps RJ at ~ 10 Gbps data rate)
Clock recovery emulation is critical for Tx testing
Tolerance and stressing is critical for Rx testing
Model-assisted method (e.g., Tailfit jitter and BER extrapolation method)
speeds-up the throughput of the tester
30

EE141
System-on-Chip
Test Architectures

Ch. 14 High-Speed I/O Interface - P. 30

III. DFT-Assisted Test

31
EE141
System-on-Chip
Test Architectures

Ch. 14 High-Speed I/O Interface - P. 31

AC I/O Loopback Self-Test


Dx
driver
latch

clock domain 1

clock domain 2

data
wire delay
strobes
wire delay

receiver
latch

Strobe

Similar circuit as the receiving end!


Testing hardware already exists!
system clock
-- test for both drive/receive
-- low overhead

Bus Clock
board trace delay

board trace delay

Loop time = Tco (or Tvb) + Tsetup OR Tva+Thold


32
EE141
System-on-Chip
Test Architectures

Ch. 14 High-Speed I/O Interface - P. 32

AC I/O Loopback Test Based Defects


bus group

Strobe
delay

A wider
spread of
data valid faulty buffer
time indicate
faults

good buffers distribution

stress to fail by
pushing
strobes to the data
edge
(driver or receiver)

buffer group
should have tight
distribution

wider distribution => local


defective buffers

33
EE141
System-on-Chip
Test Architectures

Ch. 14 High-Speed I/O Interface - P. 33

AC I/O Loopback Test Resources and Mechanisms

34
EE141
System-on-Chip
Test Architectures

Ch. 14 High-Speed I/O Interface - P. 34

High-Speed Serial-Link Loopback Testing (a): an


Under-Sampling Method




Use a reference clock close to the data frequency to strobe the data rather
than the recovered clock
Jitter due to the channel carried in the received data bit timing

35
EE141
System-on-Chip
Test Architectures

Ch. 14 High-Speed I/O Interface - P. 35

High-Speed Serial-Link Loopback Testing (b): Test


Setup




Use the SERDES resources


Pattern generation and data comparison/jitter analysis at the receiver can be
either on-chip or off-chip
36

EE141
System-on-Chip
Test Architectures

Ch. 14 High-Speed I/O Interface - P. 36

High-Speed Serial-Link Loopback Testing (c): Test


Equalizers
TX

MUX

TxP
FFE

XTalk
Canceller

TxN

RxN

Pattern
Generator

CDR
Clock

RxP
RX

Data

Slicer

Pattern
Verification
Data

DFE




DFT resources needed: digital pattern generator, 3 full-swing digital taps for
crosstalk canceller, and one shift-register chain
No access of DFE output for testing DFE
Suited for production test
37

EE141
System-on-Chip
Test Architectures

Ch. 14 High-Speed I/O Interface - P. 37

IV. System-Level Interconnect Testing

38
EE141
System-on-Chip
Test Architectures

Ch. 14 High-Speed I/O Interface - P. 38

Interconnect Testing with Boundary Scan

TCK
TMS

TAP

TDI
TDO

Chip 1
Chip 2

IEEE 1149.1 boundary-scan standard developed for testing board-level


manufacture defects
39

EE141
System-on-Chip
Test Architectures

Ch. 14 High-Speed I/O Interface - P. 39

Interconnect Testing with High-Speed Boundary Scan









IEEE 1149.1 boundary-scan standard has been extended


to IEEE 1149.6 for high-speed boundary-scan test.
IEEE 1149.6 supports AC-coupled differential signaling.
Digital driver logic and digital receiver logic along with the
analog test receiver are added to support the high-speed
differential signaling, under the control of the 1149.1 TAP
controller.
More information about 1149.6 can be found in Chapter 1.
However, its reliability for testing Gbps I/O interfaces
remains to be a problem for IEEE 1149.6.

40
EE141
System-on-Chip
Test Architectures

Ch. 14 High-Speed I/O Interface - P. 40

Interconnect Built-In Self-Test


Component A
To core

Component B
RX

From core

TX

Pattern
Generator

Error
Control

Control
Pattern
Generator

From core





Error
TX

RX

To core

Built-in reference and programmable Tx and Rx


Use the reference Tx to test Rx DUT, or use the reference Rx to test Tx DUT
Various pattern generation support is a key for system-level test

41
EE141
System-on-Chip
Test Architectures

Ch. 14 High-Speed I/O Interface - P. 41

V. Future Challenges

42
EE141
System-on-Chip
Test Architectures

Ch. 14 High-Speed I/O Interface - P. 42

Future Challenges










Data rate keeps increasing


Link jitter margin gets smaller, device components and tester have to be
more accurate
Eye-will be closed at the Rx input, reference Tx and Rx will be mandatory for
testing
Advanced signaling/equalizations (Tx, Rx, continuous, discrete, linear,
adaptive)
More complex link system, Tx and Rx subsystems means more complex test
requirements
Femto second (fs) accuracy is coming for 10 Gbps and higher
Test solution should be optimized for accuracy, throughput, parallelism, fault
coverage, and cost requirements (somewhat conflicting), for both on-chip
DFT/BIST and off-chip ATE/instruments
More analog DFT/BIST, adaptive design and test with low power
Insuring JNB test quality from design characterization to high-volume
production with high-confidence and low cost
43

EE141
System-on-Chip
Test Architectures

Ch. 14 High-Speed I/O Interface - P. 43

Concluding Remarks


Three leading I/O architectures:


Global clock (GC), source synchronous (SS), and embedded

Link architecture determines the relevant test parameters and methods.


Key parameters include:
Data valid to clock/strobe, setup/hold times for GC and SS; jitter, noise, and
BER (JNB) for embedded
Clock recovery and equalization must be included in test

DFE-assisted test methods:


Largely rely on loopback: AC loopback, under-sampling loopback, and
equalizer testing

System-level test methods:


Boundary scan for testing manufacturing defects
BIST for testing Tx and Rx, and link system

Future challenges:
Higher data rate, smaller jitter margin, higher channel counter, better
accuracy
More complex test requirements and platform, more DFT/BIST to address
cost and avoid tester-DUT interface bandwidth bottleneck
44

EE141
System-on-Chip
Test Architectures

Ch. 14 High-Speed I/O Interface - P. 44

S-ar putea să vă placă și