Sunteți pe pagina 1din 6

Diseo de un nodo con capacidad plu&play

Gallina, Sergio Hilario (Author)

Ferraro Matias (Author)

Departamento Electronica
Facultad de Tecnologiay Ciencias Aplicadas-UNCa
Catamarca
sgallina@tecno.unca.edu.ar

Estudiante de Ingenieria Electronica


Facultad de Tecnologiay Ciencias Aplicadas-UNCa
matiasferraro@yahoo.com

Arjona, Lucas (Author)

Beltramini, Paola (Author)

Estudiante de Ingenieria Electronica


Facultad de Tecnologiay Ciencias Aplicadas-UNCa
Lucas_arjona_88@hotmail.com

Departamento Electronica
Facultad de Tecnologiay Ciencias Aplicadas-UNCa
pbeltramini@tecno.unca.edu.ar

Lobo, Diego (Author)

Villagran, Daniel (Author)

Estudiante de Ingenieria Electronica


Facultad de Tecnologiay Ciencias Aplicadas-UNCa

Departamento Electronica
Facultad de Tecnologiay Ciencias Aplicadas-UNCa
dvillagran@tecno.unca.edu.ar

Abstract Para transferir datos de control a todos los


componentes de una red ubicua, se requiere un sistema que elimine
los problemas de los dispositivos aislados, asegurando que todos
los componentes se comuniquen a travs de un lenguaje comn.
Para ello se plantea el desarrollo de un nodo estandarizado con
capacidad para interactuar con otros nodos y para controlar uno o
ms dispositositivos a tiempo real. El desarrollo se ha basado en el
estndar IEEE 1451 y se han obtenido resultados alentadores
basados en el comportamiento del prototipo y la simulacin, donde
se han probado las funcionalidades bsicas de un nodo y su
interaccion con otros nodos de la red.
Keywords Nodo Plug&Play; NCAP; STIM; Transductor
Inteligente

I.

Cada TIM define una Hoja de Datos Electrnica del


Transductor (TEDS) y una interfaz de comunicacin para la
conexin con un NCAP. Las TEDS permiten la auto
identificacin de los transductores, lo que posibilita la
coneccion "plug&play". La interfaz de comunicacin
permite que el NCAP pueda acceder y controlar el TIM.
II.

Desde hace bastantes aos se desarrollan soluciones para la


integracin de sistemas y equipos, en la arquitectura de una
aplicacin de este tipo, se distinguen tres capas:
1.

INTRODUCCION

Las normas IEEE1451 definen un conjunto de interfaces de


comunicacin comunes para estandarizar la conectividad de
los transductores a microprocesadores, y las redes. Esto se
hace mediante la definicin de los diversos aspectos del
desarrollo de transductores inteligentes en red.
Debido a la diversidad del mercado de transductores y de las
aplicaciones donde estos se incluyen, se propone aqu el
desarrollo de un nodo estandarizado con caractersticas Plug
& Play que pueda ser aplicado a cualquier dispositivo para
lograr su integracin en una red y que adems responda a
los estndares de la familia IEEE 1451 [1], [2], [3]
Esta familia de normas est diseada para facilitar la
conectividad de los sensores y actuadores a un dispositivo o
red. Los transductores se conectan con un mdulo de
interfaz de transductor (TIM) que se indica inteligente, ya
que proporciona funciones como la auto-identificacin de
los sensores. El TIM est controlado por un procesador de
red de aplicacin capaz (NCAP) en un entorno
independiente de la red. Dependiendo de los TIMs, uno o
varios se pueden conectar a una sola NCAP.

DEFINIENDO EL PROBLEMA

2.

3.

Capa de dispositivos hardware: En esta capa se


encuentran los sensores que permiten recoger
informacin, y los actuadores que permiten interactuar
con el entorno.
Capa correspondiente a la plataforma software: Esta
capa a su vez se divide en:
o Interfaces de acceso a los dispositivos: esta capa
integra la informacin proveniente de los
sensores proveyendo de interfaz nica a los
servicios.
o Mdulos de servicios: esta capa integra mdulos
con funcionalidades desarrolladas por cada una
de las empresas en sus reas de conocimiento.
o Capa de coordinacin y composicin de
servicios: esta capa es la que permite que se
desarrollen servicios autnomos coordinando los
diferentes mdulos anteriores.
o Programacin: la plataforma es accesible
mediante una API de alto nivel que facilita la
interaccin y programacin de la misma.
Capa de entorno de aplicacin: en esta capa se
encuentran todos los posibles escenarios de aplicacin.

Se considera necesario avanzar en el desarrollo de sistemas


convergentes que permitan adoptar soluciones fciles y

tiles tendientes a la interaccin entre diferentes


dispositivos.
A lo largo de estos ltimos aos han existido propuestas
para la integracin de dispositivos heterogneos en redes
ubicuas. Estas propuestas van desde simples protocolos de
comunicacin e integracin de dispositivos a plataformas
ms elaboradas que no solamente posibilitan la
comunicacin entre las diferentes aplicaciones del entorno,
sino que adems aaden facilidades para su desarrollo y
gestin.
En base a todo lo expuesto consideramos necesario el
desarrollo de nodos inteligentes que incorporen las tres
capas mencionadas en forma transparente para los usuarios,
que puedan actuar en forma cooperativa y a costos
accesibles.
III.

REQUERIMIENTOS

Con el fin de disear un nodo de red que cumpla con


IEEE1451, se deben verificar una serie de requisitos, estos
requisitos se pueden dividir en cuatro sub-secciones,
requisitos del sistema para el comportamiento especfico de
la aplicacin, los requisitos NCAP para la funcionalidad de
alto nivel y los requisitos de TIM para la funcionalidad de
nivel superior y la estructura de un transductor inteligente
capaz de manejar un dispositivo de tiempo real.
El requisito de diseo comn entre los objetos del sistema es
que se plantee en un entorno de red y transductor
independiente. El diseo se centrar en el estndar
IEEE1451.1 para la funcionalidad de la NCAP, y la 1451.2
para el funcionamiento de la STIM.
3.1 REQUISITOS DEL SISTEMA
Los requisitos del sistema son funcionales y no funcionales.
Las normas no especifican los requisitos no funcionales, ya
que son especficos de la aplicacin y puesta en prctica.
Los requisitos funcionales abarcan el comportamiento del
sistema segn lo declarado por la familia IEEE 1451. El
requisito principal es que el diseo debe ser independiente
de la red y el transductor. Esto significa que, a nivel de
aplicacin, las conexiones fsicas en el sistema se vuelven
transparentes para los usuarios.
Esta independencia de la red y del transductor se consigue
mediante una implementacin por bloques; estos bloques
estarn diseados e implementados como se muestra en la
Figura 1.

Figura 1: Estructura de Diseo de Referencia

El hardware de red y las funciones de red se definen en la


norma como especfico de la implementacin, por lo que su
funcionalidad no est especificada en el estndar, y depende
de la aplicacin. Sin embargo, estos bloques, debern
interactuar y cumplir con las especificaciones elctricas de
la red subyacente. Los bloques restantes se describen en la
norma y se disearn siguiendo sus especificaciones.
3.2 NETWORK CAPABLE APPLICATION PROCESSOR
(NCAP)
El NCAP consta de hardware y bloques de software
compatibles con el estndar IEEE 1451.1. El NCAP se
divide en tres capas, red, aplicacin y conexin con los
transductores. Para la capa de red, el hardware consistir en
la lgica especfica de la red que se necesita para la red
subyacente. La capa de aplicacin consistir nicamente de
bloques de software. Estos bloques se compondr de los
bloques estndar definido para la aplicacin, as como el
cdigo especfico de la aplicacin. Para la capa de conexin
con los transductores, el hardware consistir en la Interfaz
Independiente del Transductor (TII) definida en la IEEE
1451.2.
Es importante sealar, que el software para algunos bloques
estan definidos por el estndar, mientras que otros son
especficos de la implementacin. Sin embargo, estos
objetos se comunican entre s utilizando la orientacin de la
norma 1451.1.
Los tres grandes bloques trabajarn conjuntamente para
comunicarse con el "mundo real".
3.2.1 Capa de Red
La capa tiene como funcin el manejo de las
comunicaciones por la red. El hardware consiste en los
bloques lgicos necesarios para la implementacin del
protocolo Internet cero (I0) sobre la misma linea de
alimentacin elctrica de los nodos. Las funciones de red,
ser la biblioteca que tendr a su cargo la codificacin y
decodificacin de datos hacia/desde el formato en el cable
de la red.
Las comunicaciones se efectuarn utilizando los dos
modelos de comunicacin que se definen en la norma:
cliente-servidor y editor-suscriptor. El primero de ellos se
utiliza exclusivamente para la autoidentificacion del nodo en
la red y el segundo para la operacin normal del nodo.
3.2.2 Capa de aplicacin
El comportamiento especfico de la aplicacin de la NCAP
se define dentro de esta capa.
El comportamiento de la aplicacin no se ver afectado por
las diferentes conexiones fsicas que se pueden realizar entre
el NCAP/red y NCAP/TIM. La capa de aplicacin tambin
actuar como un puente entre las operaciones de la red y las
operaciones de transductores. Se deber tener un cdigo
especfico que es pertinente para el control particular o
sistema de seguimiento que se implementa.
3.2.3 Capa de Transductor
La capa transductor contiene tanto hardware como mdulos
de software que manejan las comunicaciones del STIM con

el NCAP. El bloque de hardware ser responsable de la


conexin fsica NCAP/STIM. Este bloque deber cumplir
las especificaciones elctricas del estndar IEEE1451.2.
El software ser responsable de las interacciones con
cualquier transductor que est conectado fsicamente a la
STIM. Esto se har a travs de las funciones de
entrada/salida. Por lo tanto, este bloque ser responsable de
decodificacin y codificacin de la informacin que se
enva y recibe por la comunicacin NCAP/TIM.
Todos los comandos que son compatibles con el TIM
estarn representados en esta capa. Una orden comn que se
representar en la capa transductor es el comando de
disparo. Este comando permite al NCAP leer/ajustar los
transductores que estn conectadas fsicamente al sistema.
3.3 MODULO INTERFAZ DEL TRANSDUCTOR (TIM)
El TIM contiene una combinacin de hardware y software
que
mantienen la funcionalidad de un transductor
inteligente. Para que el TIM se considere "inteligente",
deber tener la capacidad de auto-identificacin ante el
NCAP, generar interrupciones y poseer las hojas
electrnicas de datos o TEDS. Otra funcionalidad que tendr
el TIM ser la capacidad de comunicarse con un NCAP,
manejar la activacin, generar interrupciones, y la interfaz
con los transductores fsicos.
El TIM se inicializa (por el NCAP o solo) despus de que
est encendido, y entrar en el estado operativo hasta que se
reinicie el NCAP o se apague. No requiere fuente de
alimentacin, esta es suministrada por el NCAP.
3.3.1 Hoja Electronica de Datos (TEDS)
Las TEDS estn en el ncleo de la funcionalidad del TIM,
ya que proporciona la funcionalidad de "plug and play"
mediante la implementacin de la funcin de autoidentificacin de los transductores. Este bloque puede ser
generado en tiempo de fabricacin o de forma remota a
travs de un NCAP.
Los TEDS residen en la memoria no voltil y describen
completamente el TIM mediante la Meta-TEDS que
describe el TIM en su totalidad. La informacin que se
representa en la Meta-TEDS incluye la cantidad de
transductores que se implementan, la velocidad de muestreo
mxima y mnima del sistema, y una suma de comprobacin
de integridad de datos.
Habr tambin un bloque etiquetado de TEDS del canal, que
describe cada transductor conectado al TIM. La informacin
de canal TEDS deber incluir el tipo de transductor, la
unidad fsica del transductor, el modelo de datos, la
informacin de tiempo (tiempo de actualizacin, escritura,
de preparacin, periodo de muestreo) y una suma de
comprobacin de integridad de datos.
Otros bloques TEDS opcionales, no implementados en este
trabajo tienen informacin tal como la calibracin del
transductor.
3.3.2 Registro de Estado
El STIM y los transductores inteligentes, disponen de
registros de estado estndar y auxiliar, los registros
implementados en esta aplicacin son los registros estndar

del TIM y los registros estndar de cada canal. A ellos se


accede mediante la ejecucin de comandos: leer el estado
del canal estndar para el canal en cuestin o el comando
leer el estado global estndar para el TIM.
El estado devuelto ser de 2 bytes y el significado de cada
bit se muestra en la Tabla 1. Los bits marcados como OR
implementan una funcin lgica OR de los bits respectivos
de cada canal
TABLA 1: BIT DE LOS REGISTROS DE ESTADO ESTNDAR PARA
EL STIM (GLOBAL) Y PARA LOS CANALES TRANSDUCTORES
bit
msb
14
13
12
11
10
9
8
7
6
5
4
3
2
1
lsb

STIM (Global)
Libre
Libre
Libre
Libre
Reservado
Reservado
Reservado
STIM operativo
STIM bit de error (OR)
Dato/Evento en el canal (OR)
Dato / Evento perdido (OR)
Registro de estado auxiliar (OR)
STIM comando invalido
STIM existi un reset
STIM reconocimiento de disparo
Solicitud de servicio

IV.

Canal Transductor
Libre
Libre
Libre
Libre
Reservado
Reservado
Reservado
Canal operativo
Error de hardware del canal
Dato/Evento en el canal
Dato / Evento perdido
Registro de estado auxiliar
Reservado
Reset del canal
Reconocimiento de disparo
Solicitud de servicio

DISEO DEL NODO

El diseo del nodo se realiza totalmente modular, mediante


una combinacin de hardware y software.
Comenzamos el diseo mediante la adopcin del protocolo
internet 0 sobre la lnea de alimentacin de los nodos como
la capa de red a utilizar para la interconexin de los nodos.
En segundo lugar, para la comunicacin NCAP/STIM se
adopto el modelo de interface propuesto por la IEEE 1451.2
consistente en una conexin serial basada en 10 hilos o
seales. Y el protocolo I2C para conexin de los diferentes
sensores que pueden incorporarse al nodo. El modelo
adoptado se muestra en la figura 2

Figura 2: Diagrama de bloques del nodo con transductores

De esta forma un nodo se conecta a una nica STIM y a


travs de esta, a un mximo de 255 transductores. Este
limite teorico es muy superior a las necesidades de una
instalacin domotica.

4.1 NCAP
Como ya se explico anteriormente, este modulo consta de
tres capas, la primera de ellas, la capa de red, implemena el
protocolo internet cero sobre la lnea de alimentacin del
nodo, la figura 3 muestra el hardward para generar y
detectar pulsos en la red.

Figura 3: Hardware de red

En la capa de aplicacin, nos encontramos con el software


de control del NCAP y de la propia aplicacin del nodo, la
primera responde al estndar IEEE 1451.1 y la segunda a la
funcin del nodo dentro de una aplicacin domotica.
Los bloques de software estn diseados en C, que no es un
lenguaje de programacin orientado a objetos. Esto presenta
algunos problemas en el diseo de la jerarqua de clases y
relaciones de objeto propietario. Para resolver este
problema, los objetos estn diseados como estructuras y la
jerarqua de clase est diseada mediante la definicin de
una sper clase que acta como padre.
A continuacin, en la tabla 2 se describen los objetos de
software del NCAP que son responsables por las
comunicaciones de red utilizando el modelo cliente/servidor
(para la configuracin-CP) o el modelo editor/suscriptor
(Servicios en tiempo real-TR).
TABLA 2: PRINCIPALES FUNCIONES DEL SISTEMA.
Funcin

Interfaz

Solicita_Inclusion()

CP

configura ()

CP

Reconfigura ()

CP

Detener ()

CP

Iniciar ()

CP

Test_Transductor ()

CP

Transmite ()

TR

Diagnstico ()

TR

Definicin
Nodo esclavo solicita su
inclusin en la red
Nodo maestro enva parmetros
de configuracin a un nuevo
nodo
Nodo maestro enva una nueva
configuracin de parmetros
para un nodo.
Nodo maestro saca de operacin
a un esclavo nodo.
Nodo maestro pone operativo a
un esclavo nodo.
Nodo maestro verifica si un
nodos esta activo.
Nodo enva un paquete de datos
a la red.
Diagnstico de un sensor
inteligente.

4.1.1 Comunicacin modelo Cliente / Servidor


El modelo cliente-servidor permite la comunicacin entre
los grupos de nodos clientes y un nodo servidor. Este
enfoque es muy eficiente cuando los datos se encuentran en
un servidor central. Sin embargo, este modelo es
considerado ineficaz cuando los datos son producidos por

muchos nodos y son consumidos por muchos nodos, propios


de entornos distribuidos [4].
En nuestro caso se utiliza este mecanismo solo para la
autenticacin de un nuevo nodo en la red. En la figura 4 se
muestra el diagrama de secuencias de este proceso

Figura 4: Diagrama de secuencias de u proceso de autenticacin de un


nuevo nodo en la red

4.1.2 Comunicacion modelo Editor / Suscriptor


El modelo de intercambio de datos editor-suscriptor,
permite el intercambio de la mensajera sncrona y
asncrona (eventos) entre dispositivos. Este modelo ofrece
un alto grado de desacoplamiento entre los nodos, ya que
cada nodo de la red no tiene la necesidad de conocer la
existencia de otros nodos.
El editor-suscriptor define dos tipos de entidades: los
editores y suscriptores. Los primeros (editores) son
responsables del envo de mensajes (comienza el
comunicacin). Los suscriptores (usuarios registrados) son
los nodos que consumen estos mensajes.
El mensaje se transmite (broadcast) a travs de la red. A
recibir un mensaje nuevo, los suscriptores revisan el tipo y
analizan si es parte de lista de temas de inters. Si el nodo
est interesado en el mensaje, se procesa. De lo contrario,
simplemente se descarta.
En el modelo editor / suscriptor en tiempo real se definen
los siguientes parmetros para los mensajes de publicacin
[5]:
Tema: nombre que identifica de forma nica una
publicacin especfica;
Tipo: nombre que identifica de forma exclusiva el formato
de datos de una publicacin especfica;
Prioridad: le permite establecer el peso relativo de los
mensajes del mismo tema con el fin de arbitraje que tiene
mayor prioridad en el envio;
Persistencia: especifica el tiempo de validez de una
publicacin.
Separacin mnima entre las publicaciones consecutivas:
ninguna publicacin nueva de un mismo tipo se acepta
hasta que un tiempo mnimo haya transcurrido;
Plazo (deadline): El plazo mximo entre la recepcin de dos
publicaciones consecutivas mismo tipo.
4.2 STIM
Para satisfacer las funciones indicadas, se ha implementado
una estructura simple que se muestra en la figura 5, las
TEDS, el registro de estado y la mascara de interrupciones,
se han implementado con una memoria EEPROM externa,

los dems componentes de la TIM se implementaron en un


microcontrolado PIC.

La tabla 3
resume la lista de comandos bsicos
implementados.
TABLA 3: COMANDOS DE TIM
Direccion Funcional
0
1
3
5
128
130
160
161

Figura 5: Estructura general TIM

Uno de los aspectos clave de esta arquitectura es que va a


permitir un nmero variable de transductores. Por lo tanto,
la configuracin particular a los diferentes artefactos
hogareos se puede realizar con un mnimo esfuerzo de
programacion.
4.2.1 Hoja electronica de datos (TEDS)
En el STIM se implementa la META-TEDS. La estructura
de esta TED ser fundamentada en el estndar IEEE 1451.2.
La direccin funcional 160 aplicada al canal global
(direccin cero) dara acceso a estos datos. Un acceso a esta
estructura pondr a disposicin de otros nodos toda la
informacin necesaria para el acceso a cualquier canal,
adems de la informacin comn a todos los canales.
Por razones de extensin del trabajo no se detalla la
esturctura de esta TEDS pero es importante mencionar que
en ella se debe especificar el campo UUID o Identificador
nico universal. El UUID debe garantizarse como nico,
ser de 10 bytes de longitud y constar de cuatro
subcampos: ubicacin, fabricante, ao, y tiempo
Ademas de esta TED el STIM se ha diseado para
almacenar una copia de las TEDS de los canales a l
conectados, esta copia se actualiza en el momento del
reconocimiento de un canal que se conecta al STIM. De esta
forma se reducen las transacciones entre STIM y Canal
4.2.2 NCAP/STIM comunicacion
Una direccin completa ser de 2 bytes de largo y
estructurado de la siguiente forma, desde el bit 0 al bit 7
tendremos el nmero del canal al cual dirigimos el mensaje,
si esta direccin es cero el mensaje se dirige al STIM y se
considera comando del tipo global ya que afecta a todos los
canales. Desde el bit 0 al bit 15 tenemos la direccin
funcional o comando, aqu el bit 15 se utiliza para
identificar si el comando es de lectura bit15 = 1 o de
escritura 0.
Las direcciones funcionales y del canal canal, son
direcciones lgicas. El mapeo de direcciones funcionales o
canal, a las direcciones fsicas se realiza dentro de la STIM
y forma parte del software de base de la aplicacion.
La direccin funcional est subordinada a la direccin del
canal, pero se transmite primero para facilitar la
implementacin.
A cada transductor en un STIM se le asignar un nmero de
canal. Un STIM puede tener hasta 255 canales.

Comando
Escribir datos en los transductores
Escribir comnado de control
Escribir un disparo a un canal
Escribir la mascara de interrupciones
Leer datos de transductores
Leer el registro de estado
Leer TEDS
Leer TEDS de identificacin (solo para canales)

4.2.3 Registro de estado e Interrupciones


En la tabla 1 ya se describieron los bits del registro de
estado del STIM y el canal del transductor, hay cinco bits
de estado implementados en el STIM. Estos bits son: STIM
Operativo, Reconocimiento de disparo, Comando Invalido,
Peticin servicio Global, Canal auxiliar disponible.
Como ya se menciono no se han implementado los registros
de estado auxiliares y tampoco se ha hecho uso de los bits
de libre disponibilidad, los cuales se espera utilizar al
completarse el diseo de una aplicacin especifica del nodo.
Las interrupciones se generan por una combinacin del
registro de estado y la mascara de interrupciones. Con este
esquema el bit de interrupciones del protocolo TII es un OR
lgico de todos las operaciones AND en la combinacin
mscara de interrupciones /estado, as que cuando este bit se
pone en 1 se genera una interrupcin al NCAP.
De esta forma una interrupcin puede tener 16 diferente
origen, el NCAP deber poseer un controlador de
interrupciones con el fin de procesar las peticiones.
Los registros de estado, las mascaras de interrupciones y las
TEDS se implementan en la memoria EEPROM del STIM
4.3 TRANSDUCTOR INTELIGENTE
En la figura 6 Se muestra la placa de un sensor inteligente
desarrollado en el marco del proyecto para sensar
temperatura y humedad ambiente, a excepcin del
acondicionador de seal y del oscilador el resto de los
bloques se ha desarrollado sobre un microcontrolador PIC.

Figura 6: Placa del transductor inteligente

4.3.1 Registro de estado


Ya mencionamos en la tabla 1 los bits del registro de estado
estndar de los canales transductores. El estado del
transductor est representado de tal manera que es accesible
mediante los comandos correspondientes. Para los canales
individuales, los bits de estado implementados en el

prototipo, son: Reconocimieto de disparo, Canal Operativo,


Peticion de servicio del canal y Canal auxiliar disponible.

una suma de comprobacin de integridad de datos para cada


bloque de datos.

4.3.2 Las TEDS del canal transductor


Las TEDS del sensor fueron implementadas en la memoria
EEPROM del MCU y contiene todas las particularidades del
canal y su estructura responde al estndar IEEE 1451.0
Para el sensor se implementaron dos TEDS, la Transducer
Channel TEDS y la Users Channel Name TEDS (TEDS
Nombre del Canal)

4.3.3 Funcionamiento
El transductor podr estar en estado operativo o no
operativo, esta situacin se establece segn el estado del bit
canal operativo en el registro de estado. En estado no
operativo el transductor no realiza ninguna accin y espera
el comando de desbloqueo.
El transductor en situacin operativa podr encontrarse ne
uno de tres estados estables, a) En el estado de
inicializando, se limpia el buffer de datos y se actualiza el
registro de estado. La inicializacin puede provenir por el
encendido (Power-On) o por la llegada de un comando
Reset desde el exterior; b) En el estado libre el transductor
ya se ha identificado y se encuentra tomando muestras en
forma libre, se ha previsto un buffer que almacene hasta un
mximo de 10 muestras. c) Al estado activo se ingresa por
la llegada de un comando seguido de una seal de disparo,
si el comando recibido es vlido se ejecuta y se establece la
seal de reconocimiento de disparo, si el disparo es invalido
se establece el bit de datos/evento perdido.

4.3.2.1 Transducer Channel TEDS


Es una TED obligatoria para el estndar y aporta
informacin detallada sobre el transductor.
TABLA 4: TEDS DEL CANAL SENSOR DE HUMEDAD
Campo:
LENGTH
TEDSID
CALKEY
CHANTYPE
PHYUNITS
LOWLIMIT
HILIMIT
OERROR
SELFTEST
SAMPLE
UPDATET
RSETUPT
SPERIOD
WARMUPT
RDELAYT
SAMPLING
CHECKSUM

Descripcin
Largo, incluye el checksum
Identificacin
Capacidad de calibracin
Tipo de canal (0,sensor)
Nombre de la unidad fsica a
medir.
Lmite inferior (10%)
Lmite superior (100%)
Incertidumbre tpica (2%)
Auto test (sin implementar)
Modelo de datos de la seal
muestreada: 10 bits
Tasa de actualizacin (10
muestras/seg. - 100 ms)
Tiempo de preparacin (25 s)
Tiempo que demora el sensor
(100ms)
Demora en estabilizarse (5 S)
Tiempo entre 2 comandos de
lectura (100 mSeg)
Atributos del muestreo (libre)
Suma de comprobacin

Valor
00-00-00-5E
03-04-00-03-01-01
0A-01-01
OB-01-00
0C-09-32-01-01-3501-7A-36-01-7A
0D-04-41-20-00-00
0E-04-42-C8-00-00
0F-04-40-00-00-00
10-01-00
12-09-28-01-00-2901-02-2A-01-0A
14-04-3D-CC-CCCD
16-04-37-D1-B7-17
17-04-3D-CC-CCCD
18-04-40-A0-00-00
14-04-3D-CC-CCCD
1F-03-30-01-02
F0-72

Esta TEDS se mape en la memoria EEPROM interna del


microcontrolador ocupando las direcciones de 0 a 98. Ocupa
99 Bytes de memoria en total.
4.3.2.2 User`s Transducer Name TEDS
Es una TED obligatoria para el estndar y almacena el
nombre por el cual se reconocer al sensor en una aplicacin
hacia el usuario.
TABLA 5: TEDS NOMBRE DEL CANAL SENSOR DE HUMEDAD
Campo
LENGTH
TEDSID
FORMAT
TCName

Descripcin
Largo, incluye el checksum
Identificacin
Define el formato de campo datos
Nombre del sensor SHH-1 v1.0

CHECKSUM

Suma de comprobacin

Valor
00-00-00-16
03-04-00-0C-01-01
04-01-00
53-48-48-2D-31-2076-31-2E-30
FC-C5

Esta TEDS se grab en la memoria EEPROM interna del


microcontrolador a continuacin de la TEDS anterior y
ocupa las direcciones de 99 a 125. Ocupa 26 Bytes de
memoria en total.
Como se puede observar, la informacin de las TEDS
incluye el tipo de transductor, las unidades fsicas del
transductor, el modelo de datos, la informacin de tiempo
como el tiempo de actualizacin, periodo de muestreo, etc y

V.

CONCLUSIONES

Se presento el desarrollo de una arquitectura de nodo


autnomo para en control de transductores inteligentes. La
arquitectura tiene caractersticas basadas en las normas
IEEE 1451.
Se utiliz el concepto de independencia de la infraestructura
de la citada norma y se definieron las interfaces de
comunicacin entre nodos basado en el protocolo Internet 0
y de sensores inteligentes con el STIM mediante I2C.
Con los resultados obtenidos a travs de los ensayos,
concluimos el proceso de estudio y comprensin de redes
ubicuas, donde cada nodo puede realizar su funcin en la
red e interactuar con otros nodos para contribuir a un
sistema mayor como podra ser una instalacin domotica o
un sistema de control de una produccin agro-industrial.
VI.

REFERENCIAS

[1]. IEEE 1451.0 Draft Standard for a Smart Transducer Interface for
Sensors and Actuators Common Functions, Communication
Protocols, and Transducer Electronic Data Sheet (TEDS) Formats
(January 2007)
[2]. IEEE 1451.1 Standard for a Smart Transducer Interface for Sensors
and Actuators-Network Capable Application Processor (NCAP)
Information Model, June 26, 1999
[3]. IEEE 1451.2 Standard for a Smart Transducer Interface for Sensors
and Actuators-Transducer to Microprocessor Communication
Protocols and Transducer Electronic Data Sheet (TEDS) Formats,
(September 26, 1997)
[4]. Ocera, Wp2 - architecture specification. deliverable d2.1 - architecture
and components integration.
URL: http://mnis.fr/en/support/doc/architecture/ (2002)
[5]. Dolejs, Ondrej, Petr Smolik & Zdenek Hanzalek, On the ethernet use
for realtime publish-subscribe based applications, em Proceedings.
2004 IEEE International Workshop on Factory Communication
Systems, pp. 3944. (2004)
[6]. Lopez, gustavo Eduardo, System-on-a-chip solution for plug and play
networked smart transducers. University of pittsburgh - school of
engineering, (2004)

S-ar putea să vă placă și