Sunteți pe pagina 1din 26

GUIA CODIFICADOR BCD a 7 SEGMENTOS EN VHDL

ELECTRONICA DIGITAL 2
HUGO ALBERTO GONZALEZ LOPEZ

CONCEPTOS VHDL
Cuando trabajamos diseo en VHDL en un kit de desarrollo, bsicamente lo
que estamos haciendo es crear un nuevo dispositivo que har parte de la
galera de smbolos esquemticos de la plataforma en la cual estamos
trabajando. Para esto debemos abordar 2 elementos conceptuales que son
la base del diseo, estos son la entidad y la arquitectura.
Entidad
La entidad del diseo lo constituye la mirada del dispositivo desde la
perspectiva de hardware reconociendo su estructura de entradas y salidas.
Entrada
s

DISPOSITI
VO

Salida
s

Para el caso especifico del codificador BCD a 7 display de segmentos, las


entradas corresponden a los cuatro bits del cdigo BCD, mientras que las
salidas corresponden a los 7 bits de cada uno de los segmentos del display,
pero adicionalmente se requieren 4 salidas para identificar cual de los 4
displays que posee la tarjeta se desea activar, por lo tanto para este
ejercicio se debern utilizar 11 salidas.
Arquitectura
La arquitectura por su parte pretende explicar que sucede al interior de la
caja del dispositivo.
PROCEDIMIENTO
Creacin del Proyecto Para Tarjetas Spartan 3 (Disponibles en el
laboratorio)
Para crear un nuevo proyecto se abre el programa y en la opcin FILE se da
click en NEW PROJECT

En la ventana que aparece se escribe el nombre del proyecto en PROJECT


NAME

Luego aparece la ventana DEVICE PROPERTIES

En la opcin FAMILY se selecciona SPARTAN 3

En la opcin DEVICE se selecciona XC3S200

En la opcin PACKAGE se selecciona FT256

En la opcin SPEED se selecciona -4

Se da click en NEXT

Se da click en NEXT

Se da click en FINISH

Creacin del Modulo VHDL


En el dispositivo se da click derecho y se escoge la opcin NEW SOURCE
para crear una fuente

En esta ventana se le da el nombre a la nueva fuente en FILE NAME y se


selecciona el tipo de fuente en el men de la izquierda en este caso para
programar en VHDL se escoge la opcin VHDL MODULE y se da click en
NEXT.

Se da click en NEXT

Se da click en FINISH

Aparece una ventana en donde escribiremos nuestro programa

Al terminar nuestro programa procederemos a crear el smbolo esquemtico

Creacin del Smbolo Esquemtico


En el men de la izquierda se abre el sub men de la opcin DESIGN
UTILITIES y se da doble click en la opcin CREATE SCHEMATIC SYMBOL.

Se da click en YES para guardar cambios

Si en la parte inferior aparece un mensaje diciendo que el proceso de


creacin del smbolo esquemtico ha sido satisfactorio procederemos a
buscar el smbolo.

Utilizacin del Smbolo Esquemtico en un Diseo Esquematico


En el dispositivo se da click derecho y se escoge la opcin NEW SOURCE
para crear una nueva fuente

Se le da el nombre a la nueva fuente en FILE NAME y se selecciona el tipo


de fuente en el men de la izquierda en este caso para crear circuitos
esquemticos se escoge la opcin SCHEMATIC y se da click en NEXT.

Se da click en FINISH

Procedemos a buscar el dispositivo a travs del nombre de la fuente en


VHDL, buscamos el dispositivo escribiendo su nombre en SYMBOL NAME
FILTER y lo seleccionamos de SYMBOLS llevndolo a la pantalla de
circuitos esquemticos, podemos utilizar las opciones de zoom para
visualizar mejor el dispositivo.

Referenciamos los pines de entrada con el botn ADD I/O MARKER

Se da doble click en los pines y aparecer una ventana para escribirles el


nombre, no se debe borrar lo que se encuentra en parntesis y se da click
en OK.

En el dispositivo debern aparecer los nombres y en parntesis el nmero


de pines que contiene.

Debemos buscar las fuentes para poder sintetizar y encontrar posibles


errores, para buscar las fuentes damos click en SOURCE y para desplegar el
men damos click en PROCESSES.

En la ventana de procesos damos doble click en SYNTHESIZE XST

Aparecer una ventana diciendo que si deseamos guardar los cambios le


damos click en YES.

Asignacin de Pines
Abrimos el sub men de la opcin USER CONSTRAINTS y damos doble
click en ASSIGN PACKAGE PINS

En la ventana que aparece, en la columna LOC se escriben los nombres de


los puertos de los dispositivos de la tarjeta que van a ser usados como
entradas y salidas.

Al terminar de asignar los pines, se guarda el archivo y aparecer una


ventana, seleccionamos la opcin XST DEFAULT <> y se da click en OK.

Programacin del FPGA


Conectamos el cable JTAG3 que viene con el kit.

Damos derecho click en la opcin GENERATE PROGRAMMING FILE y


seleccionamos la opcin PROPERTIES.

Damos click en la opcin STARTUP OPTIONS y en la ventana VALUE


seleccionamos
JTAG CLOCK y OK.

Damos doble click en la opcin GENERATE PROGRAMMING FILE y


cerramos la ventana que aparece en pantalla.

Abrimos el sub men de GENERATE PROGRAMMING FILE y damos doble


clic en
CONFIGURE DEVICE (IMPACT).

Aparecera una ventana, damos click en FINISH.

En la primera ventana seleccionamos el archivo en .BIT y damos click en


OPEN y cerramos la segunda ventana que aparece.

Damos click en un espacio antes del dispositivo y cuando se ponga de color


verde damos click derecho en l y seleccionamos la opcin PROGRAM

En la ventana que aparece damos click en OK.

ANEXOS CODIGO VHDL


Entidad

Arquitectura

S-ar putea să vă placă și