Sunteți pe pagina 1din 49

INSTITUTO TECNOLGICO

DE LEN.
INGENIERA MECATRNICA.
DEPARTAMENTO:
Metal-Mecnica
Electrnica digital.
Practica 1. Diseo e implementacin de un codificador BCD a 7 Segmentos.
Profesor:
M.C. Casillas Araiza Miguel ngel.
NOMBRE DEL ALUMNO:
Cuevas Ramrez Erick Eduardo.
Rodrguez Castro Uriel Fabricio.
Tovar Villegas Karla Joselyn.
Zaragoza Durn David Alejandro.
PERIODO:
Enero Junio 2016
Fecha de elaboracin: viernes 4 de Marzo del 2016.
Fecha de entrega: Mircoles 6 de Abril del 2016.
Grupo. 8034
Aula B-4

Calificacin.

Objetivo General.

Disear e implementar un codificador BCD a 7 Segmentos.


Objetivos Especficos.
1. Disear la tabla de verdad con las entradas BCD para todos los segmentos.
2. Disear la funcin booleana equivalente usando minitrminos y maxitrminos para cada segmento.
3. Realizar la simplificacin de las tablas de verdad usando Mapas de Karnaugh.
4. Realizar la simplificacin de las funciones booleanas equivalentes empleando lgebra de Boole.
Justificacin.
Un codificador de BCD a 7 segmentos es un codificador muy empleado para representar los dgitos decimales en un
display de 7 segmentos, donde cada segmento es pensado como un led (diodo). Es importante identificar existen
comercialmente displays de 7 segmentos de nodo comn y de ctodo comn.
Introduccin.
En la figura 1 se muestran los dos tipos de display de 7 segmentos y su representacin en base a leds.

Figura 1. Tipos de Display 7 Segmentos. Tomado de: https://www.google.com.mx/search?


q=bcd+7+segmentos&biw=1366&bih=611&tbm=isch&imgil=0aUM1u1vXI9tkM%253A%253BgnpCg9JJBw8UvM%253Bhttps%25253A%25252F
%25252Fsites.google.com%25252Fsite%25252Felectronicadigitalmegatec%25252Fho me%25252Fdeccoder-bcd-a-7segmentos&source=iu&pf=m&fir=0aUM1u1vXI9tkM%253A%252CgnpCg9JJBw8UvM%252C_&usg=__lBsq7AZnT4JXZ_caHD94Fzfuukc
%3D&ved=0ahUKEwi99KnN_rjLAhVMnoMKHSfPBx8QyjcIIw&ei=peniVv2NIsy8jgSnnp_4AQ#imgrc=0aUM1u1vXI9tkM%3A

A continuacin se muestra la tabla de verdad para encender cada uno de los segmentos correspondientes con el cdigo
BCD.

A
0
0
0
0
0
0
0
0
1
1
1
1
1
1
1
1

B
0
0
0
0
1
1
1
1
0
0
0
0
1
1
1
1

C
0
0
1
1
0
0
1
1
0
0
1
1
0
0
1
1

D
0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1

a
1
0
1
1
0
1
1
1
1
1
x
x
x
x
x
x

b
1
1
1
1
1
0
0
1
1
1
x
x
x
x
x
x

c
1
1
0
1
1
1
1
1
1
1
x
x
x
x
x
x

d
1
0
1
1
0
1
1
0
1
0
x
x
x
x
x
x

e
1
0
1
0
0
0
1
0
1
0
x
x
x
x
x
x

F
1
0
0
0
1
1
1
0
1
1
X
X
X
X
X
X

g
0
0
1
1
1
1
1
0
1
1
x
x
x
x
x
x

Tabla 1.1 Tabla de verdad para un codificador BCD a 7 segmentos. Elaboracin propia.

Donde en la tabla se observa


0 Bajo Lgico.
1 Alto Lgico.
X No importa si es alto o bajo lgico.
Existen comercialmente codificadores BCD a 7 segmentos, en la figura 2 se observa la conexin de un codificador BCD a 7
segmentos (7447). Nota: el Circuito Integrado (C.I.) 7447 es de nodo comn.

Figura 2. Conexin de Codificador. Con un display de 7 segmentos de ctodo comn. Tomado de:
https://www.google.com.mx/search?q=bcd+7+segmentos&biw=1366&bih=611&tbm=isch&imgil=tcHebKekwFINWM%253A%253Bz
mETW31g0N9xhM%253Bhttp%25253A%25252F%25252Fwww.mescorza.com%25252Fautomatismos%25252Fmanteni%25252Fejer
%25252Flogica%25252Fejlog9_p.htm&source=iu&pf=m&fir=tcHebKekwFINWM%253A%252CzmETW31g0N9xhM%252C_&usg=_
_sfx1jOqQJcAYFQOVtrPxWyFQFAk%3D&dpr=1&ved=0ahUKEwi99KnN_rjLAhVMnoMKHSfPBx8QyjcIIw&ei=peniVv2NIsy8jgSn
np_4AQ#imgrc=tcHebKekwFINWM%3

En la figura 3 se muestra internamente el Circuito Integrado (C.I.) 7447.

Figura 3. Diagrama esquemtico interno del C.I. 7447. Tomado de:


https://www.google.com.mx/search?q=bcd+7+segmentos&biw=1366&bih=611&tbm=isch&imgil=Nnx78SLyewgVdM%253A%253Bgn
pCg9JJBw8UvM%253Bhttps%25253A%25252F%25252Fsites.google.com%25252Fsite%25252Felectronicadigitalmegatec%25252Fho
me%25252Fdeccoder-bcd-a-7segmentos&source=iu&pf=m&fir=Nnx78SLyewgVdM%253A%252CgnpCg9JJBw8UvM%252C_&usg=__M5NxKq_hR3ukl_a9SR0U
qhfbSDE%3D&dpr=1&ved=0ahUKEwi99KnN_rjLAhVMnoMKHSfPBx8QyjcIIw&ei=peniVv2NIsy8jgSnnp_4AQ#imgrc=Nnx78SLye
wgVdM%3

1. La elaboracin de la tabla de verdad con las entradas BCD, nos mostrara el valor de verdad de una proposicin
compuesta, para cada combinacin de valores de verdad que se pueda asignar a sus componentes. Le permitir
analizar funciones y hallar sus valores de verdad, determinar si su razonamiento es vlido o no.

La tabla 1.1 muestra la tabla de verdad para encender cada uno de los segmentos correspondientes con el
cdigo BCD.

A
0
0
0
0
0
0
0
0
1
1
1
1
1
1
1
1

B
0
0
0
0
1
1
1
1
0
0
0
0
1
1
1
1

C
0
0
1
1
0
0
1
1
0
0
1
1
0
0
1
1

D
0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1

a
1
0
1
1
0
1
1
1
1
1
x
x
x
x
x
x

b
1
1
1
1
1
0
0
1
1
1
x
x
x
x
x
x

c
1
1
0
1
1
1
1
1
1
1
x
x
x
x
x
x

d
1
0
1
1
0
1
1
0
1
0
x
x
x
x
x
x

e
1
0
1
0
0
0
1
0
1
0
x
x
x
x
x
x

F
1
0
0
0
1
1
1
0
1
1
X
X
X
X
X
X

g
0
0
1
1
1
1
1
0
1
1
x
x
x
x
x
x

Tabla 1.1 Tabla de verdad para un codificador BCD a 7 segmentos.

Se comprueban grficamente los segmentos encendidos en el display, este paso permitir comprobar su
razonamiento y corroborar lo antes descrito en nuestra tabla de verdad. Fig. 4 muestra las pruebas
realizadas, se realizaron con ayuda del software proteus 8, se observa un display de ctodo comn 7
segmentos. El razonamiento es vlido ya que se cumplen los segmentos con los valores de verdad
asignados en la tabla 1.1.

Fig.4 Display 7 segmentos, simulacin proteus 8. Muestra cada uno de los segmentos necesarios para representar los
dgitos decimales requeridos

2. Se disearan las funciones booleanas equivalentes para cada segmento utilizando los mtodos de Miniterminos y
Maxiterminos. La finalidad de este diseo obtener como ya se mencion las funciones booleanas y as podr
realizar el conteo del nmero de compuertas y/o elementos a utilizar en el circuito codificador, en los siguientes

pasos a realizar durante el desarrollo de la prctica usted podr comparar con cul de los mtodos (Miniterminos,
Maxiterminos, Mapas de Karnaugh, algebra de Boole) proporcionara la simplificacin ideal para el circuito que
cumpla con el razonamiento de
la tabla BCD.
A
0
0
0
0
0
0
0
0
1
1
1
1
1
1
1
1

B
0
0
0
0
1
1
1
1
0
0
0
0
1
1
1
1

C
0
0
1
1
0
0
1
1
0
0
1
1
0
0
1
1

D
0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1

a
1
0
1
1
0
1
1
1
1
1
x
x
x
x
x
x

A
0
0
0
0
0
0
0
A
0
0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1
1
1
1
1
1
1
1
1

B
0
0
0
0
1
1
1
B
1
0
0
0
0
1
1
1
1
0
0
0
0
1
1
1
1

C
0
0
1
1
0
0
1
C
1
0
0
1
1
0
0
1
1
0
0
1
1
0
0
1
1

D
0
1
0
1
0
1
0
D
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1

b
1
1
1
1
1
0
0
c
1
1
1
0
x
1
x
1
x
1
x
1
x
1
x
1
1
x
x
x
x
x
x

A
0
0
0
0
0
0
0
0
1
1
1
1
1
1
1
1

B
0
0
0
0
1
1
1
1
0
0
0
0
1
1
1
1

C
0
0
1
1
0
0
1
1
0
0
1
1
0
0
1
1

D
0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1

d
1
0
1
1
0
1
1
0
1
0
x
x
x
x
x
x

A
0
0
0
0

B
0
0
0
0

C
0
0
1
1

D
0
1
0
1

e
1
0
1
0

0
0
0
0
1
1
1
1
1
1
1
1

1
1
1
1
0
0
0
0
1
1
1
1

0
0
1
1
0
0
1
1
0
0
1
1

0
1
0
1
0
1
0
1
0
1
0
1

0
0
1
0
1
0
x
x
x
x
x
x

A
0
0
0
0
0
0
0
0
1
1
1
1
1
1
1
1

B
0
0
0
0
1
1
1
1
0
0
0
0
1
1
1
1

C
0
0
1
1
0
0
1
1
0
0
1
1
0
0
1
1

D
0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1

f
1
0
0
0
1
1
1
0
1
1
x
x
x
x
x
x

A
0
0
0
0
0

B
0
0
0
0
1

C
0
0
1
1
0

D
0
1
0
1
0

g
0
0
1
1
1

0
0
0
1
1
1
1
1
1
1
1

1
1
1
0
0
0
0
1
1
1
1

0
1
1
0
0
1
1
0
0
1
1

1
0
1
0
1
0
1
0
1
0
1

1
1
0
1
1
x
x
x
x
x
x

3. Como un siguiente paso realizara la simplificacin por mapas de karnaugh, minimizara las funciones algebraicas
booleanas, podr realizar una comparacin de funciones con las ya obtenidas por mtodo de maxiterminos y
miniterminos, as permitiendo elegir la simplificacin ideal para la implementacin del circuito lgico.
Podr observar 0s, 1s y x. Las equis (x) pueden tomar valores de 0s y 1s tomando el valor como mejor le
convenga en el mapa de karnaugh.
A
0
0
0
0
0
0
0
0
1
1
1
1
1
1
1
1

B
0
0
0
0
1
1
1
1
0
0
0
0
1
1
1
1

C
0
0
1
1
0
0
1
1
0
0
1
1
0
0
1
1

D
0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1

a
1
0
1
1
0
1
1
1
1
1
x
x
x
x
x
x

A
0
0
0
0
0
0
0
0

B
0
0
0
0
1
1
1
1

C
0
0
1
1
0
0
1
1

D
0
1
0
1
0
1
0
1

b
1
1
1
1
1
0
0
1

Segmento (a) Mapa de Karnaugh


correspondiente al segmento a, podr observar
que equis se tom como 1's en esta ocasin ya
que mientras ms valores tomados en el mapa
mejor es la simplificacin. Fuente: elaboracin
propia

1
1
1
1
1
1
1
1

0
0
0
0
1
1
1
1

0
0
1
1
0
0
1
1

0
1
0
1
0
1
0
1

1
1
x
x
x
x
x
x

A
0
0
0
0
0
0
0
0
1
1
1
1
1
1
1
1

B
0
0
0
0
1
1
1
1
0
0
0
0
1
1
1
1

C
0
0
1
1
0
0
1
1
0
0
1
1
0
0
1
1

D
0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1

c
1
1
0
1
1
1
1
1
1
1
x
x
x
x
x
x

Segmento (b) Mapa de Karnaugh correspondiente al


segmento b, podr observar que equis se tom como
0's en algunas ocasiones ya que el tomar ms valores
en esta ocasin no es la mejor es la simplificacin.
Fuente: elaboracin propia

Segmento (c) Mapa de Karnaugh correspondiente al


segmento c, podr observar que equis se tom como
1's en esta ocasin ya que mientras ms valores
tomados en el mapa mejor es la simplificacin.
Fuente: elaboracin propia

A
0
0
0
0
0
0
0
0
1

B
0
0
0
0
1
1
1
1
0

C
0
0
1
1
0
0
1
1
0

D
0
1
0
1
0
1
0
1
0

d
1
0
1
1
0
1
1
0
1

1
1
1
1
1
1
1

0
0
0
1
1
1
1

0
1
1
0
0
1
1

1
0
1
0
1
0
1

0
x
x
x
x
x
x

A
0
0
0
0
0
0
0
0
1
1
1
1
1
1
1
1

B
0
0
0
0
1
1
1
1
0
0
0
0
1
1
1
1

C
0
0
1
1
0
0
1
1
0
0
1
1
0
0
1
1

D
0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1

e
1
0
1
0
0
0
1
0
1
0
x
x
x
x
x
x

A
0
0
0
0
0
0
0
0
1
1
1
1

B
0
0
0
0
1
1
1
1
0
0
0
0

C
0
0
1
1
0
0
1
1
0
0
1
1

D
0
1
0
1
0
1
0
1
0
1
0
1

f
1
0
0
0
1
1
1
0
1
1
x
x

Segmento (d) Mapa de Karnaugh correspondiente al


segmento d, podr observar que equis se tom como
0's en algunas ocasiones ya que el tomar ms valores
en esta ocasin no es la mejor es la simplificacin.
Fuente: elaboracin propia

Segmento (e) Mapa de Karnaugh correspondiente


al segmento e, podr observar que equis se tom
como 0's en algunas ocasiones ya que el tomar
ms valores en esta ocasin no es la mejor es la
simplificacin. Fuente: elaboracin propia

Segmento (f) Mapa de Karnaugh


correspondiente al segmento f, podr
observar que equis se tom como 1's en esta
ocasin ya que mientras ms valores
tomados en el mapa mejor es la
simplificacin. Fuente: elaboracin propia

1
1
1
1

1
1
1
1

0
0
1
1

0
1
0
1

x
x
x
x

A
0
0
0
0
0
0
0
0
1
1
1
1
1
1
1
1

B
0
0
0
0
1
1
1
1
0
0
0
0
1
1
1
1

C
0
0
1
1
0
0
1
1
0
0
1
1
0
0
1
1

D
0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1

g
0
0
1
1
1
1
1
0
1
1
x
x
x
x
x
x

Segmento (g) Mapa de Karnaugh correspondiente


al segmento g, podr observar que equis se tom
como 1's en esta ocasin ya que mientras ms
valores tomados en el mapa mejor es la
simplificacin. Fuente: elaboracin propia

4. Se cuenta con las funciones obtenidas por


miniterminos y mapas de karnaugh, realice
las compuertas que se pueden utilizar en
mtodos para satisfacer la lgica de las
obtienen los siguientes datos para as poder
comparacin y poder calcular un ahorro en
compuertas que se emplean en el diseo.
minitermin
os

fa
fb
fc
fd
fe
f
fg

AND
24
24
24
18
12
18
21

maxiterminos,
un conteo de
ambos
mismas. Se
realizar una
las

OR
7
7
8
5
3
5
6

NOT
4
4
4
4
4
4
4

total

141

41

28

Tabla 1.2 conteo de compuertas en las funciones obtenidas por miniterminos

maxitermi
nos
fa
fb
fc
fd
fe
f
fg
total

AND
6
6
3
12
18
12
9
66

OR
1
1
0
3
5
3
2
15

NOT
2
3
1
4
4
3
3
20

Tabla 1.3 Conteo de compuertas en las funciones obtenidas por maxiterminos.

Karnaugh
fa
fb
fc
fd
fe
f
fg
total

AND
2
2
0
6
2
3
4
19

OR
3
2
3
3
1
3
3
18

NOT
2
3
1
4
2
2
4
18

Tabla 1.4 conteo de compuertas en las funciones obtenidas por mapas de Karnaugh.

5. Se aplica la simplificacin de las funciones booleanas por algebra de Boole, se pueden observar los axiomas
utilizados en cada uno de los segmentos que se simplificaron. Cada axioma se puede identificar por abreviatura,
ejemplo: AXIOMA-4--- A-4, AXIOMA 11- A-11. Se anexa procedimiento de simplificacin en las siguientes tablas.

Fa= ABCD+ ABCD+ABCD+ABCD+ABCD+ABCD+ABCD+ABCD


Fa=ABD(C+C)+ACD(B+B)+ABC(D+D)+ABCD+ABCD
A-6
A-6
A-6
Fa= ABD+ACD+ABC+ABCD+ABCD
Fa= AD(B+BC)+AD(C+BC)+ABC
A-15 B
A-11
Fa= AD(B+C)+AD(C+B)+ABC
Fa=ADB+ADC+ADC+ADB+ABC
Fa=AC(D+D)+ADB+ADB+ABC
A-6

Fa=AC+ADB+ADB+ABC
Fa=A(C+DB+DB)+ABC
Fig. 1.2 simplificacin por algebra de boole para el segmento a.

Fb= ABCD+ABCD+ABCD+ABCD+ABCD+ABCD+ABCD+ABCD
Fb=ABC(D+D)+ABC(D+D)+ABCD+ABCD+ABC(D+D)
A-6
A-6
A-6
Fb=ABC(1)+ABC(1)+ABCD+ABCD+ABC(1)
A-4
A-4
A-4
=ABC+ABC+ABCD+ABCD+ABC
=BC(A+A)+ABC+ABCD+ABCD
=BC(1)+ABC+ABCD+ABCD
A-4
=BC+ABC+ABCD+ABCD
=B(C+AC)+ABCD+ABCD
A-15-B
=B(C+A)+ABCD+ABCD
=BC+AB+ABCD+ABCD
=BC+A(B+BCD+BCD)
=BC+A(B+B(CD+CD))
A-15B
=BC+A(B+CD+CD)
Fig. 1.3 simplificacin por algebra de boole para el segmento b.

Fc= ABCD+ABCD+ABCD+ABCD+ABCD+ABCD+ABCD+ABCD+ABCD
=ABC(D+D)+ABCD+ABC(D+D)+ABC(D+D)+ABC(D+D)
A-6
A-6
A-6
A-6
=ABC(1)+ABCD+ABC(1)+ABC(1)+ABC(1)
A-4
A-4
A-4
A-4
=ABC+ABCD+ABC+ABC+ABC
=AB(C+CD)+AB(C+C)+ABC
A-15B
A-6
=AB(C+D)+AB(1)+ABC
A-4
=ABC+ABD+AB+ABC
=BC(A+A)+A(B+BD)
A-6
A-11

=BC(1)+A(B+D)
A-4
=BC+A(B+D)
Fig. 1.4 simplificacin por algebra de boole para el segmento c.

Fd= ABCD+ABCD+ABCD+ABCD+ABCD+ABCD
Fd=ABD(C+C)+ABCD+ABCD+ABCD+ABCD
A-6
Fd=ABD(1)+ABCD+ABCD+ABCD+ABCD
A-4
Fd=ABD+ABCD+ABCD+ABCD+ABCD
Fd=AB(D+CD)+ABCD+ABCD+ABCD
A-15b
Fd=AB(D+C)+ABCD+ABCD+ABCD
Fd=AB(D+C)+AB(CD+CD)+ABCD
Fig. 1.5 simplificacin por algebra de boole para el segmento d.

Fe= ABCD+ ABCD+ABCD+ABCD


Fe= BCD(A+A)+ACD(B+B)
AXIOMA 6 AXIOMA 6
Fe=BCD(1)+ACD(1)
AXI-4
AXI-4
Fe=BCD+ACD
Fe=D(BC+AC)
Fig. 1.6 simplificacin por algebra de boole para el segmento e.

Ff=ABCD+ABCD+ABCD+ABCD+ABCD+ABCD
Ff=BCD(A+A)+ABC(D+D)+ABCD+ABCD
A-6
A-6
Ff=BCD(1)+ABC(1)+ABCD+ABCD
A-4
A-4
Ff=BCD+ABC+ABCD+ABCD
Ff=BC(D+AD)+AB(C+CD)
A-15b
A-15b
Ff=BC(D+A)+AB(C+D)
Fig. 1.7 simplificacin por algebra de boole para el segmento f.

Fg=ABCD+ABCD+ABCD+ABCD+ABCD+ABCD+ABCD
=ABC(D+D)+ABC(D+D)+ABCD+ABC(D+D)
A-6
A-6
A-6
=ABC(1)+ABC(1)+ABCD+ABC(1)
A-4
A-4
A-4
=ABC+ABC+ABCD+ABC
=B(AC+AC)+AB(C+CD)
A-15b
=B(AC+AC)+AB(C+D)
Fig. 1.8 simplificacin por algebra de boole para el segmento g.

6. Al igual que en el paso cuatro obtendremos el nmero de compuertas en las funciones simplificadas por algebra de
boole, esperando as poder calcular el ahorro que se pudiera obtener en cada uno de los tipos de compuertas.
Algebra
de boole
Fa
Fb
Fc
Fd
Fe
Ff
Fg
Total

AND

OR
5
4
2
9
3
4
5
32

NOT
3
3
2
5
1
3
3
20

4
4
3
4
3
4
4
26

Tabla 1.5 conteo de compuertas en las funciones obtenidas por simplificacin de algebra de boole.

Karnaugh
Fa
Fb
Fc
Fd
Fe
Ff
Fg
Total

AND
2
2
0
6
2
3
4
19

OR
3
2
3
3
1
3
3
18

NOT
2
3
1
4
2
2
4
18

Tabla 1.4 conteo de compuertas en las funciones obtenidas por mapas de Karnaugh.

7. Indique las semejanzas y diferencias.

La simplificacin por el mtodo de Karnaugh al igual que la simplificacin por el lgebra de


Boole disminuye en gran cantidad el nmero de compuertas evitando as el nmero de
compuertas, sin embargo, la simplificacin por medio de los mapas de Karnaugh es an
ms compacta que por el lgebra de Boole, lo que nos evita an ms los errores en el
momento de la construccin del circuito, evita gastos en un exceso de compuertas y en el
cableado.
8. Se tomaran las funciones por mtodo de karnaugh, si comparamos las tablas 1.4 y 1.5
podemos observar el conteo de compuertas en cada uno de los casos, por este mtodo de
karnaugh claramente se puede observar una diferencia significativa de compuertas como
se muestra a continuacin.
Algebra
boole
Karnaugh

32
19

20
18

26
18

1.6 comparacin del nmero de compuertas en las funciones por algebra de boole y mtodo de karnaugh

Como podemos observar el mtodo por mapas de karnaugh efectivamente nos entreg un menor nmero de c
compuertas, esto nos permitir tener un circuito codificador ms simplificado y el elaborarlo tendr un menor costo.

9. Enseguida se muestra un diagrama esquemtico de las compuertas a utilizar en el codificador este diagrama se
muestra sin simplificar, se observa que cada segmento esta por separado ya que por el nmero de compuertas y el
tamao del esquema un diagrama general del circuito sera difcil de apreciar.

Fig 1.9 segmento a diagrama sin simplificar.

Fig 2.1 segmento b diagrama sin simplificar.

Fig 2.2 segmento c diagrama sin simplificar.

Fig 2.3 segmento d diagrama sin simplificar.

Fig 2.4 segmento e diagrama sin simplificar.

Fig 2.5 segmento f diagrama sin simplificar.

Fig 2.6 segmento f diagrama sin simplificar.

10. Con la eleccin de las funciones simplificadas para este circuito el cual fue por mtodo de karnaugh y tomando en
cuenta la implementacin del nmero de compuertas a utilizar en el circuito codificador, se realiza un diagrama
esquemtico de las conexiones y estructura como se muestra a continuacin en la fig.2.7

Fig 2.7 Diagrama esquemtico circuito codificador 7 segmentos, software de apoyo proteus 8. Elaboracin Propia.

En la construccin del circuito utilizamos componentes como lo son 5 LM32, 3 LM08 y 1 LM04, un dip-switch de 8 entradas,
8 resistencias de 220 ohm y 4 de 1000 ohm, un display de ctodo comn, una fuente de 5 volts y alambre para conexin.
Montamos los 9 componentes conectando cada uno de ellos a vdd y a gnd para alimentarlos, colocamos el dip-switch en
donde se harn las combinaciones para encender los segmentos del display, colocamos 4 entradas a vdd y con ayuda de
la 4 resistencias las conectamos a gnd, realizamos las conexiones entre cada compuerta segn los diagramas hechos para
cada segmento y los conectamos al display.

11. Se puede observar el diagrama esquemtico de un circuito integrado 7447 fig 2.8, se nota que este diagrama en
comparacin con el diagrama de la fig paso 10 se ve ms simplificado ya que en este circuito 7447 se
implementaron compuertas and de 2 entradas hasta 4, tambin se puede observar la implementacin de
compuertas nand desde 2 entradas hasta 6 y en el diagrama fig 2.7 solo se usaron compuertas not, or, and. El
diagrama 7447 no usa compuertas or en su estructura como se implementaron con el diagrama de la fig 2.7 pero si
usa un mayor nmero de compuestas not.

Fig 2.8 diagrama interno CI 7447

12. La construccin del circuito codificador sobre el protoboard se puede observar en la fig. 2.9, se utilizan compuertas
OR-SN74LS32, AND- SN74LS08, NOT-SN74LS04 para poder tener un circuito ms compacto y tener un mayor
orden en el alambrado.

fig 2.9 circuito fsico del codificador BCD a 7 segmentos.

Como ya se mencion en el paso anterior para el armado se utilizaran 9


Compuertas de los cuales 5 son para OR-SN74LS32, 3 para AND- SN74LS08 y 1 para NOT-

SN74LS04, ordenadas como se muestra en la fig. 3.1. Las compuertas encerradas en el recuadro naranja
son para or, amarillo para and y verde para not.

Fig. 3.1 El esquema muestra el orden de las compuertas lgicas en el circuito codificador.

Las compuertas SN74LS32, SN74LS08 cuentan con cuatro compuertas de su respectiva


especificacin a excepcin de la compuerta SN74LS04 que cuenta con 6 compuertas. Se

muestran las conexiones de entrada y salida de cada uno en las figs 3.2, 3.3, 3.4. (Para mayor
especificacin de las compuertas se anexan datasheet al final del desarrollo).

Fig. 3.2 Diagrama de conexin correspondiente a la compuerta OR, SN74LS32.

Fig. 3.3 Diagrama de conexin correspondiente a la compuerta NOT, sn74LS08.

Fig. 2.4 Diagrama de conexin correspondiente a la compuerta AND, SN74LS08.

Para la representacin de los nmeros decimales en el circuito codificador se utiliza un display 7


segmentos, donde cada segmento es pensado como un led (diodo). El display es utilizado para este
circuito es de ctodo comn, los pines de los segmentos se podrn observar en la fig 3.5 en la introduccin
a esta prctica. Los dos pines con la nomenclatura COM ambos representa conexin a tierra (GND).

GND
(TIERRA)

GND
(TIERRA)

Fig 3.5 Forma fsica del display, implementado en el circuito codificador. Se observa en la parte inferior y superior dos
conexiones en lnea recta perpendicular al display con cable negro, son conexiones GND (potencial negativo 0).

En el circuito codificador se puede observar un dip-switch cumple con la funcin de control de los 1s o 0s
lgicos en el circuito, adems de permitir la visualizacin de las combinaciones de entrada del codificador.
Notar que la conexin del dip-switch est construido de tal forma para que cuando el interruptor este
cerrado nos d un ALTO o un 1 lgico, y cando el interruptor este abierto nos d un BAJO o 0 lgico Fig.
3.6

1s Logicos

0s Logicos

Fig 3.6 muestra dip switch indicando su respectiva conexin de 1's y 0's lgicos en el circuito codificador.

Conociendo los componentes del circuito codificador y su funcin, podr entender el alambrado en el
mismo, como se especific en el objetivo de la prctica se implementara un codificador a 7 segmentos, en
otras palabras representara los nmeros decimales en su display con ayuda de los Compuertas logias.

Se disea para cada funcin obtenida anteriormente con ayuda de la tabla de verdad (tabla 1.1) y mapas
de karnaugh su circuito lgico el cual har posible representar los nmeros decimales en el display 7
segmentos (paso 3 del desarrollo). Se anexan a continuacin cada uno de los segmentos esquematizados
representando un alto lgico con ayuda de un led, se recomienda comprobar su funcionamiento con ayuda
de algn software, para esta prctica se utiliz proteus 8 para la simulacin de los segmentos, puede
observar el correcto funcionamiento del circuito as disminuyendo los errores en el alambrado, obteniendo

como beneficio la disminucin del tiempo de armado por algn error y dinero por el cable que pudiera ser
desperdiciado.

Fig 3.7 Estructura del segmento a, simulacin de un alto lgico y representacin del mismo con apoyo de led/red.
Fuente: Elaboracin propia. proteus 8.

Fig 3.8 Estructura del segmento b, simulacin de un alto lgico y representacin del mismo con apoyo de led/red.
Fuente: Elaboracin propia. proteus 8

Fig 3.9 Estructura del segmento c, simulacin de un alto lgico y representacin del mismo con apoyo de led/red.
Fuente: Elaboracin propia. proteus 8

Fig 4.1 Estructura del segmento d, simulacin de un alto lgico y representacin del mismo con apoyo de led/red.
Fuente: Elaboracin propia. proteus 8.

Fig 4.2 Estructura del segmento e, simulacin de un alto lgico y representacin del mismo con apoyo de led/red.
Fuente: Elaboracin propia. proteus 8.

Fig 4.3 Estructura del segmento f, simulacin de un alto lgico y representacin del mismo con apoyo de led/red.
Fuente: Elaboracin propia. proteus 8.

Fig 4.4 Estructura del segmento g, simulacin de un alto lgico y representacin del mismo con apoyo de led/red.
Fuente: Elaboracin propia. proteus 8.

En el esquema de la figura 2.9 se puede visualizar cada uno de los segmentos con su salida hacia el
display de ctodo comn. Como en el paso anterior de las pruebas con los segmentos y el led antes del
alambrado del circuito se corre la simulacin con la finalidad de corroborar el correcto funcionamiento del
circuito en el display, esperando cumplir con el objetivo del diseo. Los resultados se anexan en las
siguientes imgenes.

Fig 4.5 Representacion esquemtica del codificador BCD 7 segmentos. Simulacin de funcionamiento sobre el
software proteus 8, representacion de combinaciones binarias para obtener los nmeros decimales en el display del 0
al 5. Fuente: Elaboracin propia.

Fig. 4.6 Representacion esquemtica del codificador BCD 7 segmentos. Simulacin de funcionamiento sobre el
software proteus 8, representacion de combinaciones binarias para obtener los nmeros decimales en el display del 6
al 9. Fuente: Elaboracin propia.

Hasta este punto de la prctica se han determinado las funciones que satisfacen el
funcionamiento del codificador, se realizaron los esquemas de los segmentos as
como se corri la simulacin para comprobar la codificacin para la representacin
de los nmeros decimales en el display. Una vez cumpliendo estos criterios se
proceder al alambrado del circuito.

Ya realizado el alambrado del circuito validamos el funcionamiento del mismo


obteniendo los resultados esperados, se muestran los nmeros decimales del 0 al 9
en las imgenes anexas.

1. Qu es el cdigo BCD? Explicarlo.


El cdigo binario BCD (Binary Code Digit) es una forma de expresar cada uno de los dgitos decimales con un cdigo
binario.
La fcil conversin de decimal a BCD convierte este cdigo en una excelente interfaz para sistemas binarios como
teclados, salidas digitales, etc.
Con cuatro dgitos se pueden representar 2 4=16 combinaciones, pero slo necesitamos 10, por lo que hay muchos cdigos
BCD posibles.
Para ilustrar el cdigo BCD tomemos un nmero decimal como 874. Cada dgito se cambia por su equivalente binario de la
siguiente manera:

8
1000

4 (decimal)

0111 0100 (BCD)

Cada dgito decimal se cambia por su equivalente binario directo. Ntese que siempre se usan 4 bits por cada dgito. El
cdigo BCD no usa los nmeros 1010, 1011, 1100, 1101, 1110 y 1111.

2. Qu es un display de 7 segmentos de nodo comn?


El display de 7 segmentos es un componente que se utiliza para la representacin de nmeros
en muchos dispositivos electrnicos debido en gran medida a su simplicidad. Est constituido
por una serie de diodos LED con unas determinadas conexiones internas, estratgicamente
ubicados en segmentos de tal forma que forme un nmero 8 (Ilustracin1).

En este todos los nodos de los leds o segmentos estn unidos


internamente a una patita comn que debe ser conectada a potencial positivo
(nivel 1). El encendido de cada segmento individual se realiza aplicando
potencial negativo (nivel 0). En la ilustracin 2 se observa dicha configuracin.

Ilustracin
1.Configuracin
y
distribucin de Leds en
nodo comn.

Ilustracin1.Esquema de un
display de 7
segmentos.

3. Qu es un display de 7 segmentos de ctodo comn?


En este todos los ctodos de los leds o segmentos estn unidos
internamente a una patilla comn que debe ser conectada a potencial
negativo (nivel 0). El encendido de cada segmento individual se realiza
aplicando potencial positivo (nivel 1) por la patilla correspondiente a
travs de una resistencia que limite el paso de la corriente.

Ilustracin 2.Configuracin e
ilustracin de Leds en
ctodo comn.

4. Qu es un codificador de BCD a 7 segmentos?


Un codificador es un circuito lgico combinacional que realiza la funcin inversa del decodificador. A diferencia del
decodificador, el codificador permite que se introduzca en una de sus entradas un nivel activo que representa un digito,
como BCD o binario. El proceso de inversin de smbolos comunes o numricos a un formato codificado recibe el nombre
de codificacin.
Un codificador tiene un nmero de lneas de entrada, las cuales solo una es activada en un tiempo dado y produce un
cdigo de salida de N bits, dependiendo de cul es la entrada activada. La siguiente figura muestra el diagrama general de
un codificador con M entradas y N salidas. Todas las entradas y salidas estn en 1 cuando estn activadas. Puesto que
cada una de las entradas puede ser 1 o 0, hay 2^(N) combinaciones o cdigos de entrada. Para cada una de estas
combinaciones de entrada solo una de la N salidas estar activada1, para lgica positiva, todas las otras salidas estarn en
0.

Ilustracin 3 Codificador.

Es un elemento digital que funciona en base de estados lgicos, con los cuales determina una salida determinada
basndose en un dato de entrada caracterstico, su funcin operacional se base en la introduccin a sus entradas de un
numero en cdigo binario correspondiente a su equivalente en decimal para mostrar los 7 pines de salida establecidos para
el integrado, una serie de estados lgicos que estn diseados para conectarse a un elemento alfanumrico o en el que se
visualizara el nmero introducido en las entradas del codificador. El elemento alfanumrico que se conecta a las siete
salidas del codificador tambin est diseado para trabajar con estados lgicos, es un dispositivo elaborado con arreglos
de led de tal manera que muestre los nmeros decimales desde el cero hasta el nueve dependiendo del dato recibido
desde el codificador, a este elemento se le conoce con el nombre de display o dispositivo alfanumrico de siete segmentos.

El codificador est formado internamente por compuertas lgicas y sus caractersticas internas son un sistema predefinido
por el diseador para que su funcin operacional sea un acople perfecto y efectivo con el display.

Ilustracin 4 Display.

Codificador BCD a 7 segmentos utilizando un circuito integrado 74Ls47 y un display de

Ilustracin 5 Codificador BCD a 7 segmentos


y display de nodo comn.

nodo comn

5. Qu es un sistema con lgica combinacional?


Es aqul que est formado por funciones lgicas elementales (AND, OR, NAND, NOR, etc.)
Tiene un determinado nmero de entradas y salidas; es importante sealar que, en cada instante, el valor de la salida (o
salidas) depende nicamente de los valores de las entradas, por lo tanto, en ellos no es necesario tener en cuenta el
tiempo.
Ejemplos de sistemas lgicos combinacionales:
-

Codificadores, decodificadores
Multiplexores, demultiplexores
Comparadores, detectores de paridad

Los sistemas o circuitos combinacionales pueden ser representados mediante una tabla de verdad o mediante las
expresiones en formas cannicas, suma de productos o producto de sumas).

6. El codificador BCD a 7 segmentos es un sistema con lgica combinacional o secuencial?

Es un sistema con lgica combinacional. Se denomina sistema combinacional a todo sistema digital en el que sus salidas
son funcin exclusiva del valor de sus entradas en un momento dado, sin que intervengan en ningn caso estados
anteriores de las entradas o de las salidas.

7. Qu son las tablas de verdad de un sistema combinacional?


Una tabla de verdad de un sistema combinacional, es una tabla que nos muestra el valor de verdad de una proporcin
compuesta, para cada combinacin de verdad que se puede asignar.
Para presentar un sistema formal se
establecen las definiciones de los operadores. Las definiciones se harn en funcin con el fin que se pretende construir el
sistema que haga posible la formalizacin de argumentos.
Se denomina sistema combinacional a todo sistema digital en el que sus salidas son funcin exclusiva del valor de sus
entradas en un momento dado, sin que intervengan en ningn caso estados anteriores de las entradas o de las salidas.
Las funciones (OR, AND, NAND, XOR) son booleanas donde cada funcin se puede representar en una tabla de la verdad.
Por tanto, carecen de memoria y de retroalimentacin.

8. Qu es la funcin equivalente de minitrminos?


Es aquella que se expresa como la sumatoria de elementos compuestos en operacin AND de
todas las variables que intervienen en el proceso.
F(A,B,C)=m(3,6,7)=ABC+ABC+ABC

9. Qu es la funcin equivalente de maxitrminos?


Es aquella que se expresa como la multiplicacin de elementos compuestos en operacin OR
de todas las variables que intervienen en el proceso.
F(A,B,C)=M(0,5)=(A+B+C)(A+B+C)

10. Qu son y para qu sirven los mapas de Karnaugh?


El mtodo del mapa ofrece un procedimiento sencillo y directo para minimizar las funciones
booleanas. Este mtodo podra considerarse como una versin pictrica de la tabla de verdad. El
mapa es un diagrama hecho de cuadrados, cada uno de los cuales representan un minitrmino
de la funcin.
El mapa presenta un diagrama visual de todas las maneras en que una funcin se puede
presentar en forma estndar. Las expresiones simplificadas generadas por el mapa siempre
estn en una de las 2 formas estndar: suma de productos o producto de sumas.
El diagrama cambia de tamao conforme al nmero de variables a manejar: 2 variables, 3
variables, 4 variables.

11. Enuncie los principios del lgebra de Boole.


Un sistema de elementos B y dos operaciones binarias cerradas () y (+) se denomina ALGEBRA
de BOOLE siempre y cuando se cumplan las siguientes propiedades:

1.- Propiedad conmutativa: A + B = B + A A B = B A


2. Propiedad distributiva: A(B+C) = AB + AC A + BC = (A+B)(A+C)
3. Elementos neutros diferentes A + 0 = A A 1 = A
4. Siempre existe el complemento de A, denominado A A + A = 1 A A = 0

PRINCIPIO DE DUALIDAD: cualquier teorema o identidad algebraica deducible de los


postulados anteriores puede transformarse en un segundo teorema o identidad vlida sin
ms que intercambiar (+) por () y 1 por 0.
CONSTANTE: cualquier elemento del conjunto B.

VARIABLE: smbolo que representa un elemento arbitrario del lgebra, ya sea constante o
frmula completa.

12. Mencione los axiomas del lgebra de Boole.


1) A+0=A
2) A+1=1
3) A*0=0
4) A*1=1
5) A+A=A
6) A+A=1
7) A*A=A
8) A*A=0
9) A=A
10) A+AB=A
11) A+AB=A+B
12) (A+B)(A+C)=A+BC
Con mltiples variables:
13) X+Y=Y+X
14) X*Y=Y*X
15) X+(Y+Z)=(X+Y)+Z=X+Y+Z
16) X(YZ)=(XY)Z=XYZ
17) X(Y+Z)=XY+XZ
18) (W+X)(Y+Z)=WY+XY+WZ+XZ
19) X+XY=X
20) X+XY=X+Y
21) X+XY=X+Y

13. Indique los postulados del lgebra de Boole.

1. Ambas operaciones son conmutativas, es decir, si a y b son elementos del lgebra se


verifica:
A+B=B+A A*B=B*A
2. Dentro del lgebra existen dos elementos neutros, el 0 y el 1, que cumplen la propiedad
de identidad con respecto a cada una de dichas propiedades:
0+A=A
1*A=A
3. Cada operacin es distributiva con respecto a la otra:
A*(B+C)=A*B+A*C
A+(B*C)=(A+B)(A+C)
4. Es asociativa, el modo de agrupar los factores no vara el resultado de la publicacin:
(A*B)C=(C*B)A

14. Demuestre los teoremas del lgebra de Boole.


1. A+0=A
A=1
1+0=1
A=0
0*0=0

2. A+1=1
A=0
0+1=1
A=1
1+1=1

3. A*0=0
A=1
1*0=0
A=0
0*0=0
4. A*1=A
A=1
1*1=1
A=0
0*1=0
5. A+A=A
A=0
0*0=0
A=1
1*1=1
6. A+A=1
A=0
0+1=1
A=1
1+0=1
7. A*A=A

A=1
1*1=1
8. A*A=0
A=0
0*1=0
A=1
1*0=0
9. A=A
A=1 A=0
10.A+AB=A
A(1+B)=A
Por axioma 2
A(1)=A
A=A
11.A+AB=A+B
Por axioma 10, A=A+AB
A+AB=(A+AB)+AB
Por axioma 7, A=A*A
=(A*A+AB)+AB
Se suma axioma 8, A*A=0
=(A*A+AB)+AA+AB
Por factor comn
=(A+A)(A+B)
Por axioma 6, A+A=1
=(1)(A+B)
Aplicando axioma 4, A*1=A
A+B= A+B
12.(A+B)(A+C)=A+BC
Utilizando propiedad distributiva
AA+AB+AC+BC=A+BC
Usando axioma 7, A*A=A
A+AB+AC+BC=A+BC
Factorizando A, tenemos:
A(1+B+C)+BC=A+BC
Aplicando axioma 2, A+1=1
A(1+C)+BC=A+BC
Repitiendo el paso anterior:
A(1)+BC=A+BC
Por axioma 4, A*1=A
A+BC=A+B

Con mltiples variables:


13.X+Y=Y+X
Aplicando propiedad conmutativa y por axioma 1, A+0=A
X=1, Y=0
1+0=0+1
1=1
14.X*Y=Y*X
Por propiedad conmutativa y axioma 4, A*1=A
X=0, Y=1
0*1=1*0
0=0
15.X+(Y+Z)=(X+Y)+Z=X+Y+Z
Con propiedad asociativa y axiomas 1 y 2; A+0=A, A+1=1
X=0, Y=1, Z=1
0+(1+1)=(0+1)+1=0+1+1
0+1=1+1=1+1
1=1=1
16.X(YZ)=(XY)Z=XYZ
Aplicando propiedad asociativa y axiomas 3 y 4; A*0=0, A*1=A
X=0, Y=0, Z=1
1(0*1)=(0*0)1=0*0*1
1*0=0*1=0*1
0=0=0
17.X(Y+Z)=XY+XZ
Usando propiedad distributiva y axiomas 1, 3 y 4; A+0=A, A*0=A, A*1=A
X=1, Y=0, Z=1
1(0+1)=1*0+1*1
1*1=0+1
1=1
18.(W+X)(Y+Z)=WY+XY+WZ+XZ
Con propiedad asociativa y distributiva ms axiomas 1, 3 y 4; A+0=A, A*0=0, A*1=A
X=1, Y=0, Z=1, W=0
(0+1)(0+1)=0*0+0*1+1*0+1*1
1*1=0+0+0+1
1=0+1
1=1

19.X+XY=X
Usando axioma 1 y 3, A+0=A, A*0=0
X=1, Y=0
1+(1*0)=1
1+0=1
1=1
20.X+XY=X+Y
Aplicando axioma 1, 4 y 9; A+0=A, A*1=A, A=A
X=0, X=1, Y=1
0+(1*0)=0+0
0*0=0
0=0
21.X+XY=X+Y
Por axiomas 1 y 3, A+0=A, A*0=0
X=1, X=0, Y=0
0+(1*0)=0+0
0+0=0
0=0

15. Traduzca su diseo elegido y construido para emplear solo compuertas NAND y NOR.
Compuestas NAND
Segmento a.

Ilustracin 6 Segmento a con compuertas NAND

Segmento b.

Ilustracin 7 Segmento b con compuertas NAND

Segmento c.

Ilustracin 8 Segmento c con compuertas NAND

Segmento d.

Ilustracin 9 Segmento d con compuertas NAND

Segmento e.

Ilustracin 10 Segmento e con compuertas NAND

Segmento f.

Ilustracin 11 Segmento f con compuertas NAND

Segmento g.

Ilustracin 12 Segmento g con compuertas NAND

Compuertas NOR.

Segmento a.

Ilustracin 13 Segmento a con compuertas NOR

Segmento b.

Ilustracin 14 Segmento b con compuertas NOR

Segmento c.
Segmento d.

Ilustracin 15 Segmento d con compuertas NOR

Segmento e.

Ilustracin 16 Segmento e con compuertas NOR

Segmento f.

Ilustracin 17 Segmento f con compuertas NOR.

Segmento g.

Ilustracin 18 Segmento g con compuertas NOR.

16. En caso de haber diseado un codificador BCD a 7 segmentos de nodo comn Qu debe modificar para
disear un codificador BCD a 7 segmentos de ctodo comn?

En el caso de haber construido un codificador BCD 7 segmentos con un display de nodo comn significa que el display
funciona con lgica inversa, esto es, que la seal requerida para que enciendan cada uno de los segmentos es de tipo
LOW o BAJO . Lo que se requiere modificar para disear un codificador de 7 segmentos de ctodo comn es cambiar el
display de nodo comn por un display de 7 segmentos de ctodo comn, posteriormente se debe colocar un inversor
previo al display de ctodo comn (recordar que este elemento funciona con seales de tipo HIGH o ALTO.

17. En caso de haber diseado un codificador BCD a 7 segmentos de ctodo comn Qu debe modificar
para disear un codificador BCD a 7 segmentos de nodo comn?
Conectar cada terminal a una compuerta NOT ya que en el codificador de ctodo comn se enciende cada LED con
entradas 1 y as esto ocurrira con 0s.

18. En qu tipo de tecnologa est basado el C.I. 7447?


TTL Viene de las iniciales: Transistor Transistor Logic Lgica Transistor Transistor. La familia
de los circuitos integrados digitales TTL tienen las siguientes caractersticas:
El voltaje de alimentacin es de + 5 Voltios, con: Vmn = 4.75 Voltios y Vmx = 5.25 Voltios. Por
encima del voltaje mximo el circuito integrado se puede daar y por debajo del voltaje mnimo
el circuito integrado no funcionara adecuadamente.
La serie de circuitos integrados TTL es la base de la tecnologa digital. Siendo la compuerta
NAND el circuito base de la serie 74 XX. Es importante tomar en cuenta que, para
su funcionamiento, la carga de entrada.

Con la seal de entrada en nivel bajo (LOW = 0), la entrada de la compuerta

entrega corriente a la fuente de seal de aproximadamente 10 mA (miliamperio)


Con la seal de entrada en nivel alto (HIGH = 1), la entrada de la compuerta pide a la

fuente de la seal de entrada una corriente de aproximadamente de uA (microamperios)


La entrada no conectada acta como una seal de nivel alto (HIGH)

La carga mayor ocurre cuando la seal de entrada es de nivel bajo (LOW). En este momento
el transistor de salida tiene que aguantar mayor corriente. Generalmente los transistores de esta
serie aguantan hasta 100 mA (miliamperios). Entonces solo se pueden conectar 10 entradas en
paralelo (FAN IN = 10)
Notas:

Las seales de entrada nunca deben de ser mayores que el voltaje de alimentacin ni

inferiores al nivel de tierra.


Si alguna entrada debe estar siempre en un nivel alto, conectarla a Vcc (voltaje de

alimentacin).
Si alguna entrada debe estar siempre en un nivel bajo, conectarla a tierra.

Si hay entradas no utilizadas, en compuertas NAND, OR, AND, conectarlas a una entrada

que si se est utilizando.


Es mejor que las salidas no utilizadas de unas compuertas estn a nivel alto pues as

consumen menos corriente.

Evitar los cables largos dentro de los circuitos.


Utilizar por lo menos un capacitor de desacople (0.01 uF a 0.1 uF) por cada 5 o 10 paquetes de
compuertas, uno por cada 2 a 5 contadores y registros y uno por cada monoestable.

19. En qu tipo de lgica est basado el C.I. 7447?


Est basado en lgica combinacional ya que las salidas actuales dependen de entradas actuales lo que permite combinar y
por lo tanto reducir el circuito.

20. Aada su bibliografa y pginas de Internet consultadas.

http://automatismoslogicos.weebly.com/uploads/2/2/5/4/22549360/algebrabooleana.pd
f
http://www.ingenieria.unam.mx/crofi/wpcontent/uploads/Descargas/Datasheet/Displays/display_de_7_segmentos.pdf
file:///C:/Users/EduardoCuevas/Downloads/Display%204%20digitos
%207%20segmentos%20para%20barrido.pdf
https://books.google.com.mx/books?id=V7JpKkZaEYMC&pg=PA49&lpg=PA49&dq=Qu
%C3%A9+son+las+tablas+de+verdad+de+un+sistema+combinacional&source=bl&
ots=sSDn6nJA3L&sig=YC9AlUOCnp3jFL7UooCo0T-NE9E&hl=es419&sa=X&ved=0ahUKEwicx5KVoOrLAhWrloMKHZB1Ai4Q6AEISDAI#v=onepage&q=Q
u%C3%A9%20son%20las%20tablas%20de%20verdad%20de%20un%20sistema
%20combinacional&f=false
https://forum.arduino.cc/index.php?topic=323598.0
http://www.uhu.es/rafael.lopezahumada/descargas/tema9_fund_0506.pdf
http://albelisv.blogspot.mx/2012/05/logica-combinacional.html
http://ocw.usal.es/ensenanzastecnicas/electronica/contenido/electronica/Tema8_CircCombinacionales.pdf
http://laboratorioselectronica.blogspot.mx/2013/08/decodificador-bcd.html
http://www.uhu.es/rafael.lopezahumada/descargas/tema9_fund_0506.pdf
http://es.slideshare.net/Cesarsantoss/codificador-bcd-7-segmentos
http://azul2.bnct.ipn.mx/clogicos/codificadores_decodificadores/codificadores_decodific
adores.htm
http://www.uhu.es/rafael.lopezahumada/Cursos_anteriores/fund01_02/tema3.pdf
http://unicrom.com/familia-de-circuitos-integrados-ttl/

Conclusiones Personales.
Cuevas Ramrez Erick Eduardo.
Esta prctica me pareci muy ilustrativa ya que es ms fcil comprender los conceptos vistos en clase como el
funcionamiento de las compuertas y las conexiones para obtener los resultados esperados.

En el resultado final se tuvo algunas complicaciones que con estos mismos conceptos y ayuda del profesor se
resolvi.
Rodrguez Castro Uriel Fabricio.
Se complementaron los conceptos tericos vistos en clase, no conoca el funcionamiento de las compuertas
lgicas de manera fsica y al momento de armar el circuito en fsico, pude comprender de mejor manera todos los
conceptos vistos en clase y en la presente prctica.
Tovar Villegas Karla Joselyn.
Con esta prctica aprend el funcionamiento de los codificadores, de los display de acuerdo a su estructura (nodo
comn y ctodo comn), adems aprend a trabajar con compuertas lgicas, aplicando as la teora vista en la
clase junto con las diferentes formas de simplificacin.
Zaragoza Durn David Alejandro.
En la construccin del circuito me di cuenta de son muchas conexiones entre compuertas por lo que fue prctico
realizar el diagrama de cada segmento individualmente para evitar errores, esto nos benefici facilitando la
construccin del circuito ya que montamos segmento; al final fue necesario colocar una resistencia para cada
segmento del display para controlar la corriente.

Conclusin general.
Para esta primera prctica construimos un codificador BCD a 7 segmentos a partir de compuertas lgicas como lo son
puertas AND, OR Y NOT. El objetivo de la prctica es disear un circuito capaz de representar visualmente los valores
decimales del 0 al 9 en un display de ctodo comn, mediante la combinacin de cuatro entradas que pueden tomar 2
valores ya sea 1 o 0 lgico, se utiliza un dip-switch para seleccionar cualquiera de los valores disponibles, estas
seales son interpretadas por el cdigo BCD y son enviadas al diseo construido con las compuertas lgicas, en esta
parte del circuito es en donde se lleva a cabo una serie de operaciones como lo son suma, producto e inverso (AND,
OR, NOT), la salidas de estas operaciones se conectan a cada uno de los segmentos del display de ctodo comn
para que encienda el segmento, recordar que la lgica que se emple en esta prctica es la lgica directa.
Previamente para disear y construir el circuito codificador BCD a 7 segmentos calculamos mediante la tabla de
verdad el circuito equivalente usando la simplificacin de mapas de karnough y algebra booleana, comparamos cada
uno de los resultados anteriores determinamos el ahorro y usamos la solucin ms simplificada.

S-ar putea să vă placă și