Sunteți pe pagina 1din 74

Systmes lectroniques

___________
Chapitre 13

CD\SE\Cours\Chap13

BOUCLES A VERROUILLAGE DE PHASE


PHASE-LOCKED LOOPS (PLL)

M. Correvon

T A B L E

D E S

M A T I E R E S
PAGE

13.

BOUCLES A VERROUILLAGE DE PHASE .......................................................................................................1

13.2
13.2.1
13.2.2
13.2.3
13.3
13.3.1
13.3.2
13.3.3
13.3.4
13.3.5
13.3.6
13.4
13.4.1
13.4.2
13.4.3
13.4.4
13.5
13.5.1
13.5.2
13.5.3
13.5.4
13.5.5
13.6
13.6.1
13.6.2
13.6.3
13.6.4
13.7
13.7.1
13.7.2
13.7.3
13.7.4
13.7.5
13.8
13.8.1
13.8.2

INTRODUCTION.......................................................................................................................................................1
Gnralits ............................................................................................................................................ 1
Principe ................................................................................................................................................. 1
lments constitutifs ............................................................................................................................. 3
COMPARATEUR DE PHASE......................................................................................................................................3
Rle du dtecteur de phase.................................................................................................................... 3
Comportement du dtecteur de phase ................................................................................................... 3
Types de dtecteurs de phase ................................................................................................................ 4
Comparateur de phase analogique......................................................................................................... 4
Dtecteur de phase numrique ............................................................................................................ 11
Le comparateur de phase frquence ................................................................................................. 18
OSCILLATEURS COMMANDS EN TENSION VCO ................................................................................................26
Oscillateur de type LC (sinusodal)..................................................................................................... 26
Oscillateurs relaxation ...................................................................................................................... 29
Le multivibrateur astable..................................................................................................................... 32
Oscillateur numrique ......................................................................................................................... 35
FILTRES DE BOUCLE .............................................................................................................................................37
Premier type : filtre passif comportant un ple ................................................................................... 37
Deuxime type : filtre passif comportant un ple et un zro............................................................... 38
Troisime type : filtre actif intgrateur du 1er ordre ............................................................................ 39
Attnuation supplmentaire................................................................................................................. 40
Exemple de filtres plus labors.......................................................................................................... 41
FONCTION DE TRANSFERT GNRALE DE LA BOUCLE DE VERROUILLAGE DE PHASE.........................................42
Le dtecteur de phase .......................................................................................................................... 42
Le filtre................................................................................................................................................ 42
Le VCO ............................................................................................................................................... 42
Fonction de transfert du diviseur de frquence ................................................................................... 43
FONCTIONNEMENT DANS LE DOMAINE LINAIRE................................................................................................44
Fonction de transfert de la boucle. ...................................................................................................... 44
Fonction drive de la fonction de transfert ........................................................................................ 44
Comportement de la boucle en fonction du type de filtre utilis......................................................... 45
Boucle utilisant un comparateur phase frquence ............................................................................ 50
Comportement d'une boucle diverses sollicitations.......................................................................... 51
FONCTIONNEMENT DANS LE DOMAINE NON LINAIRE........................................................................................61
Plage de synchronisation ou de dcrochage ........................................................................................ 61
Plage d'acquisition ou d'accrochage .................................................................................................... 65

Bibliographie

BOUCLES A VERROUILLAGE DE PHASE

Page 1

13. BOUCLES A VERROUILLAGE DE PHASE


13.2 INTRODUCTION
13.2.1 Gnralits
Les boucles verrouillage de phase (Phase-Locked Loops or PLL) ou encore boucles
asservissement de phase, ont t inventes par De Bellescize en 1932 pour faire de la dtection
synchrone. La mise en uvre de ce principe tait dlicate compte tenu des moyens de l'poque,
ce qui explique que sa gnralisation ait d attendre les progrs de la technologie.
Aprs l'apparition des circuits intgrs, l'utilisation des boucles verrouillage de phase s'est
tendue de faon considrable dans tous les domaines des tlcommunications, des mesures,
etc
On peut distinguer deux types d'applications :
L'utilisation de la boucle de phase comme filtre passe-bande trs troit. C'est le cas, par
exemple, pour rcuprer un signal porteur noy dans du bruit.
L'utilisation de la boucle de verrouillage de phase comme multiplieur de frquence. C'est
le cas de certains synthtiseurs de frquence.
13.2.2 Principe
Une boucle verrouillage de phase est un systme boucl dans lequel la grandeur asservie est
la phase d'un signal alternatif. La Figure 13-1 reprsente le schma fonctionnel d'une PLL.
Signal d'entre
ui(t)=i cos(it+i)

uE(t)

Dtecteur
de Phase

Filtre

Sortie en tension
u0(t)

VCO
Sortie en frquence
uosc(t)=osc cos(osct+osc)

Free-Running Frequency Fosc [Hz]


osc
F
=
osc
(frquence pour laquelle U0=0)
2

Figure 13-1 : Schma fonctionnel du systme boucl

Le rle de la boucle est d'asservir la pulsation de l'oscillateur sur celle du signal d'entre,
l'oscillateur dlivrant un signal de la forme

u osc (t ) = osc cos( osc t + osc ) .

13.1

Le principe de l'asservissement est alors le suivant (On suppose ici que la pulsation du signal
de l'oscillateur est gale celle du signal d'entre).
Le comparateur de phase compare en permanence la phase du signal dlivr par l'oscillateur,
osc, celle du signal d'entre, i, et dlivre une tension uE(t) lie directement l'cart de phase
CD:\SE\Cours\Chap13

BOUCLES A VERROUILLAGE DE PHASE

Page 2

correspondant, E = i - osc. Cette tension uE(t) est applique l'entre du filtre de boucle, de
type passe-bas, dont le rle sera prcis plus loin.
La tension u0(t), en sortie du filtre, commande la frquence de l'oscillateur dans un sens tel que
la pulsation de sortie soit gale en permanence celle du signal d'entre.
Ainsi le rle de la boucle verrouillage de phase est de faire en sorte que les frquences des
signaux appliqus sur les deux entres du comparateur de phase soient identiques, les
phases de ces deux signaux pouvant tre diffrentes.

Deux questions peuvent tre poses a priori.


1. Pourquoi parler de boucle verrouillage de phase si le but est d'obtenir des frquences
identiques sur les deux accs du comparateur de phase ?
2. Pourquoi utiliser une boucle verrouillage de phase si le but est d'obtenir en sortie un
signal dont la frquence est gale celle du signal d'entre ? La boucle ne pourrait-elle pas
tre remplace par un simple fil reliant l'entre et la sortie ?
A la premire question, on peut rpondre de la faon suivante.
-

Dans tout asservissement, il existe, l'quilibre, une diffrence non nulle entre la
grandeur applique l'entre et celle dlivre en sortie.
Ainsi si on ralisait une comparaison des frquences des signaux d'entre et de sortie,
au lieu d'effectuer une comparaison des phases, il subsisterait, l'quilibre, une
diffrence de frquence non nulle.
On prfre donc raliser un asservissement portant sur des grandeurs qui sont les
intgrales des frquences des signaux considrs, c'est--dire les phases des signaux,
un coefficient multiplicatif 2 prs.
A l'quilibre, il subsiste donc une diffrence de phase constante entre l'entre et la
sortie, mais les pulsations sont identiques.

La rponse la deuxime question peut tre formule de la manire suivante.


-

La Figure 13-1 reprsente une boucle verrouillage de phase "retour unitaire". Sous
cette forme, la boucle peut par exemple servir de filtre passe-bande, la bande passante
pouvant tre faible. C'est le cas de l'utilisation de la boucle pour rcuprer un signal
lorsque le rapport signal sur bruit est faible.

Signal d'entre
ui(t)=i cos(it+i)

uE(t)

Dtecteur
de Phase

Filtre

Sortie en tension
u0(t)

Sortie en frquence
uosc(t)=osc cos(osct+osc )

uN(t)= N cos(Nt+N )
= N cos( 1 ( osct+osc ))
N

:N

VCO

Free-Running Frequency F osc [Hz]


Fosc= osc
(frquence pour laquelle U 0=0)
2

Figure 13-2 : Schma fonctionnel du systme multiplication de frquence

CD:\SE\Cours\Chap13

BOUCLES A VERROUILLAGE DE PHASE

Page 3

La boucle verrouillage de phase peut galement tre utilise pour faire de la


multiplication de frquence. En effet, insrons un diviseur dans la boucle de retour
conformment la Figure 13-2.

Lorsque le verrouillage a lieu, les pulsations des signaux appliqus sur les entres du
comparateur de phase sont gales comme on le sait. Il en rsulte donc que le signal appliqu
l'entre du diviseur est N fois plus grand. L'oscillateur dlivre donc un signal dont la pulsation
est gal N0. Si de plus, on suppose que le facteur de division puisse tre modifi, ce
dispositif permet de dlivrer un grand nombre de pulsations, avec un pas lmentaire gal 0.
Ce principe est mis en oeuvre dans certains synthtiseurs de frquence.
Remarques
-

Le diviseur utilis dans la boucle prcdente est de type numrique. Le signal en sortie
du diviseur est donc numrique. La pulsation 0 indique en sortie du diviseur sur la
Figure 13-2 correspond donc au fondamental du signal correspondant.
Lorsque l'oscillateur dlivre un signal sinusodal, il est ncessaire de le transformer en
un signal carr, l'aide d'un comparateur de tension, avant d'attaquer le diviseur.
Bon nombre de comparateurs de phase numriques peuvent tre attaqus directement
par le signal issu du diviseur.

13.2.3 lments constitutifs

Les lments constitutifs de ce circuit sont


-

un comparateur de phase,
un filtre passe-bas,
un oscillateur contrl en tension (ou VCO : Voltage Controlled Oscillateur).

13.3 COMPARATEUR DE PHASE


13.3.1 Rle du dtecteur de phase

Le dtecteur ou comparateur de phase doit fournir, aprs filtrage, une tension continue ou
lentement variable proportionnelle l'cart de phase existant entre les deux signaux d'entre et
de retour de boucle
i, i

uE=KD(i-N)
=KDE

N, N
Figure 13-3 : Dtecteur ou comparateur de phase

13.3.2 Comportement du dtecteur de phase

Le comportement du dtecteur de phase doit tre tudi en fonction des critres suivants :
-

linarit (KD constant ?).

CD:\SE\Cours\Chap13

BOUCLES A VERROUILLAGE DE PHASE

Page 4

Limite des carts de phase admissibles.


Comportement en prsence de signaux de mme frquence et d'amplitude variable.
Comportement en prsence de signaux de frquences diffrentes.

13.3.3 Types de dtecteurs de phase

Il existe deux familles de dtecteurs de phase


1. Les dtecteurs de phase analogique.
1.1 Ce sont les dtecteurs les plus utiliss.
1.2 Ils sont utiliss lorsque le signal d'entre est sinusodal, et en particulier en
prsence de bruit.
2. Les dtecteurs de phase numriques
2.1 Ils sont de plus en plus utiliss en technologie CMOS, TTL ou ECL.
2.2 Signaux d'entre impulsionnels ou carrs avec des niveaux compatibles.
2.3 Les plus simples sont de type combinatoire (fonctionnent avec les niveaux 0
et 1 logiques).
2.4 Les plus sophistiqus sont squentiels (fonctionnent sur les flancs).
13.3.4 Comparateur de phase analogique

Le dtecteur de phase analogique est en fait un multiplieur analogique simplifi, aussi connu
sous le nom de "balanced mixer"
Le multiplieur de phase analogique linaire

13.3.4.1

Le multiplieur analogique linaire est reprsent symboliquement par le schma suivant


ui(t)

uE(t)=Kui(t)uN(t)

uN(t)

Figure 13-4 : Multiplieur analogique linaire

Avec des tensions d'entre de la forme


ui (t ) = U i sin( 0 t + i )
u N (t ) = U N sin( 0 t + N )

13.2

il vient :
u E (t ) =

CD:\SE\Cours\Chap13

K
K
U i U N cos(2 0 t + i + N ) + U i U N cos( i N )
2
2

13.3

BOUCLES A VERROUILLAGE DE PHASE

Page 5

Dans cette expression le terme cos(2 0 t + i + N ) doit tre limin par filtrage pour viter
une modulation parasite de l'oscillateur. Un des rles du filtre de boucle est dont de rejeter ce
terme.
K
Le terme restant, U i U N cos( i N ) , reprsente la tension de commande qui est
2
applique l'oscillateur, un facteur prs si un amplificateur est associ au filtre.
U=f(Ui, UN, i, N)

KU iU N
2

-/2

/2

-/2

/2

E=i-N

Figure 13-5 : Caractristique du multiplieur analogique

Il s'agit d'une fonction cosinusodale qui devient nulle pour i N = / 2 . Lorsque la


boucle est verrouille et fonctionne sa frquence centrale, les deux signaux d'entre et de
retour sont donc dphass de + / 2 ou / 2 . Si la boucle est de signe positif, le point
d'accrochage se situera en A ( / 2) car, en ce point, une variation positive du dphasage
provoque une variation positive de la tension d'erreur. Inversement, si la boucle est de signe
ngatif, le point d'accrochage se situera en B. L'un de ces points est donc forcment stable et
l'autre instable; le choix se fera automatiquement.
Le fonctionnement de ce type de dtecteur de phase n'est linaire que si l'on travaille autour de
l'erreur nulle (point A ou B). La pente de la fonction u 0 = f ( i N ) dfinit la fonction de
transfert et dpend des amplitudes de crte i et N. Si l'on considre que la PLL est
verrouille et fonctionne autour de sa frquence centrale, on trouve
KD =

u E
( i n )
i

=
n =0

K
U i U N
2

13.4

Lorsque la boucle n'est pas verrouille, les frquences d'entre et de retour sont diffrentes. On
a alors, en ngligeant i et N :

CD:\SE\Cours\Chap13

BOUCLES A VERROUILLAGE DE PHASE

Page 6

ui (t ) = U i sin( i t )
u (t ) = U sin( t )
N

13.5

Le produit de ces fonctions nous donne


u E (t ) =

K
K
U i U N cos[( i + N ) t ] + U i U N cos[( i N ) t ]
2
2

13.6

Deux pulsations ( i + N ) et ( i N ) apparaissent. Cette dernire reprsente la pulsation de


battement que laisse passer le filtre passe-bas, si elle est suffisamment basse afin de permettre
l'accrochage de la boucle.
13.3.4.2

Le multiplieur analogique dcoupage (ou modulateur balanc)

La mise en uvre des multiplieurs analogiques linaires peut s'avrer assez dlicate. Leur
utilisation est en outre souvent limite en frquence. Pour ces raisons, on prfre souvent
utiliser un multiplieur analogique dcoupage, dont le schma de principe est le suivant

ui(t)=i sin(it+i)

uE(t)=ui(t) uN(t)

uN(t)
Figure 13-6 : Caractristique du multiplieur analogique dcoupage

Ce type de multiplieur agit comme un interrupteur command par un signal carr, qui fournit
un gain de +1 lorsqu'il est ferm et un gain nul lorsqu'il est ouvert. Le signal de commande est
en gnral le signal de retour fourni par le VCO.
Cette reprsentation trs rudimentaire du multiplieur dcoupage permet d'expliquer
simplement son principe de fonctionnement. Il faut cependant se rappeler que les valeurs de +1
et 0 du gain cit plus haut en rsultent directement et que, pour un circuit rel, ils peuvent
prendre d'autres valeurs, comme 1 ou plus gnralement K, dont il faudra tenir compte lors
de l'analyse du circuit.
Lorsque la PLL est verrouille, la frquence du signal de commande est gale celle du signal
d'entre. La Figure 13-7 reprsente dans ce cas les signaux ui(t), uN(t) et uE(t), ainsi que la
valeur moyenne UE de ce dernier, pour i N = 0 , i N = / 2 , i N =
Lorsque i N = 0 , le signal uE(t) correspond au redressement simple alternance de ui(t),
dont la valeur moyenne vaut :

UE =

CD:\SE\Cours\Chap13

U i

13.7

BOUCLES A VERROUILLAGE DE PHASE

Page 7

On en dduit
UE =

U i

pour i N = 0

pour i N =

UE = 0
UE =

U i

ui(t)

ui(t)

ui(t)

+i

+i

-i

-i

0
3

E=
UN

0
0

uE(t)

uE(t)

uN(t)

UN

UN

E=-/2

E=0

-i

uN(t)

uN(t)

13.8

pour i N =

+i

uE(t)

+i
0
0

UE
UE

UE

-i

Figure 13-7 : Principe du multiplieur dcoupage

Lorsque la boucle est accroche, les signaux d'entre et de retour sont de mme pulsation 0.
Le signal uN(t) est un signal carr dphas de i N par rapport au signal d'entre. Sa
dcomposition en srie de Fourier donne :

1
+
sin[n ( 0 t + i N )]
n=1

2
2
1 2
= U N + sin( 0 t + i N ) sin[3 ( 0 t + i N )] + ...
3
5
2

u N (t ) =

CD:\SE\Cours\Chap13

UN
2

13.9

BOUCLES A VERROUILLAGE DE PHASE

Page 8

Le signal u E (t ) = ui (t ) u N (t ) vaut donc :


2
2
1 2
...
u E (t ) = U i U N sin( 0 t ) + sin( 0 t + i N ) sin[3 ( 0 t + i N )] +
3
5
2

13.1
0

Le filtre passe-bas de la boucle ne laissera passer que la composante continue UE de ce signal.


Celle-ci est issue du produit :
u E (t ) = U i U N sin( 0 t )

sin( 0 t + i N )

13.11

et vaut donc
u E (t ) =

U iU N

cos( i N )

13.12
U=f(Ui, UN, i, N)

U iU N

-/2

/2

-/2

/2

E=i-N

Figure 13-8 : Caractristique du multiplieur analogique dcoupage

Comme pour le cas prcdent, la position stable de ce multiplieur se situe autour de


i N = / 2 , selon le signe de la boucle. Si l'on travaille autour du point d'erreur nulle
(point A ou B), on peut considrer que la fonction de transfert est une droite de pente :
KD =

U iU N

13.13

Le multiplieur par +1 ou 0 prsent ici fait apparatre un terme de frquence f0 dans uE(t), alors
que tous les autres comparateurs de phases font apparatre des frquences de 2f0 et plus (PLL
verrouille). Ce terme est du la composante continue du signal uN(t). Or nous avons vu que,
pour des raisons de stabilit, le filtre passe-bas de la boucle n'a pas intrt prsenter une
frquence de coupure trop faible. C'est pourquoi en pratique on prfrera utiliser un circuit
provoquant une multiplication par 1 ou K sans composante continue.

CD:\SE\Cours\Chap13

BOUCLES A VERROUILLAGE DE PHASE

Page 9

13.3.4.3

Exemples de ralisations pratiques de multiplieurs analogiques

13.3.4.3.1

Utilisation comme multiplieur analogique linaire

Son utilisation comme comparateur de phase dans une PLL est ralis de telle sorte que la
tension d'entre ui(t) est en gnral applique sur l'entre Ui et le signal de retour uN(t) sur
l'entre UN. Ce circuit peut tre utilis comme multiplieur analogique linaire ou dcoupage.

VDD
RC

1
i4+i6= 2 (I-i)

i3+i5= 12 (I+i)

uE(t)

i3

iA

iB
RX

RC

i5

i1

RX

uN(t)

i4

i2
RE

RE

ui(t)
IX

VEE
Figure 13-9 : Principe du multiplieur analogique linaire

Lorsque la boucle est verrouille, on a :


ui (t ) = U i sin( 0 t + i )

13.14

u N (t ) = U N sin( 0 t + N )

13.15

Il vient alors
u E (t ) =

RC
U iU osc sin( 0 t + i ) sin( 0 t + N )
RE R X I X

13.16

avec
UE =

RC
U iU osc cos( i N )
RE R X I X

13.17

et
KD =

CD:\SE\Cours\Chap13

RC
U iU osc
RE R X I X

13.18

i6

BOUCLES A VERROUILLAGE DE PHASE

13.3.4.3.2

Page 10

Utilisation comme multiplieur analogique dcoupage

Seules de grandes amplitudes sont autorises sur l'entre Ui. Une amplitude limite (<<2UT)
doit tre impose sur l'entre UN.
Son utilisation comme comparateur de phase dans une PLL est ralis de telle sorte que la
tension d'entre ui(t) est en gnral applique sur l'entre Ui et le signal de retour uN(t) sur
l'entre UN.
VDD
RC

RC
uE(t)

i3+i5= 21 (Iq+i)

i3

i4+i6= 21 (Iq-i)

i4

i5

i6

uN(t)
i1

i2
R

ui(t)
Iq

VEE
Figure 13-10 : Principe du multiplieur analogique dcoupage

Le signal uN(t) doit tre un signal carr d'amplitude assez grande pour faire travailler les paires
diffrentielles suprieures en saturation. Les courants i1 et i2 modul par ui(t) sont donc chacun
sparment dirigs vers l'une ou l'autre des rsistances RC et priodiquement permut. Le
signal uE(t) (tension diffrentielle de sortie) rsulte donc de la multiplication de RC(i1-i2)par 1
la frquence de uN(t). Lorsque la boucle est verrouille et que les signaux d'entre et de retour
ont la mme frquence f0 on a
ui (t ) = U i sin( 0 t + i )

u N (t ) = U N sign[sin( 0 t + N )]

13.19

o uN(t) est un signal carr de frquence f0, dphas de E par rapport ui(t) et d'amplitude
UN >> 2UT
il vient alors
u E (t ) =

CD:\SE\Cours\Chap13

RC
4
4

U i sin( 0 t + i ) sin( 0 t + osc ) sin (3( 0 t + 0 sc ) ) + ...


3
R

13.2
0

BOUCLES A VERROUILLAGE DE PHASE

Page 11

avec

UE =

2 RC
U i cos( i N )
R

13.21

et par consquent
KD =

2 RC
U i
R

13.22

13.3.5 Dtecteur de phase numrique

13.3.5.1

Le OU exclusif

Le OU exclusif joue, dans le domaine numrique, un rle similaire celui du multiplieur dans
le domaine analogique.
u=0

ui(t)

xx

u(t)
uosc (t)

ui

u=1

00

01

11

10

uosc
D

Figure 13-11 : Dtecteur de phase numrique Ou exclusif

Le niveau bas des signaux logiques est suppos tre 0 alors que le niveau haut est gale une
valeur note U0H. C'est gnralement le cas des circuits intgrs logiques.
On fait l'hypothse que les signaux qui sont appliqus sur les entres du OU exclusif ont un
rapport cyclique gal 0.5, c'est--dire que la dure de l'tat haut est gale celle de l'tat bas.
Cette hypothse sera justifie par la suite.
La sortie du OU exclusif fournit un signal dont le rapport cyclique et directement li au
dphasage E entre les deux signaux d'entre.
La priode du signal de sortie est gale la moiti de celle des signaux appliqus sur les
entres du OU exclusif, ce qui signifie que dans le spectre de ce signal il y a prsence d'une
frquence qui est le double de celle des signaux d'entre. Bien entendu, il y a galement
prsence des harmoniques de cette frquence double.
C'est la valeur moyenne du signal, note U0H qui reprsente la composante utile destine
commander l'oscillateur. La Figure 13-13 donne la valeur de cette tension moyenne en
fonction du dphasage E entre les deux signaux.
Comme pour le multiplieur analogique, il y a une pente positive et une pente ngative.
L'asservissement, lorsqu'il a lieu, se fait "automatiquement" sur la pente prsentant le signe
correct.

CD:\SE\Cours\Chap13

BOUCLES A VERROUILLAGE DE PHASE

Page 12

u i (t)

u N (t)
00

11

u E (t)

50

10

15

20

25

30
t [u s]

Figure 13-12 : Chronogramme du dtecteur de phase numrique OU exlcusif

La tension moyenne U0H est centre sur zro moyennant une tension de dcalage gale
1
U 0H .
2
l'quilibre, le point de fonctionnement se situe au voisinage du milieu des plages linaires,
prs de A ou de B, les signaux d'entre tant alors dphass, l'un par rapport l'autre de /2
environ.
UE
U0H

(a) Avec composante continue non-nulle


UE
U0H /2

-U0H/2

(b) Avec composante continue nulle


Figure 13-13 : Caractristique du comparateur de phase numrique (OU exclusif)

Le gain de ce comparateur est gal V0H/ en [V/rad].


CD:\SE\Cours\Chap13

BOUCLES A VERROUILLAGE DE PHASE

Page 13

Revenons sur l'hypothse prcdente concernant les rapports cycliques des signaux appliqus
sur les entres du OU exclusif, gaux .
Considrons, titre d'exemple, le cas ou le signal ui(t) a un rapport cyclique gal , alors que
uN(t) a un rapport cyclique gal 1/6.
La Figure 13-14 montre clairement que les plages linaires sont rduites. Ceci signifie que la
plage de fonctionnement est rduite dans les mmes proportions.
Toutes les configurations pour lesquelles l'un des deux signaux ou les deux n'ont pas un
rapport cyclique de conduisent une rduction des plages linaires.
Ceci explique qu'il soit prfrable d'avoir des signaux de rapport cyclique gal .
Si les signaux comparer ne vrifient pas cette hypothse, il suffit de diviser chacun d'entre
eux par 2, l'aide d'une bascule, de faon obtenir des signaux carrs. Ceci prsente toutefois
l'inconvnient de ncessiter une rduction dans un rapport 2 de la bande passante de
l'asservissement puisque la frquence d'entre de la boucle est divise par 2.
UE
U0H/2
2

0
-U0H /6

Figure 13-14 : Caractristique du comparateur de phase numrique non symtrique

13.3.5.2

Le OU exclusif avec bascules de symtrisation

Dans le cas o les signaux appliqus au OU exclusif n'auraient pas un rapport cyclique gal
, il est possible de contourner le problme, sans pour autant diviser les signaux par deux, en
ajoutant deux bascules D conformment la Figure 13-15. Les signaux ui(t) et uosc(t) peuvent
tre des impulsions fines puisque les bascules ne sont dclenches que sur un front positif
appliqu sur l'entre horloge.
D

1
ui(t)

CLK Q

uE(t)
D

2
uN(t)

CLK Q

Figure 13-15 : Symtrisation d'un comparateur de phase (OU exclusif)

CD:\SE\Cours\Chap13

BOUCLES A VERROUILLAGE DE PHASE

Page 14

Le chronogramme qui suit explique le fonctionnement de ce comparateur (on suppose ici que
les frquences des signaux ui(t) et uN(t) sont identiques, le dphasage entre les deux signaux
tant quelconque.
Dans le chronogramme de la Figure 13-16, les entres D1 = Q2 et D2 = Q1 ont t choisies au
niveau bas au dpart.
En fait quelle que soit la configuration de dpart de ces entres, la sortie OU exclusif sera
identique celle de la Figure 13-15.
La valeur moyenne du signal S, en fonction du dphasage, est reprsente sur la Figure 13-17.
Cette courbe ne prsente plus qu'une seule pente contrairement la valeur moyenne de la sortie
du OU exclusif seul qui en compte deux. Il faut donc faire attention au signe de
l'asservissement.

u i (t)

u N (t)

u E (t)

50

10

15

20

25

30
t [u s]

Figure 13-16 : Chronogramme d'un comparateur de phase (OU exclusif) aprs symtrisation

UE
U0H
P

Figure 13-17 : Tension moyenne de sortie en fonction du dphasage

13.3.5.3

Le comparateur de phase bascule

La Figure 13-18 illustre deux types de comparateur de phase bascule. Les signaux dont on
veut comparer les phases sont appliqus respectivement sur les entres SET ou CLK et
RESET des bascules Le signal ui(t) qui sert d'horloge, dclenche la bascule sur le front
montant. La largeur de cette impulsion n'a pas d'importance. En revanche, l'impulsion uN(t),
qui attaque une entre forant la mise zro (RESET), doit avoir une largeur faible.
CD:\SE\Cours\Chap13

BOUCLES A VERROUILLAGE DE PHASE

Page 15

uE = 0
ui(t)

uE(t)

11

uE = 1
11

xx
ui

10

uN

01

uN(t)

00
Bascule RS

VCC
Q

1
ui(t)

CLK Q

uE(t)

uN(t)
Flip-Flop
Figure 13-18 : Comparateur de phase : Bascule

La sortie dlivre un signal dont la priode est identique celle de chacun des signaux ui(t) et
uN(t). Le signal uE(t) sur la sortie prsente un rapport cyclique qui est directement li au
dphasage des signaux ui(t) et uN(t).

u i (t)

u N (t)

10 11

u E (t)
B ascule R S

Flip Flop
-0.5

50

10

15

20

Figure 13-19 : Comparateur de phase : Chronogramme

CD:\SE\Cours\Chap13

25

30
t [us]

BOUCLES A VERROUILLAGE DE PHASE

Page 16

La valeur moyenne UE de ce signal, fonction du dphasage E, est identique celui de la


Figure 13-17.
Le fondamental ainsi que les harmoniques du signal de sortie doivent donc tre filtrs par le
filtre de boucle.
Lorsque le verrouillage a lieu. le point de fonctionnement se situe sensiblement au milieu le la
plage linaire, au voisinage du point P, les deux signaux appliqus au comparateur tant alors
dphass de .
Le gain de ce comparateur est U0H/2 en [V/rad].
Ce type de comparateur ne prsente plus qu'une seule pente contrairement aux comparateurs
prcdents. Il en rsulte que l'on ne peut pas connecter indiffremment les signaux, dont on
veut comparer les phases, sur l'entre horloge ou l'entre remise zro. Ainsi, si l'on suppose
que dans l'asservissement il n'y a pas d'amplificateur de type inverseur, le signal ui(t) d'entre
de la boucle doit tre connect sur l'entre horloge alors que le signal issu de l'oscillateur
command en tension uN(t) sert de remise zro de la bascule.
Ceci peut tre vrifi par le raisonnement suivant. Notons i (t ) = 0 t + i (0) la phase globale
du signal en entre de la boucle et osc (t ) = N 0 t + osc (0) la phase globale du signal de sortie
du VCO. Supposons que la pulsation de l'oscillateur ait tendance diminuer. La phase globale
du signal de l'oscillateur peut alors s'crire

osc (t ) = ( N 0 osc ) t + osc (0)

13.23

La diffrence ou erreur de phase entre l'entre et la sortie devient alors


1
[( N 0 osc ) t + osc (0)]
N
(0)
t + i (0) osc
N

E (t ) = 0 t + i (0)
osc
=
N

13.24

Le dphasage a donc t augment d'une quantit t/N par rapport sa valeur l'quilibre
i-N . Cette augmentation de E se traduit par une augmentation de la tension moyenne issue
du comparateur de phase (voir Figure 13-17), destine commander l'oscillateur. Comme en
gnral pour les oscillateurs la frquence de sortie augmente avec la tension de commande,
l'augmentation du dphasage prcdent aura pour effet d'augmenter la frquence de sortie, ce
qui contrebalance la variation de pulsation de dpart.
Ce type de raisonnement est bien entendu valable galement dans l'autre sens si la pulsation de
l'oscillateur a tendance augmenter.
13.3.5.3.1

Comparateur de phase chantillonnage

Tous les comparateurs prcdents prsentent en sortie des composantes parasites que le filtre
de boucle est charg d'liminer.
Dans le cas du comparateur chantillonnage, ces composantes parasites peuvent tre rduites
de faon considrable.
Le principe mis en uvre dans ce type de comparateur est reprsent ci-aprs

CD:\SE\Cours\Chap13

BOUCLES A VERROUILLAGE DE PHASE

Page 17

Zin lev
ui(t)

Gnrateur
de
rampes

Amplificateur
uE(t)

uN(t)

Figure 13-20 : Comparateur de phase chantillonnage simple

Le signal d'entre ui(t) est utilis pour crer une rampe note ui'(t) qui est applique au
comparateur de phase. Le deuxime signal uN(t) sert chantillonner la rampe ui'(t). Le signal
de sortie uE(t) est pratiquement une copie de la rampe pendant la dure de l'impulsion
d'chantillonnage. La capacit C place derrire l'chantillonneur permet de maintenir la
tension la valeur qu'elle avait juste avant l'ouverture de l'interrupteur, l'ensemble constituant
un chantillonneur-bloqueur. Afin d'viter que la capacit C ne se dcharge pendant l'ouverture
de la porte d'chantillonnage, elle est suivie par un amplificateur prsentant une impdance
d'entre trs leve.
Le signal en sortie de l'amplificateur est pratiquement une tension continue, le niveau des
composantes parasites tant considrablement rduit. Ceci a pour consquence que le filtrage
ncessaire pour attnuer ces parasites peut tre rduit, permettant ainsi une augmentation de la
bande passante de l'asservissement.
U
Le gain de ce comparateur est G en [V/rad], avec G la tension maximum de la dent de scie
2
la sortie du gnrateur de rampe.
ui(t)

uN(t)

uE(t)
t
Figure 13-21 : Comparateur de phase chantillonnage simple: Chronogramme

Pour certaines applications ncessitant un niveau de parasites trs faible, la structure peut tre
amliore en faisant suivre le premier chantillonneur par un deuxime, l'instant
d'chantillonnage tant dcal par rapport au premier.

CD:\SE\Cours\Chap13

BOUCLES A VERROUILLAGE DE PHASE

Page 18

Zin lev
ui(t)

Zin lev

Amplificateur

Gnrateur
de
rampes

u'(t)

uE(t)

Amplificateur
uE(t)

u'E(t)
Retard pur

Figure 13-22 : Comparateur de phase chantillonnage double

Il faut tre conscient qu'un chantillonnage supplmentaire augmente le temps de propagation


ce qui affecte le dphasage et peut avoir des consquences sur la stabilit de l'asservissement
Supposons que l'impulsion uosc(t) n'chantillonne la rampe qu'une fois toutes les deux priodes,
la tension en sortie de l'chantillonneur pouvant a priori tre la mme que prcdemment. Dans
ce cas, la frquence de la rampe ui'(t) est le double de la frquence de l'impulsion uosc(t). Ceci
signifie que la boucle verrouillage de phase peut fournir un signal de sortie dont la frquence
est diffrente de celle du signal d'entre, le rapport entre les deux frquences tant un entier. Ce
phnomne est un inconvnient (sauf si cette condition est volontairement recherche) et peut
tre vit en interdisant l'oscillateur d'atteindre une frquence qui soit le multiple ou le sousmultiple de la frquence applique l'entre de la boucle.
ui(t)

uN(t)

u'(t)
t

u'N(t)
t

uE(t)
t

Figure 13-23 : Comparateur de phase chantillonnage double: Chronogramme

13.3.6 Le comparateur de phase frquence

13.3.6.1

Gnralits

Ce type de comparateur est galement connu sous le nom: "comparateur trois tats".

CD:\SE\Cours\Chap13

BOUCLES A VERROUILLAGE DE PHASE

Page 19

L'appellation "phase frquence" provient du fait que ce dispositif fonctionne en comparateur


de frquence, avant que le verrouillage n'ait eu lieu, et fonctionne en comparateur de phase une
fois la boucle verrouille. Le fonctionnement en comparateur de frquence, lors de la mise
sous tension, permet de ramener l'oscillateur au voisinage du verrouillage. En d'autres termes,
ce comparateur permet une aide l'acquisition de la boucle.
Le principe de ce comparateur est illustr par la Figure 13-24
ui(t)

U
"Pompe

charge"

Logique
squentielle
uN(t)

Filtre
de
boucle

u0(t)

Figure 13-24 : Principe du comparateur de phase trois tats

Les deux signaux ui(t), uN(t) dont on veut comparer les phases, i(t) respectivement N(t) sont
appliqus sur les entres d'un circuit squentiel qui dlivre deux sorties notes U et D. Ce sont
les transitions des signaux ui(t), uN(t) qui dclenchent les changements d'tats du circuit
squentiel, et qui modifient donc les sorties U et D permettant chacune d'activer ou non une
source de courant ou de tension.
Lorsqu'une transition de ui(t) prcde une transition de uN(t), la transition de ui(t) fait passer U
l'tat actif, la transition de uN(t) ramenant U l'tat passif. Pendant ce temps, la sortie D reste
en permanence l'tat passif.
Inversement, lorsqu'une transition de uN(t) prcde une transition de ui(t), la transition de uN(t)
fait passer D l'tat actif, la transition de ui(t) ramenant U l'tat passif. Pendant ce temps, la
sortie U reste en permanence l'tat passif.
Les sorties U et D peuvent tre toutes les deux l'tat passif, lorsque les transitions de ui(t) et
uN(t) concident, mais en aucun cas elles ne peuvent tre simultanment actives.
La "pompe charge" (charge-pump en Anglais) est utilise pour convertir les niveaux logiques
en niveaux analogiques destins commander l'oscillateur. Le filtre de boucle est associ la
pompe charge et a pour rle de filtrer les composantes parasites.
La pompe charge associe au filtre de boucle peut tre ralise de diffrentes manires.
Une pompe charge est constitue par un interrupteur trois positions qui est command par
le circuit squentiel. Lorsque l'interrupteur est dans les positions U ou D, il dlivre une tension
U ou un courant I. Dans la position N, l'interrupteur est ouvert, isolant le filtre de boucle de
la pompe charge. Cet tat haute impdance n'existe pas dans les autres comparateurs de
phase.
Les filtres de boucle peuvent tre passifs ou actifs.
La configuration (b) de la Figure 13-25 correspond celle que l'on rencontre le plus souvent en
pratique. Le comportement des boucles mettant en uvre les autres configurations peut se
dduire simplement partir de la configuration (b)

CD:\SE\Cours\Chap13

BOUCLES A VERROUILLAGE DE PHASE

Page 20

IS
US

Source

US

Sink

u(t)

Source

Sink

Z
IS

(a)

(b)
IS
Z

Z
US

Source

Source

R
u(t)

US

Sink

R
u(t)

Sink

IS

(c)

(d)

Figure 13-25 : Configuration en tension et en courant du comparateur trois tats

13.3.6.2

Comparateur de phase trois tats

13.3.6.2.1

Gnralits

A titre d'exemple, considrons la ralisation illustre la Figure 13-26 :


Le circuit squentiel est ralis l'aide de deux bascules D et d'une porte logique. Dans la
configuration prsente, il s'agit de logique standard TTL, les entres " Reset " tant actives au
niveau bas.
Le signal d'entre de la boucle de phase ui(t) ainsi que le signal issu de l'oscillateur uN(t)
attaquent les entres horloge des bascules. Chaque bascule ne peut commuter que sur une
transition positive du signal appliqu sur l'entre horloge.
Lorsque les sorties Q1 et Q2 sont toutes les deux l'tat haut, la porte logique applique un
niveau bas sur les entres " Reset ", les sorties des bascules repassant alors zro.
T1 et T2 ralisent les sources de courant destines fournir ou recevoir du courant du filtre de
boucle. Lorsque T1 est passant (sink), le courant vient du filtre. Dans le cas o T2 est passant
(source), le courant est fourni au filtre.
Dans cet exemple, le filtre de boucle est ralis simplement par la mise en srie d'un
condensateur C et d'une rsistance R.
Il est noter que gnralement l'impdance d'entre prsente par l'oscillateur est infinie ou du
moins trs grande compare l'impdance prsente par le filtre de boucle, de sorte que la
seule charge que voient les sources de courant ralises par les deux transistors T1, et T2 est le
filtre lui-mme.

CD:\SE\Cours\Chap13

BOUCLES A VERROUILLAGE DE PHASE

Page 21

VCC
RC

R2

RC

D2
T2
uE(t)
T3

T1

D1
R1

RE

C
RE

VCC

V CC
D

uN(t)

CLK Q
Reset

ui(t)

Q CLK
Reset

Figure 13-26 : Comparateur de phase trois tats : schma de principe

Le graphe d'tat associ au comparateur de phase trois tats est reprsent la Figure 13-27

00
xx
ui

01
uN

00
10

10

00
01

01

10

Sortie 3 tats
Q1,Q2

11

I
0,1

11

11

Haute impdance

-I

0,0 et 1,1

1,0

Figure 13-27 : Comparateur de phase trois tats : graphe d'tats

La polarisation du montage transistors est telle que si la sortie Q d'une bascule est l'tat
haut, le transistor qui lui est reli est bloqu.
Les paragraphes suivants sont ddis une analyse du fonctionnement de ce montage.
13.3.6.2.2

La frquence du signal ui(t) est suprieure celle de uN(t)

La partie active du graphe d'tat est donne par la Figure 13-28


CD:\SE\Cours\Chap13

BOUCLES A VERROUILLAGE DE PHASE

Page 22

La sortie Q 2 est presque toujours l'tat haut, bloquant le transistor T3, alors que la sortie Q1
est alternativement au niveau haut et au niveau bas. Il en rsulte que le transistor T1 est
alternativement bloqu ou passant. Ainsi tout se passe comme si, en moyenne, seul le transistor
T1 tait passant, le transistor T2 tant bloqu. La sortie uE(t) dcrot alors jusqu' atteindre le
niveau de tension le plus bas du circuit.

00
xx
ui

10
uE

01

10

11

Sortie 3 tats
Q1,Q2

Haute impdance

-I

0,0 et 1,1

1,0

0,1

Figure 13-28 : Partie active du graphe d'tat pour Fi > Fosc

uN(t)

Frquence de sortie
du diviseur

ui(t)

Frquence de la rfrence

Q1

Sortie de la bascule 1

Q2

Sortie de la bascule 2

i0(t)

Source de courant de sortie

uE(t)
Tension de sortie

10

15

20

25

30
t [us]

Figure 13-29 : Condition sur les frquences : Fi > FN

13.3.6.2.3

La frquence du signal uN(t) est suprieure celle de ui(t)

La partie active du graphe d'tat est donne par la Figure 13-30


La sortie Q2 est presque toujours l'tat haut, bloquant le transistor T1 alors que la sortie Q1
est alternativement au niveau haut et au niveau bas. Il en rsulte que le transistor T3 est
alternativement bloqu ou passant, permettant la conduction ou la non conduction du transistor
T2. Ainsi tout se passe comme si, en moyenne, seul le transistor T2 tait passant, le transistor T1
CD:\SE\Cours\Chap13

BOUCLES A VERROUILLAGE DE PHASE

Page 23

tant bloqu. La sortie uE(t) crot alors jusqu' atteindre le niveau de tension le plus lev du
circuit.

00
xx
ui

01

10

uN

01

11

Sortie 3 tats
Q1,Q2

Haute impdance

-I

0,0 et 1,1

1,0

0,1

Figure 13-30 : Partie active du graphe d'tat pour Fi < FN

uN(t)

Frquence de sortie
du diviseur

ui(t)

Frquence de la rfrence

Q1

Sortie de la bascule 1

Q2

Sortie de la bascule 2

i0(t)

Source de courant de sortie

uE(t)

Tension de sortie
0

50

10

15

20

25

30
t [us]

Figure 13-31 : Condition sur les frquences : Fi < FN

13.3.6.2.4

La frquence du signal uN(t) est gale celle du signal ui(t), mais le signal ui(t)
est en avance sur le signal uN(t).

Dans ce cas le chronogramme de la Figure 13-29 reste valable, la seule diffrence portant sur
le signal Q2 qui conserve un rapport cyclique constant. La sortie volue donc jusqu' atteindre
la tension la plus basse du montage.

CD:\SE\Cours\Chap13

BOUCLES A VERROUILLAGE DE PHASE

Page 24

uN(t)

Frquence de sortie
du diviseur

ui(t)

Frquence de la rfrence

Q1

Sortie de la bascule 1

Q2

Sortie de la bascule 2

i0(t)

Source de courant de sortie

Tension de sortie

uE(t)
0

50

10

15

20

25

30
t [us]

Figure 13-32 : Condition sur les frquences et les phases : Fi = FN et E = i N > 0

13.3.6.2.5

La frquence du signal uN(t) est gale celle du signal ui(t), mais le signal uN(t)
est en avance sur le signal ui(t).

Dans ce cas le chronogramme de la Figure 13-30 reste valable, la seule diffrence portant sur
le signal Q1 qui conserve un rapport cyclique constant. La sortie volue jusqu' atteindre la
tension la plus leve du montage.

uN(t)

Frquence de sortie
du diviseur

ui(t)

Frquence de la rfrence

Q1

Sortie de la bascule 1

Q2

Sortie de la bascule 2

i0(t)

Source de courant de sortie

Tension de sortie

uE(t)

-2

50

10

15

20

25

30
t [us]

Figure 13-33 : Condition sur les frquences et les phases : Fi = FN et E = i N < 0

CD:\SE\Cours\Chap13

BOUCLES A VERROUILLAGE DE PHASE

13.3.6.2.6

Page 25

La frquence du signal uN(t) est gale celle du signal ui(t) et ils sont en phase.

uN(t)

Frquence de sortie
du diviseur

ui(t)

Frquence de la rfrence

Q1

Sortie de la bascule 1

Q2

Sortie de la bascule 2

i0(t)

Source de courant de sortie

Tension de sortie

uE(t)

50

10

15

20

25

30
t [us]

Figure 13-34 : Condition sur les frquences et les phases : Fi = FN et E = i N = 0

Dans ce cas le chronogramme est reprsent sur la Figure 13-34. C'est la situation que l'on
rencontre lorsque la boucle est verrouille.

uN(t)

Frquence VCO

ui(t)

Frquence Rfrence

i0(t)

+I
Source de courant
de sortie

0
-I

Tension de sortie
uE(t)
Fi

FN
2MHz

Frquences d'entres :
Fi et FN

1.5MHz
1MHz

2.5

7.5

10

12.5

15

Figure 13-35 : Conditions dynamiques sur les frquences

Les sorties Q2 et Q1 sont en phase. Le comparateur est donc thoriquement dans l'tat haute
impdance. C'est la situation que l'on rencontre lorsque la boucle est verrouille.
CD:\SE\Cours\Chap13

BOUCLES A VERROUILLAGE DE PHASE

Page 26

En pratique, cet tat ne peut se maintenir. L'asservissement tant oblig de corriger en


permanence le VCO en faisant fonctionner alternativement les transistors T1 et T2, ce qui se
traduit par des pics positifs ou ngatifs qui sont superposs au signal de sortie
II est important de noter que les pics observs n'ont pas forcment la mme priode que celle
des signaux ui(t) et uN(t). Cette priode peut ventuellement tre plus leve. Dans bon nombre
de cas, il faut liminer ces pics par un filtrage supplmentaire.
13.3.6.2.7

Gain du comparateur phase frquence

Considrons le cas o les signaux appliqus au comparateur phase frquence ont mme
frquence, le dphasage entre les deux pouvant tre diffrent de zro. Notons I le module du
courant que T2 peut fournir au filtre ou que T1 peut recevoir du filtre. Ainsi, la valeur moyenne
du courant circulant dans le filtre, au cours d'une priode des signaux d'entre, est gale
imoyen (t ) =

E (t )
I
2

13.25

E reprsente le dphasage entre les deux signaux ui(t) et uN(t). Ce dphasage peut tre positif
ou ngatif. La courbe ci-aprs reprsente l'volution du courant moyen en fonction du
dphasage.
Imoy ()
+I
4

-I
Figure 13-36 : Courant moyen en fonction du dphasage E

13.4 OSCILLATEURS COMMANDS EN TENSION VCO


13.4.1 Oscillateur de type LC (sinusodal)

13.4.1.1

Gnralits

Un oscillateur circuit accord LC est un circuit boucl auto-oscillant travaillant suivant la


condition de Barkhausen. On fait varier la frquence d'oscillation en agissant sur l'un des deux
lments du circuit bouchon L ou C
Pour commander l'oscillateur avec un signal lectrique, on utilise gnralement une diode
Varicap connecte en parallle (du point de vue des petits signaux) avec un circuit LC et
polaris en inverse par une tension de commande. Cette tension est gnralement applique
aux bornes de la Varicap par le biais d'une rsistance de trs grande valeur ou une inductance
(de trs grande impdance en HF), La connexion de la diode en parallle avec le circuit LC est
ralise par une capacit de liaison (impdance ngligeable en HF et infinie en DC, permettant

CD:\SE\Cours\Chap13

BOUCLES A VERROUILLAGE DE PHASE

Page 27

de sparer les niveaux DC aux bornes de la Varicap et du circuit bouchon). Cette capacit de
liaison est souvent (avantageusement) remplace par une seconde diode Varicap, dont
l'impdance en HF n'est pas ngligeable, mais galement variable avec la tension de
commande.

Rp ou Lp

CL
Rp ou Lp

C
CV

u0

L
u0

CV1
C
CV2

Figure 13-37 : Circuits oscillants

Mme s'il semble correct du point de vue des accroissements, le branchement direct de la
diode Varicap entre le nud o de l'nergie est fournie au circuit LC et la tension de
commande u0, n'est pas toujours un choix judicieux. En effet, la source de tension qui gnre
u0 est ncessairement associe une rsistance de source et sa sortie ne reprsente donc pas
exactement une masse du point de vue des accroissements. Cela revient donc ajouter une
rsistance de perte en parallle avec le circuit bouchon, ce qui se traduit par une dgradation du
facteur de qualit du rsonateur.
L'allure de la loi de variation de la capacit cathode-anode d'une diode (polarise en sens
inverse) en fonction de la tension ses bornes est montre la Figure 13-38. La non linarit
de cette loi (hyperbolique) fait que la fonction de transfert du VCO n'est linaire que pour de
faibles variations autour d'un point de repos donn.

Figure 13-38 : Capacit cathode-anode d'une diode Varicap en fonction de sa tension inverse

La frquence de fonctionnement des oscillateurs LC se situe au-del du mgahertz. Les


montages sont de type Hatley, Colpitts ou Clapp. La frquence centrale peut tre stabilise par
un quartz. Quelques exemples de circuits sont donns ci-aprs

CD:\SE\Cours\Chap13

BOUCLES A VERROUILLAGE DE PHASE

Page 28

13.4.1.2

Oscillateur Colpitts contrl en tension lments discrets

13.4.1.2.1

Circuit JFET

La rsistance R, qui peut reprsenter la charge extrieure, permet de polariser la grille du JFET
au potentiel de masse. La rsistance Rs fixe le courant de polarisation, la capacit Cs, de grande
valeur permet le dcouplage de la source du JFET (court circuit pour les signaux HF).
L'impdance de sortie de l'lment actif, qui ne doit pas tre nulle, est constitue par la mise en
parallle de R et de RD. La capacit d'accord a t divise en C1 et C2, ce qui permet de
prlever une partie du signal de sortie pour le rinjecter l'entre de l'lment actif. La capacit
CL est une capacit de liaison permettant le dcouplage DC entre le drain du JFET et la sortie.

RD
CL
C1

CV1
R

RS

u0

Rp ou Lp

L
CV2

u0

C2

CS

Figure 13-39 : Oscillateur Colpitts (circuit avec JFET)

13.4.1.2.2

Circuit BJT

Dans cet exemple, le transistor bipolaire travaille en base commune. La tension de la base est
dtermine par les rsistances RB1 et RB2 et stabilise par la capacit CB (base relie la masse
pour les signaux HF).

u0

Rp

RB1
L

CV1

C1

CV2

L
C2

uosc

RB2

CB

RE
VSS

Figure 13-40 : Oscillateur de Colpitts (circuit avec JBJT)

CD:\SE\Cours\Chap13

BOUCLES A VERROUILLAGE DE PHASE

Page 29

Le courant de polarisation du transistor bipolaire est fix par RE. L'entre de l'lment actif est
l'metteur du transistor et est donc reli au point commun de C1 et C2.
13.4.1.3

Oscillateur stabilis par un quartz

On peut stabiliser par quartz les oscillateurs de toutes technologies. La Figure 13-41 illustre un
exemple de VCO lments discret de type Pierce.
VDD
RB

Lchoc
CL

uosc

Quartz
C1

C2

u0

Figure 13-41 : Oscillateur de Pierce stabilis par un quartz

13.4.2 Oscillateurs relaxation

13.4.2.1

Description gnrale

La Figure 13-42 montre le schma de principe de l'oscillateur relaxation


VDD

i0 [u0(t)]

u0(t)

i0 [u0(t)]

C
uosc (t)

VSS

Figure 13-42 : Oscillateur relaxation : Schma de principe

Il s'agit du schma de base de tout gnrateur de fonctions. Il se rencontre sous forme intgre
( amplificateur oprationnel ou circuit spcifique) pour une frquence maximale de quelques

CD:\SE\Cours\Chap13

BOUCLES A VERROUILLAGE DE PHASE

Page 30

centaines de kHz ou sous forme de circuit transistors au-del du MHz. Il comporte trois
lments :
un ou deux gnrateurs de courant commands pour la tension d'entre.
un condensateur charg puis dcharg par le biais de ce ou ces gnrateurs (cet ensemble
reprsente l'intgrateur).
un trigger de Schmitt basculant en fonction de la tension aux bornes du condensateur et
contrlant la charge et la dcharge de celui-ci.
La sortie de cet ensemble prsente des signaux carrs (sortie du trigger) et triangulaires
(tension aux bornes du condensateur). Il est possible de gnrer des signaux sinusodaux si on
lui adjoint un conformateur diodes (convertisseur triangle-sinus).
13.4.2.2

Exemple de ralisation

La Figure 13-43 montre un exemple pratique de VCO relaxation


Le transistor Q5 joue le rle d'un interrupteur command par le comparateur hystrse noninverseur que constituent l'amplificateur oprationnel et les rsistances RA et RB. Lorsque ce
transistor est bloqu (uosc(t)=Vss), le courant i0(t) passe par la diode D2 et charge la capacit. La
tension uC(t) crot donc linairement, jusqu' atteindre le seuil de basculement suprieur UH+
du comparateur hysthrse. A ce moment, le transistor du bas devient passant (uosc(t)=VDD),
ce qui provoque la chute de la tension du nud A et le blocage de la diode D2. Le courant i0(t)
passe alors par la diode D1 et traverse le transistor d'entre Q3 du miroir NPN (Q3; Q4). Le
transistor de sortie Q4 du miroir soutire donc le mme courant i0(t) au nud B. Comme ce
courant ne peut pas provenir de la diode D2 bloque, il est ncessairement fourni par la
capacit C qui se dcharge. La tension uC(t) dcrot donc linairement, jusqu' atteindre le seuil
de basculement infrieur UH- du comparateur hysthrse. Le transistor Q5 se bloque
nouveau et le cycle recommence
VDD

Q2

Q1
R1

RB

i0(t)
u0(t)

A
D1

RA

D2
B

Q3

R2

iC(t)
Q4 C

uC(t)

R3
R4
Q5

VSS

Figure 13-43 : Oscillateur relaxation : exemple de ralisation

CD:\SE\Cours\Chap13

uosc(t)

BOUCLES A VERROUILLAGE DE PHASE

Page 31

uC(t), uA(t)
+

Uj

uA(t)

uC(t)

0
-

VSS+2Uj+R2I0

t [s]
uosc(t)
VDD

VSS
t [s]

Figure 13-44 : Reprsentation graphique des signaux

En faisant l'hypothse que la tension d'entre est constante, u0(t)=U0, le courant iC(t) a l'allure
du signal uosc(t) invers et vaut I0.
uC (t ) = uC (0) +

iC (t ) t
C

13.26

Si on a pour conditions initiales : uC (0) = 0 et u osc (0) = VSS , il vient :


iC (t ) = + I 0 pour 0 t

T
4

et

13.27

uC (T / 4) =

I 0T
=V +
4C

Sachant d'autre part que :


I0 =

VDD U J U 0
R1

pour U 0 VDD U J

13.28

pour VDD = VSS

13.29

et que
V + = V =

RA
VDD
RB

on trouve finalement

CD:\SE\Cours\Chap13

BOUCLES A VERROUILLAGE DE PHASE

osc =

Page 32

RB
2
=
(VDD U J U 0 )
T
2VDD R A R1C

13.30

osc

pente : K0=

RB
2VDDR1RAC

osc

VDD-Uj

u0

Figure 13-45 : Fonction de transfert

13.4.3 Le multivibrateur astable

13.4.3.1

Description gnrale

Le multivibrateur astable est trs rpandu dans les circuits intgrs analogiques. Sa conception
et son emploi sont particulirement aiss. Il en existe de nombreux types. L'un des plu simples
et le multivibrateur couplage par l'metteur dont le schma de principe est reprsent la
Figure 13-46
VDD
R

Q1

Q2
C
I

Figure 13-46 : Multivibrateur astable couplage par l'metteur : schma de principe

Pour l'tude de fonctionnement, on fait l'hypothse que l'un des transistors conduit et que
l'autre est bloqu.
1re phase : Phase de charge (ou de dcharge).
Le transistor Q2 laisse passer un courant 2I constitu du courant I soutir par la source
situe dans son metteur auquel s'ajoute le courant I soutir par l'autre source et qui
passe travers le condensateur qui se charge (ou se dcharge).

CD:\SE\Cours\Chap13

BOUCLES A VERROUILLAGE DE PHASE

Page 33

Les tensions de base sont constantes. Celle de Q1 vaut VDD-2RI et celle de Q2 vaut
VDD-2RI/2 VDD
La tension d'metteur de Q2 reste constante et vaut environ VDD-Uj alors que celle de
Q1 dcrot linairement
er
2 phase : Phase de basculement.
Il y a basculement lorsque la tension d'metteur de T1 atteint VDD-2RI-Uj (0.7V sous
la base).
L'entre en conduction de Q1 fait brusquement chuter la tension de base de Q2 qui se
bloque.
Comme aucun courant ne traverse plus la rsistance de collecteur de Q2, la tension de
base de Q1 remonte instantanment VDD.
Ce saut de tension, qui vaut V=2RI, est soumis l'metteur de Q1 (car comme Q1
conduit, on a UBE1UJ=cte) puis l'metteur de Q2 (car la charge stocke dans C ce
moment ne peut pas varier instantanment), ce qui renforce encore le blocage de ce
transistor.
On se retrouve alors dans le cas de la 1ere phase, mais avec les rles inverss pour Q1
et Q2.
Q1 bloqu
Q2 conduit

uE1 (t)

Q1 bloqu
Q2 conduit

Q1 bloqu
Q2 conduit

Q1 bloqu
Q2 conduit

VDD -Uj+ V
VDD -Uj
VDD -Uj- V

t [s]

uB2(t)
VDD
VDD - V

t [s]

uB1(t)
VDD
VDD - V

t [s]

uE1 (t)
VDD -Uj+ V
VDD -Uj
VDD -Uj- V

t [s]

uC(t)
+ V
0
- V

t [s]

Figure 13-47 : Reprsentation graphique des signaux

CD:\SE\Cours\Chap13

BOUCLES A VERROUILLAGE DE PHASE

Page 34

Remarques
Il faut que V=2RIUj sinon le transistor qui conduit est satur
Il existe un tat stable de ce circuit. Il s'agit du cas o les deux transistors conduisent.
Ce cas ne prsente videmment aucun intrt ici, mais le fait qu'il puisse se produire
signifie que ce circuit peut poser des problmes de dmarrage.
13.4.3.2

Utilisation en monostable

La capacit est toujours traverse par le courant I (dans un sens ou dans l'autre). La variation
de la tension UC ses bornes en fonction du temps vaut donc :
uC (t ) =

I t
C

13.31

En outre pour t=T/2 on a


uC (T / 2) = 2 V = 4 RI

13.32

On trouve finalement :
f =
13.4.3.3

1
I
1
=
=
indpendant de I
T 4 C V 8 RC

13.33

Transformation en VCO

La relation 13.33 montre que l'on peut rgler la frquence en contrlant I, condition de garder
V constant (indpendant de I).
VDD
R

R
Q3

Q4

Q1

Q2
I q Iq
I

Q5

Q6
u0(t)
RE

RE

Figure 13-48 : Multivibrateur astable couplage par l'metteur : schma final

Le schma de la Figure 13-46 de la manire suivante :

CD:\SE\Cours\Chap13

BOUCLES A VERROUILLAGE DE PHASE

Page 35

on fixe V=Uj=cte en plaant une diode (dans le sens passant) en parallle avec R (qui
doit subsister afin de laisser passer le courant de base du transistor conducteur)
Pour viter la saturation du transistor conducteur, on dcale les niveaux des tensions de
collecteur de Uj avant de les transmettre aux bases opposes. Ce dcalage se fait au
moyen de deux metteurs suiveurs (collecteurs communs).
On remplace les sources de courant I par des sources de courant commandes en tension.

Pour ce circuit, on a :

osc (t ) =

(u 0 (t ) U J )

13.34

2 R EU J C

13.4.4 Oscillateur numrique

13.4.4.1

Description gnrale

Le principe prsent la Figure 13-49 est semblable celui du VCO du circuit 54/74HC4046
ou 54/74HC4096.
Les lments externes de rglage sont R0, RREF, Cext.
DD

u0(t)

I0

I0

IREF

IREF

RREF

R0
Q1

Q2

Q3

externe

externe

I=I0+IREF

E
C

Cext

uREF(t)

Q4

externe

D
uosc (t)

INHIBIT

Figure 13-49 : VCO (54/74HC4096)

Le principe de fonctionnement se rapproche de celui du circuit relaxation, c'est--dire charge


puis dcharge d'une capacit (Cext) par un courant (I) pilot par un trigger. La rsistance R0
permet de faire varier le courant I par I0 et RREF apporte un offset de frquence par IREF.

CD:\SE\Cours\Chap13

BOUCLES A VERROUILLAGE DE PHASE

Page 36

osc

osc
UREF =0

UREF =0

osc[MAX]

osc[MAX]

osc

osc
osc[MIN]

osc[MIN] =0

U0[MIN]

U0

U0[MAX]

u0

U0[MIN]

U0

U0[MAX]

u0

Figure 13-50 : Caractristique du VCO numrique

13.4.4.2

Fonctionnement

Pour expliquer le fonctionnement de ce circuit, on suppose que :

il est aliment entre VDD et 0V (tension logique),


le seuil de basculement des portes logique se situe la moiti de la tension d'alimentation,
le signal INHIBIT vaut 1 pour t < 0 et 0 partir de t > 0.

Les deux portes NOR du bas forment une bascule RS. tant que INHIBIT=0, les sortie A et B
de cette bascule ne peuvent pas tre dans le mme tat logique en mme temps (en dehors des
phases de transitions).
Partons de l'exemple du cas A=0 et B=1. L'tat logique A=0 provoque C=1. Le transistor
NMOS de gauche conduit et provoque E=0. L'tat logique B=1 provoque D=0 et c'est donc le
transistor PMOS de droite qui conduit. Le courant I charge la capacit externe et la tension du
nud F crot linairement. Lorsque cette tension atteint le seuil de basculement de l'inverseur,
elle est considre comme un tat logique 1, ce qui provoque le passage de B 0, puis le
passage de A et de D 1. La capacit se dcharge rapidement travers le transistor NMOS de
droite et le nud F passe 0 peu prs en mme temps que le nud C. C'est alors le transistor
PMOS de gauche qui laisse circuler le courant I et la tension au nud E crot linairement. Le
cycle se poursuit ainsi, jusqu' ce que l'entre INHIBIT passe 1.
L'intensit du courant I dtermine le temps de charge (dcharge) de la capacit Cext, et par
consquent la frquence du signal de sortie.

CD:\SE\Cours\Chap13

BOUCLES A VERROUILLAGE DE PHASE

Page 37

u A (t)
V DD

t [s]

u B (t)
V DD

t [s]

u C (t)
V DD

t [s]

u D (t)
V DD

t [s]

u E (t)

V DD /2
0

t [s]

u F (t)

V DD /2
0

t [s]

Figure 13-51 : Reprsentation graphique des signaux

13.5 FILTRES DE BOUCLE

Le filtre de boucle pour rle :


d'assurer les performances de l'asservissement : bande passante, amortissement, etc
d'attnuer le plus possible les produits indsirables issus du comparateur de phase.
Nous traiterons aux paragraphes suivants les trois types de filtres les plus souvent utiliss,
auxquels nous ajouterons un filtre plus labor.
13.5.1 Premier type : filtre passif comportant un ple

C'est le filtre le plus simple, comprenant une rsistance et un condensateur


La fonction de transfert correspondante est
F (s) =

CD:\SE\Cours\Chap13

u0 ( s)
1
1
=
=
u E ( s ) 1 + sRC 1 + s

avec = RC

13.35

BOUCLES A VERROUILLAGE DE PHASE

Page 38

R
uE(t)

u0(t)

Figure 13-52 : Filtre RC de 1er type

Le trac de Bode de cette fonction est le suivant


|F(j)|
1

10

10

-1

10

-2

10

10

10

10

[rad/s]

[rad/s]

10

arg(F(j))
0
-20
-40
-60
-80
-100
1
10

10

10

10

Figure 13-53 : Diagramme de Bode

13.5.2 Deuxime type : filtre passif comportant un ple et un zro

Ce filtre est constitu par deux rsistances et un condensateur :

R1

uE(t)

R2
C

Figure 13-54 : Filtre RC de 2me type

La fonction de transfert correspondante est

CD:\SE\Cours\Chap13

u0(t)

BOUCLES A VERROUILLAGE DE PHASE

Page 39

u0 ( s)
1 + sR2 C
1 + s 2
=
=
u E ( s ) 1 + s( R1 + R2 )C 1 + s 1
avec 1 = ( R1 + R2 )C et 2 = R2 C

F (s) =

13.36

Le trac de Bode de cette fonction est le suivant


|F(j)|

10

-1

10

-2

10

10

10

10

[rad/s]

[rad/s]

10

arg(F(j))
0
-20
-40
-60
-80
-100
1
10

10

10

10

Figure 13-55 : Diagramme de Bode

13.5.3 Troisime type : filtre actif intgrateur du 1er ordre

La troisime structure est un filtre actif mettant en uvre un amplificateur oprationnel.


Lorsque la frquence tend vers zro, le gain de ce filtre tend vers celui de l'amplificateur
oprationnel en boucle ouverte, ce qui correspond un gain trs lev, idalement infini.
R2

R1
uE(t)
u0(t)

Figure 13-56 : Filtre actif de 3me type

La fonction de transfert correspondante est donne par la relation


F (s) =

u0 ( s ) 1 + sR2 C 1 + s 2
=
=
u E (s)
sR1C
s 1

avec 1 = R1C et 2 = R2 C
CD:\SE\Cours\Chap13

13.37

BOUCLES A VERROUILLAGE DE PHASE

Page 40

Le trac de Bode correspondant prend la forme suivante


|F(j)|

10

10

10

10
1
10

10

10

[rad/s]

[rad/s]

10

arg(F(j))
0
-20
-40
-60
-80
-100
1
10

10

10

10

Figure 13-57 : Filtre actif du 1er ordre

13.5.4 Attnuation supplmentaire

Parmi les trois filtres prcdents, seul le premier est susceptible d'attnuer suffisamment les
composantes indsirables issues du comparateur de phase.
Les deux autres filtres n'attnuent plus au-del de la pulsation = 1 2
Pour les deux dernires structures, il est ncessaire de prvoir un filtre supplmentaire. Celui ci
est obtenu en ajoutant un condensateur C' supplmentaire pour la structure du filtre du
deuxime type
R1

uE(t)

R2

C'

u0(t)

C
Figure 13-58 : Filtre RC du 2me type amlior

ou une cellule R, C' pour le filtre du troisime type.

CD:\SE\Cours\Chap13

BOUCLES A VERROUILLAGE DE PHASE

Page 41

R2
R1

R
uE(t)
C'

u0(t)

Figure 13-59 : Filtre actif du 3me type amlior

13.5.5 Exemple de filtres plus labors

Dans certaines applications o la puret spectrale est primordiale, l'attnuation que


reprsentent les filtres prcdents aux diffrentes composantes indsirables issues du
comparateur de phase peut se rvler insuffisante.
Dans le but d'obtenir une meilleure rjection des signaux parasites, il peut tre ncessaire de
compliquer le filtre de boucle.
La Figure 13-60 propose un exemple de structure amliore.
R2
R1/2

C2
L

R1/2
R

uE(t)

C''

C1

R
C'

u0(t)

C'

Figure 13-60 : Filtre BP labor

Les diverses caractristiques de ce filtre peuvent tre dcomposes de la manire suivante :


un pr-filtrage est ralis par les deux rsistances de valeur R1/2, associes au condensateur
C2, de faon rduire les composantes indsirables issues du comparateur de phase que
l'amplificateur oprationnel n'est pas en mesure de traiter.
Un filtre de Cauer, de type passe-bas constitu par L, C'' et les deux condensateurs C', a
pour but de prsenter une attnuation trs leve, idalement infinie, une frquence telle
que :

f =

1
2 LC ' '

13.38

correspondant la frquence d'entre de la boucle de phase.


Les frquences harmoniques de cette mme frquence d'entre sont galement trs
fortement attnues par cette structure passe-bas.
Les deux rsistances R ont pour but d'adapter le filtre de Cauer.
La rsistance R, droite du filtre, a une valeur suffisamment faible (de l'ordre du k), pour
masquer l'impdance d'entre nettement plus leve du VCO.
CD:\SE\Cours\Chap13

BOUCLES A VERROUILLAGE DE PHASE

Page 42

13.6 FONCTION DE TRANSFERT GNRALE DE LA BOUCLE DE VERROUILLAGE DE PHASE

Dans cette section nous passerons en revue chaque composant constituant la boucle de
verrouillage de phase pour en dterminer la fonction de transfert de chaque bloc.
13.6.1 Le dtecteur de phase

Ce bloc permet de dterminer l'erreur de phase. Aprs linarisation autour du point de


fonctionnement.
i(t)

uE(t)

u E (t ) = K D [ i (t ) N (t )]
= K D E (t )

N(t)

Symbole

Comportement

Figure 13-61 : Caractristiques du dtecteur de phase

En utilisant Laplace, on a donc

E ( s) = i ( s) N ( s)

13.39

u E (s) = K D E (s)

13.40

et

13.6.2 Le filtre

Le filtre, de type quelconque, peut tre reprsent par le schma bloc de la Figure 13-62

uE(s)

Fp(s)

u0(s)

u 0 ( s ) = Fp ( s ) u E ( s )

Symbole

Comportement
Figure 13-62 : Caractristiques du filtre

La fonction de transfert Fp(s) caractrise d'une faon gnrale n'importe quel type de filtre. On
peut donc crire la relation comportementale unique
u 0 ( s ) = Fp ( s ) u E ( s )

13.41

13.6.3 Le VCO

Le filtre, de type quelconque, peut tre reprsent par le schma bloc de la Figure 13-63.
osc = 2 Fosc est la free-running frequency, la frquence pour laquelle u0(t)=0
osc = K 0 u 0 (t ) est l'cart de frquence par rapport osc(t)
La sensibilit K0 du VCO s'exprime en [rad/Vs]

CD:\SE\Cours\Chap13

BOUCLES A VERROUILLAGE DE PHASE

u0(t)

Page 43

osc(t)

VCO

osc (t ) = osc + osc (t )


osc = 2 Fosc
osc (t ) = K 0 u 0 (t )

Symbole

Comportement

Figure 13-63 : Caractristiques du VCO

13.6.3.1

Relation phase frquence du signal VCO

La phase d'un signal sinusodal est l'intgrale par rapport au temps de sa frquence :
t

osc (t ) = osc (t )dt = osc t + osc (t )dt = osc t + osc (t )

13.42

La phase est donc dfinie par rapport celle de la "free-running frequency" avec
t

osc (t ) = osc (t )dt

13.43

En utilisant la transforme de Laplace. il vient


1
osc ( s ) = osc ( s )
s

13.44

et pour le VCO
osc ( s ) = K 0 u 0 ( s )

13.45

K0
u0 ( s)
s

13.46

d'o
osc ( s ) =

Le VCO introduit une intgration entre sa tension d'entre u0(t) et sa phase de sortie 0(t)
13.6.4 Fonction de transfert du diviseur de frquence

Le diviseur de frquence est constitu d'un compteur par N programmable.

osc(t)

(t)

:N
Symbole

N (t ) =

1
osc (t )
N

Comportement

Figure 13-64 : Caractristiques du VCO

En utilisant la transforme de Laplace. on peut donc simplement crire

N (s) =

CD:\SE\Cours\Chap13

1
osc ( s)
N

13.47

BOUCLES A VERROUILLAGE DE PHASE

Page 44

13.7 FONCTIONNEMENT DANS LE DOMAINE LINAIRE


13.7.1 Fonction de transfert de la boucle.

Lors du fonctionnement en mode verrouillage de la boucle, les corrections qui sont apportes
au VCO ont des amplitudes trs faibles. Ceci signifie que les variations des grandeurs autour
des points de fonctionnent des diffrents lments constitutifs de la boucle ont des amplitudes
suffisamment faibles pour que l'on puisse admettre que le fonctionnement de l'asservissement
est linaire.
Le schma bloc de l'asservissement de la phase peut tre reprsent par le schma bloc de la
Figure 13-65

i(s)

uE(s)=KDE(s)

u0(s)=FP(s)uE(s)

E(s)=i(s)-N(s)

1
N
1 1
0(s)= s osc(s)
N

N(s)= osc(s)

Fp(s)

osc(s)=K0u0(s)

:N

1
osc (s)= s osc (s)

VCO

Figure 13-65 : Schma bloc de la boucle d'asservissement

La grandeur de sortie est donne par la relation


K 1

u 0 ( s ) = K D Fp ( s ) i ( s ) 0 u 0 ( s )
N s

13.48

qui permet de dfinir la fonction de transfert du systme en boucle ferme.


u0 ( s)
=
i ( s)

K D Fp ( s )
K D Fp ( s ) s
=
K 1
K
1 + K D Fp ( s ) 0
s + K D Fp ( s ) 0
N s
N

13.49

C'est la fonction caractristique d'un systme boucl raction ngative du type


H (s) =

K 1
A( s )
avec A( s ) = K D Fp ( s) et ( s ) = 0
1 + ( s ) A( s )
N s

13.50

13.7.2 Fonction drive de la fonction de transfert

Sachant que
u 0 ( s ) = K D Fp ( s ) E ( s )
il vient la fonction d'erreur

CD:\SE\Cours\Chap13

13.51

BOUCLES A VERROUILLAGE DE PHASE

Page 45

E ( s)
s
=
i ( s) s + K F ( s) K 0
D p

13.52

D'autre part

i (s) =

i ( s)

13.53

on en dduit la fonction de transfert entre la frquence d'entre et la tension de sortie

u0 ( s)
=
i ( s)

K D Fp ( s )
s + K D Fp ( s )

13.54

K0
N

L'tude de la stabilit peut se faire sur n'importe laquelle de ces fonctions de transfert puisque
le dnominateur est identique pour chacune d'elles.
13.7.3 Comportement de la boucle en fonction du type de filtre utilis.

13.7.3.1

Premier type : filtre passif comportant un ple

13.7.3.1.1

Gain en boucle ouverte

Le gain en boucle ouverte est dfini par la relation


G0 ( s ) = K D

K
K 0 Fp ( s )
1

= KD 0
N s (1 + s )
N
s

13.55

Le diagramme de Bode correspondant est reprsent la Figure 13-66.


G0(j)
2
10
0

10

-2

10

-4

10

10
arg(G0(j))

10

10

10

10

[rad/s]

-80
-100
-120
-140
-160
-180
1

10

10

10

10

Figure 13-66 : Diagrame de Bode pour un filtre du 1er type

CD:\SE\Cours\Chap13

10
[rad/s]

BOUCLES A VERROUILLAGE DE PHASE

Page 46

En ne raisonnant que sur les seules asymptotes, que pour respecter la marge de phase de 45, il
est ncessaire que l'on ait la condition :

K = KD

K0 1

13.56

Le module du gain en boucle ouverte est gal 1 pour une pulsation telle que :

2 =

1
( 1 + 4 K 2 2 1)
2
2

13.57

Ce module doit tre gal 1 lorsque la marge de phase est gale 45, c'est--dire pour
1
= . Il en rsulte que K = 2 .

13.7.3.1.2

Gain en boucle ferme

Le gain en boucle ferme prend la forme

K0
1
K 1

KD 0
G0 ( s )
N s (1 + s )
N
=
=
G f (s) =
K0
K 1
1
1
1 + G0 ( s )
2

s + s + KD 0
1+ KD
N s (1 + s )

N
KD

13.58

Le dnominateur peut se mettre sous la forme s 2 + 2 n s + n2 , dans laquelle reprsente


l'amortissement et n la bande passante.
Ainsi le gain en boucle ferme s'crit

G f ( s) =

avec 2 n =

n2
s 2 + 2 n s + n2
et n2 = K D

13.59

K0 1
.
N

On en dduit en particulier que =

1
K
2 KD 0
N

La marge de phase est exactement gale 45 pour K D


amortissement de = 0.42 .

K0
= 2 , ce qui correspond un
N

En conclusion, ce qui se voit facilement sur le diagramme de Bode de la Figure 13-66, avec un
K
filtre de boucle du 1er type, le gain K D 0 ne doit pas tre trop lev
N

CD:\SE\Cours\Chap13

BOUCLES A VERROUILLAGE DE PHASE

Page 47

13.7.3.2

Deuxime type : filtre passif comportant un ple et un zro

13.7.3.2.1

Gain en boucle ouverte

Le gain en boucle ouverte est dfini par la relation

G0 ( s ) = K D

K 0 Fp ( s )
K
1 + s 2

= KD 0
N
s
N s (1 + s 1 )

13.60

La Figure 13-67 montre, que pour assurer la stabilit de l'asservissement en ayant une marge
de phase suprieure ou gale 45, il est ncessaire cette fois d'avoir un gain lev de faon
ce que le gain unit de la boucle ouverte se situe l o la pente de l'asymptote vaut
20dB/dcade

K0 1

N 2

K = KD

13.61

G0(j)
5

10

10

10

10

10

10

10
arg(G0(j))

10

10

10

10
[rad/s]

-80
-100
-120
-140
-160
-180
1

10

10

10

10

10

[rad/s]

Figure 13-67 : Diagrame de Bode pour un filtre du 2er type

13.7.3.2.2

Gain en boucle ferme

Le gain en boucle ferme prend la forme


K 0 1 + s 2
K 1
K D 0 (1 + s 2 )

G0 ( s )
N s (1 + s 1 )
N 1
G f ( s) =
=
=
1
K
s

K
+
1 + G0 ( s ) 1 + K
0
2

1 + KD 0 2
D
K 1
N s(1 + s 1 ) s 2 + s
N
+ KD 0
1
N 1
KD

CD:\SE\Cours\Chap13

13.62

BOUCLES A VERROUILLAGE DE PHASE

Page 48

soit

G f (s) =

n2 (1 + s 2 )
s 2 + 2 n s + n2

13.63

avec

2 n =

K0
2
K 1
N
et n2 = K D 0 .
1
N 1

1+ KD

13.64

on en dduit en particulier
K0
2
N
=
K
2 K D 0 1
N
1+ KD

En pratique, bien souvent K D

KD

K0
N

13.65

K0
2 >> 1 de sorte que
N

13.66
2

K0
N
augmente, ce qui confirme, comme au l'a vu, qu'il est souhaitable d'augmenter le gain pour
assurer la stabilit de l'asservissement.
On s'arrangera toutefois pour que l'amortissement reste compris entre 0.5 et 1. En pratique, la
valeur optimale tant gale 2 opt = 2 .

Contrairement au cas du filtre du 1er type, l'amortissement augmente quand le gain K D

13.7.3.3

Troisime type : filtre actif intgrateur du 1er ordre.

13.7.3.3.1

Gain en boucle ouverte

Le gain en boucle ouverte est dfini par la relation


G0 ( s ) = K D

K 0 Fp ( s )
K 1 + s

= KD 0 2 2
N
s
N s 1

13.67

Le diagramme de Bode de l'amplitude de la Figure 13-68 prsente une parfaite similitude avec
celui correspondant au filtre du 2me type (Figure 13-67).

CD:\SE\Cours\Chap13

BOUCLES A VERROUILLAGE DE PHASE

Page 49

G0(j)
5
10
4

10

10

10

10

10

10
arg(G0(j))

10

10

10

10
[rad/s]

-80
-100
-120
-140
-160
-180
1

10

10

10

10

10

[rad/s]

Figure 13-68 : Diagrame de Bode pour un filtre actif du 1er ordre

13.7.3.3.2

Gain en boucle ferme

Le gain en boucle ferme prend la forme


K 0 1 + s 2
K 1
2
K D 0 (1 + s 2 )
N
G0 ( s )
s 1
N 1
=
=
G f ( s) =
1 + G0 ( s )
K 0 1 + s 2
K0
1 + KD
K

2
D
N
N 2 + K K0 1
s 1
s2 + s
D
1
N 1
KD

13.6
8

soit

n2 (1 + s 2 )
G f (s) = 2
s + 2 n s + n2

13.69

avec
2 n = K D

K0 2
K 1
et n2 = K D 0 .
N 1
N 1

13.70

On en dduit en particulier

CD:\SE\Cours\Chap13

KD

K0
N

13.71
2

BOUCLES A VERROUILLAGE DE PHASE

Page 50

On retrouve les mmes rsultats que pour le filtre prcdent avec la condition K D

K0
2 >> 1 .
N

13.7.4 Boucle utilisant un comparateur phase frquence

Les boucles de phase utilisant un comparateur de phase-frquence constituent un cas


particulier. En reprenant le comparateur illustr par la Figure 13-26, on peut donner
l'expression du courant moyen de sortie. En effet ce dernier, dans la charge de sortie Z est
directement li l'erreur de phase et peut s'crire

imoyen (t ) =

E (t )
I
2

13.72

Ceci suppose bien entendu que l'impdance vue au niveau de l'entre du VCO est idalement
infinie ou du moins trs leve compare la charge Z, ce qui est pratiquement toujours le cas.
La tension de commande de l'oscillateur est donc gale :

u 0 (t ) = Z imoyen (t ) =

E (t )
I
2

13.73

La transforme de Laplace de la relation 13.73 prend la forme suivante

u0 ( s) = Z ( s)

I
I
E ( s) = Z ( s) ( i ( s) N ( s ) )
2
2

13.74

La phase du signal de sortie du compteur par N est gale

N ( s) =

osc ( s)
N

K0 1
u0 (t )
N s

13.75

K0 1
I
( i ( s) N ( s) )
Z (s)
2
N s

13.76

On peut donc crire

N ( s) =

osc ( s)
N

Il en rsulte que la fonction de transfert en boucle ferme est gale :


K0

I Z ( s)

K0

I Z ( s)

( s)
G f ( s) = 0
= 2N s
= 2N
i ( s) 1 + K 0 I Z ( s) s + K 0 I Z ( s)
2N
2N s

13.77

L'impdance Z ne peut pas tre quelconque sous peine d'affecter la stabilit de la boucle.

13.7.4.1

Cas ou l'impdance de charge est un condensateur

En supposant que l'impdance de charge Z est un condensateur C, l'impdance de charge Z(s)


devient

Z ( s) =

CD:\SE\Cours\Chap13

1
sC

13.78

BOUCLES A VERROUILLAGE DE PHASE

Page 51

et le gain en boucle ferme (relation 13.77) s'crit


K0

( s)
G f (s) = 0
= 2NC
i ( s) s 2 + K 0 I
2NC

13.79

En comparant le dnominateur de cette expression avec la forme traditionnelle correspondant


un polynme de deuxime ordre, s 2 + 2 n s + n2 , on voit immdiatement que
l'amortissement est nul, ce qui signifie que la boucle n'est pas stable.

13.7.4.2

Cas ou l'impdance de charge est un condensateur en srie avec une rsistance

En supposant que l'impdance de charge Z est un condensateur C en srie avec une rsistance,
l'impdance de charge devient

Z ( s) =

1 + sRC
sC

13.80

et le gain en boucle ferme (relation 13.77) s'crit


K 0 1 + sRC
K0
I

I (1 + sRC )
N ( s)
2Ns sC

N
2
=
=
G f ( s) =
K 0 RI
K I
K 0 1 + sRC
i (s)
2
s+ 0
1+
I
s +
2N
2NC
2Ns sC

13.81

Cette fois, l'amortissement est non nul. La boucle peut donc, sous certaines conditions, tre
stable.
13.7.5 Comportement d'une boucle diverses sollicitations

Dans ce paragraphe, la boucle est suppose tre un systme linaire. Ceci signifie, en
particulier, que les amplitudes des sauts de phase ou de pulsations appliqus l'entre restent
limits de faon ce que la boucle reste verrouille.
Pour l'tude de la rponse d'une boucle aux sauts de phase ou de frquence, on utilise la
fonction de transfert en boucle ferme dfinie par la relation 13.52, soit

E ( s)
s
=
i ( s) s + K F ( s) K 0
D p

13.82

Par consquent, on tudiera l'volution de l'chelon de phase en fonction de la frquence


La Figure 13-69 illustre diffrentes sollicitations applicables l'entre de la PLL

CD:\SE\Cours\Chap13

BOUCLES A VERROUILLAGE DE PHASE

ui(t)

Page 52

1
0
-1

t [s]
0

i(t)

10

15

2
i
1

0
i(t) 20

t [s]
0

10

pente : i (t)

10

15

t [s]
5

10

15

Echelon de phase
ui(t)

1
0
-1
0

10

15

10

15

10

15

t [s]

i(t) 3
2

1
0

t [s]

i(t)30
20

pente : i (t)

10
0

t [s]

Echelon de pulsation
ui(t)

1
0
-1
0

10

15

t [s]

0
(t) 100

10

15

t [s]

10

15

i(t) 20
10
0

pente : i (t)

50

CD:\SE\Cours\Chap13

t [s]

BOUCLES A VERROUILLAGE DE PHASE

Page 53

Rampe de pulsation
ui(t)

1
0

i(t)

-1
0

t [s]
5

10

15

10

15

2
0

t [s]

i(t)
40

pente : i (t)

20
0

i
t [s]
0

10

15

Modulation sinusodale de frquence


Figure 13-69 : Sollicitations applicables l'entre de la boucle d'asservissement de phase

13.7.5.1

Rponse un chelon de phase.

Partant d'une situation correspondant une phase i, l'entre et une phase N en sortie, on se
propose d'tudier le comportement de la boucle en rponse un saut de phase i en entre. La
variation de phase i applique l'instant zro en entre, est donc gale i(t) o (t)
i
reprsente un saut indiciel unit. La transforme de Laplace correspondante est gale
.
s
La transforme de l'erreur de phase s'en dduit immdiatement

E (s) =

s
1
i ( s)
=
i
K0
K0
s + KD
Fp ( s )
s + KD
Fp ( s )

N
N
i ( s )= i

13.83

Le thorme de la valeur finale permet de connatre l'erreur de phase au bout d'un temps infini.

lim E (t ) = lim(s E ( s ) ) = lim


i = 0
t
s 0
s 0
K0

Fp ( s )

s + KD
N

13.84

Dans certain cas, il peut tre ncessaire de connatre l'volution de l'erreur de phase partir du
moment o le saut de phase a eu lieu en entre. Il suffit pour cela, de calculer E(t), a partir de
la relation 13.83. L'volution de l'erreur de phase E(t) est bien entendu fonction du type de
filtre utilis. On peut donc crire l'expression gnrale

CD:\SE\Cours\Chap13

BOUCLES A VERROUILLAGE DE PHASE

Page 54

i
E (t ) = L 1
s + K D K 0 Fp ( s )

N
13.7.5.1.1

13.85

Cas du filtre du 1er type


K 1
1
1
, n2 = K D 0 , 2 n =
1 + s
N

> 1 E (t ) = i e nt cosh( n 2 1 t ) +
sinh( n 2 1 t )

2 1
= 1 E (t ) = i e nt [1 + n t ]

Fp ( s ) =

< 1 E (t ) = i e t cos( n 1 2 t ) +
n

13.7.5.1.2

13.86

sin( n 1 2 t )

1 2

Cas du filtre du 2me type


Fp ( s) =

K 1
1 + s 2
, n2 = K D 0 , 2 n =
1 + s 1
N 1

1+ K D

K0
2
N

n N

K K
> 1 E (t ) = i e nt cosh( n 2 1 t ) + D 0
sinh( n 2 1 t )

2 1

N
= 1 E (t ) = i e nt 1 + ( n 1) n t
K D K0

13.87

n N

K K
< 1 E (t ) = i e nt cos( n 1 2 t ) + D 0
sin( n 1 2 t )

1 2

13.7.5.1.3

Cas du filtre du 3me type


Fp ( s ) =

K 1
K
1 + s 2
, n2 = K D 0 , 2 n = K D 0 2
s 1
N 1
N 1

> 1 E (t ) = i e t cosh( n 2 1 t )
n

= 1 E (t ) = i e nt [1 n t ]

< 1 E (t ) = i e t cos( n 1 2 t )
n

CD:\SE\Cours\Chap13

sinh( n 2 1 t )

2 1

sin( n 1 2 t )

1 2

13.88

BOUCLES A VERROUILLAGE DE PHASE

Page 55

Comme l'indique la relation 13.84 lie au thorme de la valeur finale, l'erreur de phase tend
vers zro lorsque le temps tend vers l'infini, cause du facteur multiplicatif e nt .
13.7.5.2

Rponse un chelon de pulsation

A l'instant t=0, on suppose que la pulsation en entre varie d'une quantit . La transforme

de Laplace de t (t ) est gale 2 . La transforme de l'erreur de phase s'en dduit


s
immdiatement

E (s) =

s
1
i ( s)
=
i
K
K0

s + K D 0 Fp ( s )
s s + K D
Fp ( s )

N
N
i ( s )= i = 2 i

s
s

13.89

Le thorme de la valeur finale permet de connatre l'erreur de phase au bout d'un temps infini.

i
1
lim E (t ) = lim(s E ( s ) ) = lim
i =
t
s 0
s 0
K0
K K 0 F (0)
Fp ( s )

s + KD
D
p
N
N

13.90

Cette erreur de phase est lie la fonction de transfert, en continu, Fp(0), du filtre de boucle.
Les valeurs de Fp(0), pour les trois types de filtres sont les suivantes :
1er type :

Fp ( s ) =

1
Fp (0) = 1
1 + s

13.91

1 + s 2
F p (0) = 1
1 + s 1

13.92

1 + s 2
F p (0) =
s 1

13.93

2me type :

Fp ( s ) =
3me type :

Fp ( s ) =

Pour le troisime type de filtre le gain en continu correspond celui d'un amplificateur
oprationnel en boucle ouverte. On peut le considrer comme infini dans presque tous les cas.
De manire gnrale, l'erreur de phase est d'autant plus rduite que le gain de boucle est lev.
Une interprtation physique de l'erreur de phase peut tre donne en observant le VCO. En
effet pour que la pulsation du VCO puisse voluer d'une valeur osc une valeur osc+osc, il
est ncessaire que sa tension de commande u0 volue d'une valeur U0 une valeur U0+u0, et
donc que la tension d'entre du filtre varie de UE UE+uE. Or la variation de uE est due une
variation de l'erreur de phase E. On peut donc crire
CD:\SE\Cours\Chap13

BOUCLES A VERROUILLAGE DE PHASE

Page 56

K0

u0
N

K
u0 = Fp (0) u E N = K D 0 Fp (0) E
N

u E = K D E

N =

13.7.5.2.1

13.94

volution de l'erreur de phase

L'volution de l'erreur de phase E(t) est bien entendu fonction du type de filtre utilis. On peut
donc crire l'expression gnrale

1
E (t ) = L 1
i

s s + K D K 0 Fp ( s )

13.7.5.2.1.1

13.95

Cas du filtre du 1er type

K 1
1
1
, n2 = K D 0 , 2 n =
1 + s

i i nt 1 2 2
+
e

> 1 E (t ) = 2
sinh( n 2 1 t ) 2 cosh( n 2 1 t )
n
n
2 1

i
= 1 E (t ) = 2 i
e nt [2 + n t ]
Fp ( s ) =

< 1 E (t ) = 2
13.7.5.2.1.2

1 2 2

e nt
sin( n 1 2 t ) 2 cos( n 1 2 t )
n
1 2

Cas du filtre du 2me type

K 1
1 + s 2
, n2 = K D 0 , 2 n =
Fp ( s) =
1 + s 1
N 1

> 1 E (t ) =

= 1 E (t ) =

< 1 E (t ) =

13.9
6

N
KD K0
N
KD K0

K0
2
N

N n

K D K0
N n
nt
+
e

sinh( n 2 1 t )
cosh( n 2 1 t )
n
K D K0
2 1

N n
N
+ e nt (1
)t

K D K0
K D K0

N nt
+
e
K D K0 n

CD:\SE\Cours\Chap13

1+ KD

N n

1 K K

N n
D 0

sin( n 1 2 t )
cosh( n 1 2 t )
K D K0
1 2

13.9
7

BOUCLES A VERROUILLAGE DE PHASE

13.7.5.2.1.3

Page 57

Cas du filtre du 3me type

Fp ( s ) =

K 1
K
1 + s 2
, n2 = K D 0 , 2 n = K D 0 2
s 1
N 1
N 1

> 1 E (t ) =

e nt

1
2

sinh( n 2 1 t )
13.98

nt

= 1 E (t ) = i t e
i t
1
< 1 E (t ) =
e

sin( n 1 2 t )
2
n
1
n

13.7.5.2.2

volution de l'erreur de pulsation

En plus de l'volution de l'erreur de la phase, il st intressant de connatre k'volution de la


pulsation partir de l'instant t=0. Pour cela, on peut :
soit driver la phase partir des expressions prcdentes,
soit remarquer que l'volution de la diffrence de pulsation entre le signal d'entre et le
signal de sortie, suite un chelon de pulsation en entre est identique l'volution de
l'erreur de phase, suite un saut de phase d'entre.
En effet notons ( s) = s ( s ) (0) = s ( s ) la transforme de Laplace de la pulsation,
drive de la phase.
On peut crire :

E ( s) = s E (s) =

1
i
K
s + K D 0 Fp ( s )
N

13.99

Cette expression a exactement la mme forme que celle qui donne la transforme de Laplace
de l'erreur de phase suite un saut de phase en entre (relation 13.83), remplaant .

13.7.5.2.3

Cas du filtre du 1er type


K 1
1
1
, n2 = K D 0 , 2 n =

1 + s
N

> 1 E (t ) = i e nt cosh( n 2 1 t ) +
sinh( n 2 1 t )

2 1
= 1 E (t ) = i e nt [1 + n t ]

Fp ( s ) =

< 1 E (t ) = i e t cos( n 1 2 t ) +
n

CD:\SE\Cours\Chap13

sin( n 1 2 t )

1 2

13.100

BOUCLES A VERROUILLAGE DE PHASE

13.7.5.2.4

Page 58

Cas du filtre du 2me type


K 1
1 + s 2
, n2 = K D 0 , 2 n =
Fp ( s ) =
1 + s 1
N 1

1+ K D

K0
2
N

n N

K K
> 1 E (t ) = i e nt cosh( n 2 1 t ) + D 0
sinh( n 2 1 t )

2 1

N
= 1 E (t ) = i e nt 1 + ( n 1) n t
K D K0

13.10
1

n N

K K
< 1 E (t ) = i e nt cos( n 1 2 t ) + D 0
sin( n 1 2 t )

1 2

13.7.5.2.5

Cas du filtre du 3me type


Fp ( s ) =

K 1
K
1 + s 2
, n2 = K D 0 , 2 n = K D 0 2
s 1
N 1
N 1

> 1 E (t ) = i e t cosh( n 2 1 t )
n

= 1 E (t ) = i e nt [1 n t ]

< 1 E (t ) = i e t cos( n 1 2 t )
n

sinh( n 2 1 t )

2 1

13.102

sin( n 1 2 t )

1 2

Dans tous les cas, l'erreur de pulsation tend vers zro lorsque le temps tend ver l'infini
E (t )
Les courbes qui suivent donnent la fois l'volution de l'erreur de phase normalise
i
E (t )
pour un saut de phase i en entre ou l'volution de l'erreur de pulsation normalise
i
1
suite un saut de pulsation en entre, pour les deux types de filtres Fp ( s ) =
et
1 + s
1 + s 2
Fp ( s ) =
, en fonction de n t .
s 1
1 + s 2
La courbe relative au filtre de boucle Fp ( s ) =
correspond en gnral, celle du filtre
1 + s 1
1 + s 2
N
dans la mesure o >>
n .
Fp ( s ) =
s 1
K D K0

CD:\SE\Cours\Chap13

BOUCLES A VERROUILLAGE DE PHASE

Page 59

E (t )
E (t )
ou
i
i
1

=2
=1
=0.707
=0.5
=0.3

0.8

0.6

Cas du filtre :

Fp ( s) =

1
1 + s

0.4

0.2

-0.2

-0.4
0

10

nt

Figure 13-70 : Erreur de phase et de pulsation normalise, cas du 1er type de filtre
E (t )
E (t )
ou
i
i
1

Cas du filtre :

=2
=1
=0.707
=0.5
=0.3

0.5

Fp ( s) =

1 + s 2
s 1

ou du filtre

Fp ( s) =

1 + s 2
1 + s 1

si

>>

N
n
K D K0

-0.5
0

10 nt

Figure 13-71 : Erreur de phase et de pulsation normalise, cas du 2eme et 3me types de filtres

CD:\SE\Cours\Chap13

BOUCLES A VERROUILLAGE DE PHASE

13.7.5.3

Page 60

Rponse une rampe de pulsation

On suppose maintenant que la frquence l'entre de la boucle volue linairement avec le


temps, partir de l'instant t=0

i (t ) = i + i t (t )
13.7.5.3.1

13.103

Erreur de phase due une rampe de pulsation en entre

La phase correspondante en entre est gale


1
2

i (t ) = i t + i t 2 (t )

13.104

Considrons la seule contribution du deuxime terme dont la transforme de Laplace est gale

3 . L'erreur de phase entre l'entre et la sortie est donne par l'expression


s

E (s) =

1
i
K0

2
s s + KD
Fp ( s )
N

13.105

En calculant la limite de l'erreur de phase lorsque le temps devient infini, on obtient

lim E (t ) = lim(s E ( s ) ) = lim


i

t
s 0
s 0
K0

Fp ( s )

s s + K D
N

13.106

Dans le cas o Fp(0) est fini, l'erreur de phase tend vers l'infini quant le temps devient
infini. C'est le cas des filtres du 1er et du 2me types.
On s'aperoit alors, postriori, que l'erreur de phase n'est plus compatible avec le
fonctionnement en rgime linaire.

Pour le filtre actif du 3me type, on trouve une valeur finie pour l'erreur de phase


1
i = 2 i
lim E (t ) = lim(s E ( s ) ) = lim
t
s 0
s 0
s s + K K 0 1 + s 2
n
D

N s 1

13.107

1 + s 2
, on utilise un amplificateur oprationnel, la valeur
s 1
de la fonction de transfert lorsque la frquence tend vers zro. est gale au gain en boucle
ouverte de l'amplificateur oprationnel. La fonction de transfert effective du filtre actif est
donne par la relation

Pour le filtre thorique Fp ( s ) =

CD:\SE\Cours\Chap13

BOUCLES A VERROUILLAGE DE PHASE

Fp ( s) =

1 + s 2
F p ( 0) = 1
1 1+ A
1
+ s 1
+ 2
A
A
A

Page 61

13.108

ce qui signifie que Fp (0) , d'o une erreur de phase infinie. Pour obtenir une erreur de
phase finie, il faut un filtre d'ordre suprieur aux prcdents et prsentant un vrai ple
l'origine.
13.7.5.3.2

Erreur de pulsation due une rampe de pulsation en entre

L'erreur de pulsation correspond la drive de l'erreur de phase, ce qui se traduit, par la


relation
13.10
9

E ( s) = s E ( s)
Il en rsulte, partir de la relation 13.105

E (t ) = s E ( s ) =

1
i
K0

s s + K D
Fp ( s)
N

13.11
0

Lorsque le temps de vient infini, on a donc :

1
N
lim E (t ) = lim(s E ( s ) ) = lim
i =
i
t
s 0
s 0
K0
K D K 0 Fp (0)

Fp (0)
s + KD

13.11
1

Ceci signifie que la frquence de l'oscillateur suit les variations de la frquence d'entre avec
une erreur non nulle. Cette erreur est d'autant plus faible que le gain de boucle est lev.
13.8 FONCTIONNEMENT DANS LE DOMAINE NON LINAIRE

Dans la section prcdente, la boucle d'asservissement de phase est considre comme un


systme linaire lors du verrouillage.
Cependant, lorsque l'erreur de phase devient importante jusqu' entraner un dcrochage de la
boucle, le fonctionnement ne fait plus partie du domaine linaire. Dans cette section, nous
tudierons en particulier, les plages de synchronisation et d'acquisition.
13.8.1 Plage de synchronisation ou de dcrochage

13.8.1.1

Gnralits

La plage de synchronisation, appele galement plage de dcrochage, correspond l'cart


maximal de frquence par rapport la frquence de repos du VCO (de part et d'autre de cette
frquence de repos), qu'une boucle pralablement accroche peut suivre sans qu'il y ait
dsynchronisation.

CD:\SE\Cours\Chap13

BOUCLES A VERROUILLAGE DE PHASE

Page 62

Pour qu'il boucle reste accroche lorsque la frquence d'entre change (on suppose que sa
variation est lente) il faut respecter deux conditions :
le VCO doit pouvoir effectivement dlivrer cette nouvelle frquence, la tension de
commande correspondante se situant l'intrieur de la plage des tensions de commandes
possible du VCO.
Le comparateur de phase, associ un ventuel amplificateur doit tre en mesure de
fournir une tension couvrant toute la plage de tension d'entre du VCO.
Soit osc la pulsation de repos du VCO et supposons que la pulsation d'entre de la boucle
d'asservissement passe de i i + i, on peut crire

i (t ) = i + i (t ) = i +

K0
u 0 (t )
N

13.112

La tension u0(t) doit rester infrieure la valeur maximale U0[MAX]. Ceci signifie que la tension
moyenne de sortie du comparateur de phase doit rester infrieure une valeur moyenne
maximale UE[MAX] telle que U0[MAX]=Fp(0)UE[MAX] o FP(0) reprsente le gain statique du filtre
de boucle et uE la tension de sortie du comparateur de phase.
Par ailleurs la tension moyenne du signal issu du comparateur de phase, UE(E), est une
fonction du dphasage entre-sortie. A UE[MAX] correspond donc une valeur maximale de cette
tension moyenne telle que UE[MAX]=KDf(E)[MAX] o f(E)[MAX] correspond la valeur
maximale de la fonction caractrisant le comportement du comparateur de phase, ce maximum
dpendant du type de comparateur de phase considr.
On peut donc crire
N
i K D Fp (0) f ( E )[ MAX ]
K0

13.113

K0
Fp (0) f ( E )[ MAX ]
N

13.114

soit
i K D
13.8.1.2

Cas du comparateur sinusodal (multiplicateur)

Il s'agit du multiplicateur sinusodal pour lequel la tension de sortie se met sous la forme
u E (t ) =

K
K
U i U o cos( i o ) = U i U o cos( )
2
2

13.115

o Ui et Uo reprsentent les amplitudes des deux signaux qui sont appliqus sur le
K
multiplicateur. Le terme U i U o est en fait inclus dans le gain KD de sorte que f(E) se
2
rduit cos(). La valeur maximale de f(E) est donc gale 1. Plus prcisment, il faut que :
f ( E ) 1

Il en rsulte que

CD:\SE\Cours\Chap13

13.116

BOUCLES A VERROUILLAGE DE PHASE

K0
Fp (0)
N

i K D
13.8.1.3

Page 63

13.117

Cas du comparateur triangulaire (OU exclusif)

Pour ce comparateur, il faut que l'erreur de phase, suite une variation de pulsation du signal
d'entre gale, en module, i , reste infrieure
i K D
13.8.1.4

, soit :

K0

Fp (0)
2
N

13.118

Cas du comparateur caractristique en dent de scie (bascule).

La caractristique du comparateur de phase tant linaire sur 2, l'erreur de phase doit rester
infrieure , ce qui entrane :
i K D
13.8.1.5

K0
Fp (0)
N

13.119

Remarque sur les trois types de comparateur de phase prcdents

1 + s 2
, on a thoriquement
s 1
Fp(0)=, ce qui signifie que la plage de synchronisation est idalement infinie. En pratique
cela veut dire que la limitation n'est due qu'a la seule excursion maximale en frquence du
VCO.
Il faut remarquer que si le filtre est de type actif, tel que Fp ( s ) =

13.8.1.6

Cas du comparateur phase-frquece

Pour ce type de comparateur de phase, on a un gain en boucle ouverte gal, dans le cas o
l'impdance Z est constitue par la mise en srie d'un condensateur et d'une rsistance, (voir
.13.7.4.2)
G0 ( s ) =

K 0 1 + sRC K 0 I Fp ( s )
I
=
2Ns sC 2N s

13.120

La valeur moyenne de la tension de sortie du comparateur de phase est linaire sur 4. Il en


rsulte que l'erreur de phase doit rester infrieure 2, d'o :
i

K0 I
Fp (0)
N

13.121

Or, la valeur de Fp(0) tant infinie, il en rsulte que i est infinie, que le filtre soit actif ou
passif, la diffrence des autres comparateurs de phase pour lesquels la plage de
synchronisation n'tait thoriquement infinie que pour le seul filtre actif (.13.8.1.5)
13.8.1.7

Remarques importantes concernant la plage de synchronisation

On vient de voir quelles sont les contraintes sur l'excursion de pulsation i permettant
d'assurer le verrouillage de la boucle, au bout d'un temps infini. Cependant, ceci ne permet pas
CD:\SE\Cours\Chap13

BOUCLES A VERROUILLAGE DE PHASE

Page 64

d'affirmer que la boucle reste verrouille en permanence, depuis le moment o la pulsation en


entre est modifie jusqu'au temps thoriquement infini au bout duquel la boucle a atteint son
nouvel tat d'quilibre. On conoit que si la modification de pulsation se fait lentement, de
manire quasi statique, la boucle puise rester verrouille en permanence. En revanche, dans le
cas d'un saut brusque de pulsation, la boucle peut se dverrouiller momentanment, pour venir
se verrouiller nouveau au bout d'un certain temps. En effet, supposons que l'on fasse varier la
pulsation du signal d'entre d'une quantit i partir de l'instant t=0. La phase du signal
d'entre va donc voluer, partir de sa valeur de repos, suivant la loi :
i = i t

13.122

Nous savons que l'erreur de phase, au bout d'un temps infini, est gale (voir relation 13.90)

, mais l'erreur transitoire peut tre suprieure cette valeur, entranant


K0
KD
F p ( 0)
N
provisoirement le dcrochage de la boucle. Le fait qu'il puisse y avoir perte d'asservissement
provisoire est lie aux facteurs ci-dessous :
l'amplitude du saut de pulsation i,
le type de comparateur de phase utilis,
le temps de raction de la boucle li sa bande passante et l'amortissement.
Dans cette phase transitoire, le comportement de la boucle est non-linaire. Il n'est donc pas
possible de calculer explicitement la valeur du saut de pulsation i ne pas dpasser de faon
permettre la boucle de rester verrouille en permanence. Cependant, grce des
simulations, il a t possible d'tablir des relations donnant une valeur approche du saut de
pulsation maximal ne pas dpasser
13.8.1.7.1

Cas du comparateur sinusodal (multiplicateur)

La valeur approche du saut de pulsation maximal ne pas dpasser pour que la boucle reste
verrouille est donne par
i [ MAX ] 1.8 n ( + 1) valable pour 0.5 1.3

13.8.1.8

13.123

Cas du comparateur triangulaire (OU exclusif)

Dans ce cas, la valeur maximale de i est donne par


i [ MAX ] 2.46 n ( + 0.65) valable pour 0.1 3

13.8.1.9

13.124

Cas du comparateur caractristique en dent de scie (bascule).

Pour la bascule, qui est linaire sur 2, le saut de pulsation maximal est donn par les
expressions suivantes

CD:\SE\Cours\Chap13

BOUCLES A VERROUILLAGE DE PHASE

Page 65

> 1 i [ MAX ] n e

2 1

Arc tan

2 1

= 1 i [ MAX ] n e

13.125

< 1 i [ MAX ] n e

1 2

Arc tan

1 2

La relation suivante permet de rsumer de manire approche les trois expressions prcdentes
i [ MAX ] 5.78 n ( + 0.5)

13.8.1.10

13.126

Cas du comparateur phase-frquece

Pour ce type de comparateur, qui est linaire sur 2, on a

> 1 i [ MAX ] 2 n e

1
2

Arc tan

2 1

= 1 i [ MAX ] 2 n e

13.127

< 1 i [ MAX ] 2 n e

1 2

Arc tan

qui peut tre rsum par la relation


i [ MAX ] 11.56 n ( + 0.5)

13.128

13.8.2 Plage d'acquisition ou d'accrochage

13.8.2.1

Gnralits

La plage d'acquisition ou d'accrochage correspond l'cart maximal de pulsation par rapport


la pulsation de repos du VCO, que peut prsenter le signal d'entre pour que, la boucle n'tant
pas verrouille, l'accrochage se produise.
13.8.2.2

Processus d'acquisition

Pour expliquer le processus d'acquisition, on prendra comme exemple un comparateur de


phase sinusodal. Un raisonnement similaire peut tre conduit pour les autres types de
comparateurs de phase. Le comportement du comparateur phase-frquence est diffrent et fait
l'objet d'une remarque ultrieure.
Supposons qu'au dpart, lors de la mise sous tension par exemple, la boucle ne soit pas
accroche et que la pulsation du signal l'entre de la boucle soit gale i=i+i, la
pulsation de l'oscillateur tant gale osc=Ni, et la sortie du diviseur 0=i. Il en rsulte
que le signal en sortie du comparateur de phase est un signal sinusodal, de pulsation E=i,
reprsentant le battement entre le signal d'entre et le VCO. Or le signal issu du comparateur
de phase est attnu par le filtre de boucle, mais il subsiste cependant une composante la
pulsation i qui vient moduler le VCO. Contrairement l'hypothse faite de manire
implicite, l'cart de pulsation entre le signal d'entre du VCO n'est pas constant. Ainsi, lors de
l'alternance positive du signal issu du filtre, la frquence de l'oscillateur augmente, ce qui rduit
CD:\SE\Cours\Chap13

BOUCLES A VERROUILLAGE DE PHASE

Page 66

la pulsation du battement jusqu' [min], alors que lors de l'alternance ngative, la frquence
de l'oscillateur diminue, ce qui augmente la pulsation du battement jusqu' [max].
La Figure 13-72 ci-dessous, qui reprsente l'volution de la pulsation du VCO en fonction du
temps, montre clairement que la dure de la demi-priode correspondant l'alternance positive
du signal qui module le VCO est plus grande que celle correspondant l'alternance ngative de
ce mme signal.
osc(t)
[1]
osc

1.03

1.02

1.01

0.99

100

200

300

400

500

600

t [ns]

Figure 13-72 : Evolution de la pulsation du VCO en phase d'acquisition

La dissymtrie du signal a pour consquence que la pulsation moyenne du VCO n'est plus
osc, c'tait le cas en l'absence de modulation, mais une pulsation plus leve 'osc qui se
rapproche de la pulsation d'entre Ni. Cette dissymtrie est d'autant plus forte que la valeur
moyenne du battement diminue, ce qui augmente davantage la valeur moyenne de la pulsation
du VCO en la rapprochant de la pulsation d'entre, entranant donc une nouvelle diminution de
la valeur moyenne du battement et ainsi de suite.
On voit donc que ce processus cumulatif doit conduire, sous certaines conditions, au
verrouillage de la boucle. Il s'agit du processus d'acquisition. On appelle plage d'accrochage ou
d'acquisition la plage des pulsations du signal d'entre de la boucle telle que l'acquisition puisse
effectivement avoir lieu.
Cette plage prsente une valeur minimale, infrieure 0, et une valeur maximale suprieure
0.
Dans le cas prsent, si la pulsation d'entre i est suprieure la limite suprieure de la plage
d'accrochage, l'acquisition ne peut pas avoir lieu.
Il est remarquer que, pour le comparateur phase frquence, le raisonnement est diffrent,
car le VCO est command de faon ce que sa pulsation volue de manire monotone jusqu'
ce qu'il atteigne la plage d'accrochage ou le processus de verrouillage lieu.
13.8.2.3

Plage et temps d'acquisition pour diffrents types de comparateur de phase.

CD:\SE\Cours\Chap13

BOUCLES A VERROUILLAGE DE PHASE

Page 67

Le calcul exact de la plage d'acquisition est impossible. Cependant, il st possible d'en donner
une valeur approche, pour diffrents types de comparateurs de phase et pour diffrents types
de filtres de boucles.
Les comparateurs de phase qui sont ici considrs sont les suivants :

le comparateur sinusodal (multiplicateur),


le OU exclusif,
la bascule,
le comparateur phase-frquence.

Les filtres considrs ici sont les suivants


Deuxime type : Fp ( s ) =

1 + s 2
1 + s 1

Troisime type : Fp ( s ) =

1 + s 2
s 1

13.8.2.3.1

Cas du comparateur sinusodal

Pour le deuxime type de filtre, l'cart maximal de pulsation permettant l'acquisition de la


boucle est gal :
i [ MAX ]

4 2

n K D

K0
N

13.129

dans le cas o le gain de boucle est lev.


Pour le troisime type de filtre, l'cart maximal de pulsation permettant l'acquisition de la
boucle est thoriquement infini.
i [ MAX ]

13.130

Dans les deux cas, le temps d'acquisition est donn par la relation :

Tacq

2
2
2 ( i (0) 0 (0) ) 2 ( i (0) N osc (0) )
=
16
16
n3
n3

13.131

o i(0) et 0(0) sont les pulsation initiales d'entre et du VCO (sortie du diviseur par N)
13.8.2.3.2

Cas du comparateur de phase triangulaire (OU exclusif)

Pour le deuxime type de filtre, l'cart maximal de pulsation permettant l'acquisition de la


boucle est gal :
i [ MAX ]

n K D

K0
N

dans le cas o le gain de boucle est lev.

CD:\SE\Cours\Chap13

13.132

BOUCLES A VERROUILLAGE DE PHASE

Page 68

Pour le troisime type de filtre, l'cart maximal de pulsation permettant l'acquisition de la


boucle est thoriquement infini.
i [MAX ]

13.133

Dans les deux cas, le temps d'acquisition est donn par la relation :
4 ( i (0) 0 (0) )

Tacq

n3

4 ( i (0) osc (0) )

n3

13.134

o i(0), 0(0) et osc(0) sont les pulsations initiales respectivement d'entre, du VCO et de la
sortie du diviseur par N.
13.8.2.3.3

Cas du comparateur de phase en dent de scie (bascule)

Pour le deuxime type de filtre, l'cart maximal de pulsation permettant l'acquisition de la


boucle est gal :
K0
N

i [ MAX ] 2 n K D

13.135

dans le cas o le gain de boucle est lev.


Pour le troisime type de filtre, l'cart maximal de pulsation permettant l'acquisition de la
boucle est thoriquement infini.
i [MAX ]

13.136

Dans les deux cas, le temps d'acquisition est donn par la relation :
1 ( i (0) 0 (0) )

Tacq

n3

1 ( i (0) osc (0) )

n3

13.137

o i(0), 0(0) et osc(0) sont les pulsations initiales respectivement d'entre, du VCO et de la
sortie du diviseur par N.
13.8.2.3.4

Cas du comparateur phase-frquence

Dans le cas du comparateur phase-frquence, pour tous les type de filtre, la plage de la
pulsation d'acquisition est infinie.
i [MAX ]

13.138

Par contre le temps d'acquisition est fonction du type de filtre utilis.


Pour le deuxime type de filtre, le temps d'acquisition de la boucle est donne par

Tacq

K 0 VDD
K 0 VDD

N 2
N 2
= 2 1 Ln

2 1 Ln
K 0 VDD ( (0) (0) )
K 0 VDD ( (0) (0) )

i
0
i
osc
N 2

N 2

CD:\SE\Cours\Chap13

13.139

BOUCLES A VERROUILLAGE DE PHASE

Page 69

en supposant que le comparateur phase-frquence soit aliment entre une tension VDD et la
masse.
Pour le troisime type de filtre, le temps d'acquisition est gal :
Tacq

2 1 ( i (0) 0 (0) ) 2 1 ( i (0) osc (0) )


=
K 0 VDD
K 0 VDD
N 2
N 2

le comparateur phase-frquence tant aliment entre une tension VDD et la masse.

CD:\SE\Cours\Chap13

13.140

BOUCLES A VERROUILLAGE DE PHASE

BIBLIOGRAPHIE
[1]

EPFL : CIRCUIT ET SYSTEMES ELECTRONIQUES


ELECTRONIQUE III, PARTIE II
Auteur : M. Declercq

[2]

COURS SUPELEC
BOUCLES A VERROUILLAGE DE PHASE
Auteur : Georges Seignier

[3]

CAMBRIDGE UNIVERSITY PRESS


THE ART OF ELECTRONICS
Auteurs : P. Horowitz, H. Winfield
ISBN 0-521-37095-7

CD:\SE\Cours\Chap13

Page 70

S-ar putea să vă placă și