Sunteți pe pagina 1din 30

UNIVERSIDAD DE EL SALVADOR

FACULTAD DE INGENIERIA Y ARQUITECTURA


ESCUELA DE INGENIERIA ELECTRICA
SISTEMAS DIGITALES II

Conversor cdigos BCD con PLD


Paiz Garca, Gustavo Emmanuel
Torres Rodrguez, Jos Marvin

PG08017
TR08002

9 DE NOVIEMBRE DE 2012

Sistema Digitales II

9 de
noviembre
de 2012

Introduccin:
En la actualidad uno de los requisitos ms importantes en el diseo de
circuitos es el tamao del mismo como tambin su consumo de energa y por
su puesto el costo del mismo por lo cual en un circuito si tenemos muchos
componentes ello implicara un gran espacio fsico, mayor cantidad de
consumo de energa y costos altos.
Razones por las cuales se nos hace necesario aplicar los tres criterios
anteriores como una pequea base porque a la final son muchos factores los
que se toman en cuenta en un buen diseo pero los anteriores podran ser de
los ms importantes dependiendo del enfoque del diseo, pero cuando nos
vamos a la realidad nos encontramos primeramente que para la
implementacin de un sistema digital estn como primer recurso los
dispositivos de las familias TTL O CMOS con los cuales no todos los
criterios mencionados se cumplen aunque el implementar el circuito es
relativamente fcil, dichos circuitos no son los mejores en tamao, costos y
consumo de energa; razn por lo cual se nos hace necesario aplicar u nuevo
concepto el cual es el de los PLD (PROGRAMABLE LOGIC DEVICE) que
son circuitos integrados que tienen en su interior un gran cantidad de
arreglos de compuertas.
Estos dispositivos los podemos programar o por as decirlo personalizar
segn la aplicacin que vayamos a implementar para nuestro caso se
utilizara el software WINCUPL; pero as mismo nos vemos limitados en su
nmero de compuertas al interior algo que debemos tomar en cuenta
revisando las datasheet (hojas de especificaciones) del PLD a utilizar.

Sistema Digitales II

9 de
noviembre
de 2012

Objetivos:
Objetivo General:
Aplicar los conceptos vistos en clase y en las prcticas de laboratorio
para implementar un PLD el cual haga la funcin de un convertidor
de cdigos 2421, 5421, 7421 y exceso-3 en ese orden a 8421 y que
dicho resultado se muestre en un display de 7 segmentos.
Objetivo Especifico:
Utilizar el programa WINCUPL para la programacin de un PLD
ATF16V8B el cual tiene la misma configuracin de un GAL16V8D
utilizando las ecuaciones simplificadas obtenidas del Workbench.

Indagar sobre los PLD y sus principales ventajas sobre los circuitos
TTL y CMOS

Comprobar el correcto funcionamiento del circuito simulado en TINA


con cdigo VHDL y con el Winsim y adems con el circuito fsico del
convertidor.

Sistema Digitales II

9 de
noviembre
de 2012

Marco Terico:
Un punto importante antes de poder hablar sobre los PLD es tener claro el
concepto de las familias TTL y CMOS sobre las cuales el PLD tiene grandes
ventajas por ello comenzaremos dando una breve introduccin sobre los
dispositivos TTL y CMOS con el fin de dejar claro las ventajas de el PLD.
Familia TTL y familia CMOS. Las diferencias en sus caractersticas les
proporcionaron diferentes aplicaciones. Las TTL se las utilizan en
laboratorios de estudios y en la etapa de diseo de nuevos equipos
electrnicos, mientras los circuitos integrados de familia CMOS ocupan un
papel importante en los equipos que funcionan en varios lugares de la
industria y telecomunicaciones.

Tecnologa TTL
TTL es la sigla en ingls de transistor-transistor logic, es decir, "lgica
transistor a transistor". Es una familia lgica o lo que es lo mismo, una
tecnologa de construccin de circuitos electrnicos digitales. En los
componentes fabricados con tecnologa TTL los elementos de entrada y
salida del dispositivo son transistores bipolares

Caractersticas
Su tensin de alimentacin caracterstica se halla comprendida entre
los 4,75v y los 5,25V (como se ve un rango muy estrecho).
Los niveles lgicos vienen definidos por el rango de tensin
comprendida entre 0,2V y 0,8V para el estado L (bajo) y los 2,4V y Vcc
para el estado H (alto).
4

Sistema Digitales II

9 de
noviembre
de 2012

La velocidad de transmisin entre los estados lgicos es su mejor base,


si bien esta caracterstica le hace aumentar su consumo siendo su
mayor enemigo. Motivo por el cual han aparecido diferentes versiones
de TTL como FAST, LS, S, etc y ltimamente los CMOS: HC, HCT y
HCTLS. En algunos casos puede alcanzar poco ms de los 250 MHz.
Las seales de salida TTL se degradan rpidamente si no se
transmiten a travs de circuitos adicionales de transmisin (no pueden
viajar ms de 2 m por cable sin graves prdidas).
.TTL trabaja normalmente con 50V.

CMOS
Complementary metal-oxide-semiconductor o CMOS es una de
las familias lgicas empleadas en la fabricacin de circuitos
integrados. Su principal caracterstica consiste en la utilizacin
conjunta de transistores de tipo pMOSy tipo nMOS configurados de
tal forma que, en estado de reposo, el consumo de energa es
nicamente el debido a las corrientes parsitas.
En la actualidad, la mayora de los circuitos integrados que se fabrican
utilizan la tecnologa CMOS. Esto incluye
microprocesadores, memorias, procesadores digitales de seales y
muchos otros tipos de circuitos integrados digitales cuyo consumo es
considerablemente bajo.

Ventajas
La familia lgica tiene una serie de ventajas que la hacen superior a otras
en la fabricacin de circuitos integrados digitales:

Sistema Digitales II

9 de
noviembre
de 2012

El bajo consumo de potencia esttica, gracias a la alta impedancia de


entrada de los transistores de tipo MOSFET y a que, en estado de
reposo, un circuito CMOS slo experimentar corrientes parsitas.
Esto es debido a que en ninguno de los dos estados lgicos existe un
camino directo entre la fuente de alimentacin y el terminal de tierra,
o lo que es lo mismo, uno de los dos transistores que forman el
inversor CMOS bsico se encuentra en la regin de corte en estado
estacionario.
Gracias a su carcter regenerativo, los circuitos CMOS son robustos
frente a ruido o degradacin de seal debido a la impedancia del
metal de interconexin.
Los circuitos CMOS son sencillos de disear.
La tecnologa de fabricacin est muy desarrollada, y es posible
conseguir densidades de integracin muy altas a un precio mucho
menor que otras tecnologas.

Inconvenientes
Algunos de los inconvenientes son los siguientes:
Debido al carcter capacitivo de los transistores MOSFET, y al hecho
de que estos son empleados por duplicado en parejas nMOS-pMOS, la
velocidad de los circuitos CMOS es comparativamente menor que la
de otras familias lgicas.
Son vulnerables a latch-up: Consiste en la existencia de un tiristor
parsito en la estructura CMOS que entra en conduccin cuando la
salida supera la alimentacin. Esto se produce con relativa facilidad
debido a la componente inductiva de la red de alimentacin de los
6

Sistema Digitales II

9 de
noviembre
de 2012

circuitos integrados. El latch-up produce un camino de baja resistencia


a la corriente de alimentacin que acarrea la destruccin del
dispositivo. Siguiendo las tcnicas de diseo adecuadas este riesgo es
prcticamente nulo. Generalmente es suficiente con espaciar contactos
de sustrato y pozos de difusin con suficiente regularidad, para
asegurarse de que est slidamente conectado a masa o alimentacin.
Segn se va reduciendo el tamao de los transistores, las corrientes
parsitas empiezan a ser comparables a las corrientes dinmicas
(debidas a la conmutacin de los dispositivos).

PLD
Lgica programada: es lo contrario de la lgica cableada, es decir, este
tipo diseo permite utilizar un circuito o un proyecto para muchas otras
funciones con el simple cambio del software que incorpora.
La lgica programada se basa en dispositivos lgicos programables
(PLD), los cuales tienen una funcin no establecida, al contrario que las
puertas lgicas que tienen una funcin fja en el momento de su
fabricacin. Antes de poder utilizar el PLD en un circuito, este debe ser
programado.
El uso de ROMs como PLDs
Antes de que se inventasen las PLDs, los chips de memoria de solo
lectura (ROM) se utilizaban para crear funciones de lgica combinacional
arbitrarias con un nmero determinado de entradas. Considerando una
ROM con m entradas, a las que se denomina lneas de direccin; y con "n
salidas, a las que se denomina lneas de datos. Cuando se utiliza como
memoria, la ROM contiene 2m palabras de n bits. Supongamos que las
entradas no son direccionadas por una direccin de m-bits, sino por m
seales lgicas independientes. Tericamente, hay 2mfunciones booleanas
posibles de estas m seales, pero la estructura de la ROM permite solo
producir n de estas funciones en los pines de salida. Por lo tanto, en este

Sistema Digitales II

9 de
noviembre
de 2012

caso, la ROM se vuelve un equivalente de n circuitos lgicos separados,


cada uno generando una funcin elegida de las m entradas.

La ventaja de utilizar una ROM de esta forma es que cualquier funcin


concebible de las m entradas puede ser colocada por lasn salidas,
haciendo este el dispositivo lgico combinacional de mayor propsito
general disponible. Tambin las PROMs (ROMs programables), EPROMs
(PROMs de borrado por ultravioleta) y EEPROMs (PROMs de borrado
elctrico) disponibles pueden ser programadas de esta manera con un
programador PROM hardware o software. Sin embargo, existen varias
desventajas:

Son bastante ms lentas que los circuitos lgicos dedicados.


No necesariamente pueden proveer de "proteccin" ante transiciones
lgicas asncronas.
Consumen mayor potencia.
Slo se utiliza una pequea fraccin de su capacidad en una sola
aplicacin: un uso ineficiente del espacio.
Por si solas no pueden ser utilizadas para circuitos de lgica
secuencial, puesto que no contienen biestables. Para realizar algunos
circuitos secuenciales (como mquinas de estado) se utilizaba un
registro TTL externo.

Las EPROMs comunes (como la 2716), se siguen utilizando a veces de


esta forma por gente que tiene como hobby el diseo de circuitos, ya que
a menudo tienen algunas sueltas. A las ROM utilizadas de esta manera se
las conoce como la "PAL del pobre".

Sistema Digitales II

9 de
noviembre
de 2012

PAL
MMI introdujo un dispositivo revolucionario en 1978, la
ProgrammableArrayLogic (Matriz lgica programable). La arquitectura era
ms sencilla que la FPLA de Signetics porque omita la matriz OR
programable. Esto hizo los dispositivos ms rpidos, ms pequeos y
ms baratos. Estaban disponibles en encapsulados de 20 pines y DIP de
300 milsimas de pulgada, mientras que las FPLAs venan en
encapsulados de 28 pines y DIP de 600 milsimas de pulgada. Ciertas
publicaciones sobre PALs desmitificaban el proceso de diseo. El
software de diseo PALASM (PAL Assembler, ensamblador PAL)
converta las ecuaciones Booleanas de los ingenieros en el patrn de
fusibles requerido para programar el dispositivo. Los PAL de MMI
pronto fueron distribuidos por National Semiconductor, Texas
Instruments y AMD.
Tras el xito de MMI con los PAL de 20 pines, AMD introdujo los 22V10
de 24 pines con caractersticas adicionales. Tras comprar a MMI (1987),
AMD desarroll una operacin consolidada como Vantis, adquirida por
Lattice Semiconductor en 1999.
Tambin hay PLAs :ProgrammableLogicArray.
GALs

Lattice GAL 16V8 y 20V8.

Sistema Digitales II

9 de
noviembre
de 2012

Una innovacin del PAL fue la matriz lgica genrica (Genericarraylogic) o


GAL. Ambas fueron desarrolladas por Lattice Semiconductor en 1985. Este
dispositivo tiene las mismas propiedades lgicas que el PAL, pero puede ser
borrado y reprogramado. La GAL es muy til en la fase de prototipado de
un diseo, cuando un fallo en la lgica puede ser corregido por
reprogramacin. Las GALs se programan y reprograman utilizando un
programador OPAL, o utilizando la tcnica de programacin circuital en
chips secundarios.
Un dispositivo similar llamado PEEL (programmableelectricallyerasablelogic o
lgica programable elctricamente borrable) fue introducido por la International
CMOS

10

Sistema Digitales II

9 de
noviembre
de 2012

Funcionamiento del GAL

Forma constructiva de GAL16V8

11

Sistema Digitales II

9 de
noviembre
de 2012

Una GAL permite implementar cualquier expresin en suma de productos


con un nmero de variables definidas, por ejemplo este diagrama muestra la
estructura bsica de una GAL para dos variables de entrada y una salida. El
proceso de programacin consiste en activar o desactivar cada celda
E2CMOS con el objetivo de aplicar la combinacin adecuada de variables a
cada compuerta AND y obtener la suma de productos.
Las celdas E2CMOS activadas conectan las variables deseadas o sus
complementos con las apropiadas entradas de las puertas AND. Las celdas
E2CMOS estn desactivadas cuando una variable o su complemento no se
utilizan en un determinado producto. La salida final de la puerta OR es una
suma de productos.
Cada fila est conectada a la entrada de una puerta AND, y cada columna a
una variable de entrada o a su complemento. Mediante la programacin se
activa o desactiva cada celda E2CMOS, y se puede aplicar cualquier
combinacin de variables de entrada, o sus complementos, a una puerta
AND para generar cualquier operacin producto que se desee. Una celda
activada conecta de forma efectiva su correspondiente fila y columna, y una
celda desactivada desconecta la fila y la columna.
Las celdas se pueden borrar y reprogramar elctricamente. Una celda
E2CMOS tpica puede mantener el estado en que se ha programado durante
20 aos o ms. Las macroceldas lgicas de salida (OLMCs) estn formadas
por circuitos lgicos que se pueden programar como lgica combinacional o
como lgica secuencial. Las OLMCs proporcionan mucha ms flexibilidad
que la lgica de salida fija de una PAL.

CPLDs
Artculo principal: CPLD
Las PALs y GALs estn disponibles slo en tamaos pequeos, equivalentes
a unos pocos cientos de puertas lgicas. Para circuitos lgicos mayores, se
pueden utilizar PLDs complejos o CPLDs. Estos contienen el equivalente a
varias PAL enlazadas por interconexiones programables, todo ello en el
mismo circuito integrado. Las CPLDs pueden reemplazar miles, o incluso
cientos de miles de puertas lgicas.

12

Sistema Digitales II

9 de
noviembre
de 2012

Algunas CPLDs se programan utilizando un programador PAL, pero este


mtodo no es manejable para dispositivos con cientos de pines. Un segundo
mtodo de programacin es soldar el dispositivo en su circuito impreso. Las
CPLDs contienen un circuito que descodifica la entrada de datos y configura
la CPLD para realizar su funcin lgica especfica.
Cada fabricante tiene un nombre propietario para este sistema de
programacin. Por ejemplo, Lattice Semiconductor la llama Insystemprogramming (Programacin en el sistema). Sin embargo, estos
sistemas propietarios estn dejando paso al estndar del Joint Test
ActionGroup (JTAG).
Almacenamiento de la configuracin en las PLDs
Una PLD es una combinacin de un dispositivo lgico y una memoria. La
memoria se utiliza para almacenar el patrn el que se le ha dado al chip
durante la programacin. La mayora de los mtodos para almacenar datos
en un circuito integrado han sido adaptados para el uso en PLDs. Entre estos
se incluyen:

antifusibles de silicio.
SRAMs.
Clulas EPROM o EEPROM.
Memoria flash.

Los antifusibles de silicio son elementos de almacenamiento utilizados en las


PAL, el primer tipo de PLD. Estos antifusibles se encargan de formar
conexiones mediante la aplicacin de voltaje en un rea modificada del chip.
Se le llama antifusibles porque funcionan de manera opuesta a los fusiles
normales, los cuales permiten la conexin hasta que se rompen por exceso
de corriente elctrica.
Las SRAM, o RAM estticas, son un tipo voltil de memoria, lo que quiere
decir que su contenido se pierde cada vez que se desconectan. Las PLDs
basadas en SRAM tenen que ser programadas cada vez que el circuito se
enciende. Generalmente esto lo hace otra parte del circuito.

13

Sistema Digitales II

9 de
noviembre
de 2012

Una clula EPROM es un transistor MOS (metal-xido-semiconductor) que


puede activarse atrapando una carga elctrica permanentemente en su
puerta, cosa que realiza un programador PAL. La carga permanece durante
algunos aos slo puede ser eliminada exponiendo al chip a una luz
ultravioleta fuerte en un dispositivo llamado borrador EPROM.
Las memorias flash son no voltiles, por lo que retienen sus contenidos
incluso cuando se les corta la alimentacin. Puede ser borradas y
reprogramadas tanto como sea necesario, lo que las hace tiles para las
memorias PLD.
A partir de 2005, la mayora de las CPLDs son del tipo EEPROM y no
voltiles. Esto se debe a que son demasiado pequeas para justificar lo poco
conveniente que es la programacin interna de celdas SRAM cada vez que se
inician, y lo costoso de las clulas EPROM debido a su encapsulado
cermico con una ventana de cuarzo.
Lenguajes de programacin de PLDs
Varios dispositivos de programacin de PALs admiten la entrada mediante
un formato estndar de archivo, denominados comnmente como 'archivos
JEDEC'. Son anlogos a los compiladores software. Los lenguajes utilizados
como cdigo fuente para compiladores lgicos se denominan lenguajes de
descripcin de hardware (HDLs).
PALASM y ABEL se utilizan frecuentemente para dispositivos de baja
complejidad, mientras que Verilog y VHDL son lenguajes de descripcin de
hardware de alto nivel muy populares para dispositivos ms complejos.
El ms limitado ABEL se usa normalmente por razones histricas, pero para
nuevos diseos es ms popular VHDL, incluso para diseos de baja
complejidad.

14

Sistema Digitales II

9 de
noviembre
de 2012

Desarrollo del Circuito


Para programar los PLD, el usuario crea una descripcin lgica del circuito
(en un archivo de texto) la cual es convertida en un mapa de fusibles con la
ayuda de un programa compilador.
Este mapa de fusibles es programado dentro del circuito integrado, tal como
se programa una memoria tipo PROM o EEPROM (dependiendo de la
construccin del dispositivo). De esta manera solo quedan conectados a las
compuertas, los trminos que se necesitan para realizar la funcin booleana
y el dispositivo se convierte en una nueva parte completamente hecha a la
medida.
En este reporte se aplica la utilizacin del programa WinCUPL que convierte
la descripcin lgica de un circuito en el mapa de fusibles para el PLD.
El enfoque para este reporte ser especficamente en la GAL ya que los
dems dispositivos programables tienen un procedimiento similar.
El circuito a desarrollar es un convertidor de 2421, 5421. 7421 y Exceso-3 a
8421.
El objetivo de utilizar PLD es minimizar el uso de integrados de cualquier
tipo, es por ello, que el convertidor se realizara solo con compuertas lgicas.
Para ello se utilizar el g16v8a (GAL)

Pin 1: Entrada de reloj


Pin 2-9: Entradas
Pin 10: Tierra
Pin 11-19: Salidas
Pin20 Vcc

15

9 de
noviembre
de 2012

Sistema Digitales II

Procedemos a resolver el circuito para encontrar las respectivas ecuaciones,


quedando 6 entradas (2 variables de control) y 7 salidas (salidas para del7
segmentos)
La convencin de conversin que utilizaremos la mostramos a continuacin:
A
0
0
1
1

B
0
1
0
1

Convertir de 2421 a 8421


Convertir de 5421 a 8421
Convertir de 7421 a 8421
Convertir de Exceso-3 a 8421

Y as mismo la tabla de verdad respectiva al circuito queda de la siguiente


manera:
0
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24

A
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0

B
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
1
1
1
1
1
1
1
1
1

C
0
0
0
0
0
0
0
0
1
1
1
1
1
1
1
1
0
0
0
0
0
0
0
0
1

D
0
0
0
0
1
1
1
1
0
0
0
0
1
1
1
1
0
0
0
0
1
1
1
1
0

E
0
0
1
1
0
0
1
1
0
0
1
1
0
0
1
1
0
0
1
1
0
0
1
1
0

F
0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1
0

T
1
0
1
1
0
X
X
X
X
X
X
1
1
1
1
1
1
0
1
1
0
X
X
X
1

U
1
1
1
1
1
X
X
X
X
X
X
0
0
1
1
1
1
1
1
1
1
X
X
X
0

V
1
1
0
1
1
X
X
X
X
X
X
1
1
1
1
1
1
1
0
1
1
X
X
X
1

W
1
0
1
1
0
X
X
X
X
X
X
1
1
0
1
1
1
0
1
1
0
X
X
X
1

X
1
0
1
0
0
X
X
X
X
X
X
0
1
0
1
0
1
0
1
0
0
X
X
X
0

Y
1
0
0
0
1
X
X
X
X
X
X
1
1
1
1
1
1
0
0
0
1
X
X
X
1

Z
0
0
1
1
1
X
X
X
X
X
X
1
1
0
1
1
0
0
1
1
1
X
X
X
1
16

9 de
noviembre
de 2012

Sistema Digitales II
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63

0
0
0
0
0
0
0
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1

1
1
1
1
1
1
1
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1

1
1
1
1
1
1
1
0
0
0
0
0
0
0
0
1
1
1
1
1
1
1
1
0
0
0
0
0
0
0
0
1
1
1
1
1
1
1
1

0
0
0
1
1
1
1
0
0
0
0
1
1
1
1
0
0
0
0
1
1
1
1
0
0
0
0
1
1
1
1
0
0
0
0
1
1
1
1

0
1
1
0
0
1
1
0
0
1
1
0
0
1
1
0
0
1
1
0
0
1
1
0
0
1
1
0
0
1
1
0
0
1
1
0
0
1
1

1
0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1

1
1
1
1
X
X
X
1
0
1
1
0
1
1
X
1
1
1
X
X
X
X
X
X
X
X
1
0
1
1
0
1
1
1
1
1
X
X
X

0
1
1
1
X
X
X
1
0
1
1
0
1
1
X
1
1
1
X
X
X
X
X
X
X
X
1
1
1
1
1
0
0
1
1
1
X
X
X

1
1
1
1
X
X
X
1
1
0
1
1
1
1
X
1
1
1
X
X
X
X
X
X
X
X
1
1
0
1
1
1
1
1
1
1
X
X
X

1
0
1
1
X
X
X
1
0
1
1
0
1
1
X
0
1
1
X
X
X
X
X
X
X
X
1
0
1
1
0
1
1
0
1
1
X
X
X

1
0
1
0
X
X
X
1
0
1
0
0
0
1
X
0
1
0
X
X
X
X
X
X
X
X
1
0
1
0
0
0
1
0
1
0
X
X
X

1
1
1
1
X
X
X
1
0
0
0
1
1
1
X
1
1
1
X
X
X
X
X
X
X
X
1
0
0
0
1
1
1
1
1
1
X
X
X

1
0
1
1
X
X
X
0
0
1
1
1
1
1
X
0
1
1
X
X
X
X
X
X
X
X
0
0
1
1
1
1
1
0
1
1
X
X
X

17

Sistema Digitales II

9 de
noviembre
de 2012

La simplificacin es un proceso que no hemos considerado hacer a mano


dado que haysoftware indicado para ello y por qu es un procedimiento que
a pesar de ser necesario ya es bien conocido por el alumno a este punto por
lo que para nuestro caso a travs del uso del Workbench las ecuaciones
simplificadas resultan en lo siguiente:
( ' es negado )
T=D'F'+D'E+DE'F+EF'+C
U=A'EF'+A'DF+C'D'+C'E'F'+AB'D'+BE+BD
V=A'E'+B'E'+D'F+DF'+EF+C
W=CDF'+C'D'F'+CD'F+B'E+C'DE'F+C'EF'+D'EF+BCE'
X=A'B'CF'+C'D'F'+B'C'EF'+ACF+ABC'D'+BDE'F+BCF
Y=A'E'F'+B'E'F'+B'D+ABEF+C
Z=A'C'E+A'DF'+B'C'D+B'E+C'DF+DE+CD'F+BCE'

18

Sistema Digitales II

9 de
noviembre
de 2012

A continuacin mostramos el esquema del circuito en la breadboard

Breadboard

19

Sistema Digitales II

9 de
noviembre
de 2012

Las ecuaciones en lenguaje del WinCulpl quedan as:


T=(!D&!F)#(!D&E)#(D&!E&F)#(E&!F)#C;
U=(!A&E&!F)#(!A&D&F)#(!C&!D)#(!C&!E&!F)#(A&!B&!D)#(B&E)#(B&D);
V=(!A&!E)#(!B&!E)#(!D&F)#(D&!F)#(E&F)#C;
W=(C&D&!F)#(!C&!D&!F)#(C&!D&F)#(!B&E)#(!C&D&!E&F)#(!C&E&!F)#(!D&E&F)#(B&
C&!E);
X=(!A&!B&C&!F)#(!C&!D&!F)#(!B&!C&E&!F)#(A&C&F)#(A&B&!C&!D)#(B&D&!E&F)#(
B&C&F);
Y=(!A&!E&!F)#(!B&!E&!F)#(!B&D)#(A&B&E&F)#C;
Z=(!A&!C&E)#(!A&D&!F)#(!B&!C&D)#(!B&E)#(!C&D&F)#(D&E)#(C&!D&F)#(B&C&!E)

Obtenidas las ecuaciones se procede a digitar el cdigo en Wincupl, el cdigo quedara as:
NameBCD ;
PartNo00 ;
Date
15/11/2011 ;
Revision01 ;
DesignerEngineer ;
Company Only Jesus Saves ;
AssemblyNone ;
Location ;
Deviceg16v8a ;
/* *************** INPUT PINS *********************/
PIN
2 = A
; /*
PIN
3 = B
; /*
PIN
4 = C
; /*
PIN
5 = D
; /*
PIN
6 = E
; /*
PIN
7 = F
; /*

*/
*/
*/
*/
*/
*/

/* *************** OUTPUT PINS *********************/


PIN
12 = T
; /*
*/
PIN
13 = U
; /*
*/
PIN
14 = V
; /*
*/
PIN
15 = W
; /*
*/
PIN
16 = X
; /*
*/
PIN
17 = Y
; /*
*/
PIN
18 = Z
; /*
*/
T=(!D&!F)#(!D&E)#(D&!E&F)#(E&!F)#C;
U=(!A&E&!F)#(!A&D&F)#(!C&!D)#(!C&!E&!F)#(A&!B&!D)#(B&E)#(B&D);
V=(!A&!E)#(!B&!E)#(!D&F)#(D&!F)#(E&F)#C;
W=(C&D&!F)#(!C&!D&!F)#(C&!D&F)#(!B&E)#(!C&D&!E&F)#(!C&E&!F)#(!D&E&F)#(B&C&!E);
X=(!A&!B&C&!F)#(!C&!D&!F)#(!B&!C&E&!F)#(A&C&F)#(A&B&!C&!D)#(B&D&!E&F)#(B&C&F);
Y=(!A&!E&!F)#(!B&!E&!F)#(!B&D)#(A&B&E&F)#C;

20

Sistema Digitales II

9 de
noviembre
de 2012

Z=(!A&!C&E)#(!A&D&!F)#(!B&!C&D)#(!B&E)#(!C&D&F)#(D&E)#(C&!D&F)#(B&C&!E);

Se introducen 6 entradas, los cuales seran los pines 2, 3, 4, 5, 6 y 7, luego, los pines de salida
que seran los pines 12, 13, 14, 15, 16, 17, y 18.
Posteriormente a la digitacin del cdigo en wincupl, se simula con las opciones que presenta
el programa para verificar que hace lo que el usuario demanda.

Para tener una idea mejor de como quedar, wincupl genera un archivo .doc el
cual trae las especificaciones de lo que se desea en el g16v8a.
**********************************************************************
*********
BCD
**********************************************************************
*********
CUPL(WM)
Device
Created
Name
Partno
Revision

5.0a Serial# 60008009


g16v8as Library DLIB-h-40-2
Tue Nov 15 21:17:21 2011
BCD
00
01

21

Sistema Digitales II
Date
Designer
Company
Assembly
Location

9 de
noviembre
de 2012

15/11/2011
Engineer
Only Jesus Saves
None

======================================================================
=========
Expanded Product Terms
======================================================================
=========
T =>
!D& !F
# !D & E
# D &!E& F
# E &!F
# C
U =>
!A& E & !F
# !A & D & F
# !C & !D
# !C & !E & !F
# A &!B& !D
# B & E
# B & D
V =>
!A& !E
# !B & !E
# !D & F
# D &!F
# E & F
# C
W =>
#
#
#
#
#
#
#

C & D &!F
!C & !D & !F
C &!D& F
!B & E
!C & D & !E & F
!C & E & !F
!D & E & F
B & C &!E

X =>
!A& !B & C & !F
# !C & !D & !F
# !B & !C & E & !F

22

9 de
noviembre
de 2012

Sistema Digitales II
#
#
#
#

A
A
B
B

&
&
&
&

C
B
D
C

& F
&!C& !D
&!E& F
& F

Y =>
!A& !E & !F
# !B & !E & !F
# !B & D
# A & B & E & F
# C
Z =>
!A& !C & E
# !A & D & !F
# !B & !C & D
# !B & E
# !C & D & F
# D & E
# C &!D& F
# B & C & !E
======================================================================
=========
Symbol Table
======================================================================
=========
Pin Variable
Pol
Name
--- --------

Ext
---

Pin
---

A
B
C
D
E
F
T
U
V
W
X

2
3
4
5
6
7
12
13
14
15
16

Y
Z

Type
---V
V
V
V
V
V
V
V
V
V
V

17
18

Pterms
Used
------

Max
Pterms
------

Min
Level
-----

5
7
6
8

8
8
8
8

0
0
0
0

7
V
V

8
5
8

0
8
8

0
0

LEGEND
D : default variable
F : field
G : group
I : intermediate variable
N : node
M : extended node
U : undefined
V : variable
X : extended variable

23

Sistema Digitales II

9 de
noviembre
de 2012

T : function
======================================================================
========
Fuse Plot
======================================================================
=========
Syn

02192 - Ac0

02193 x

Pin #19 02048 Pol x 02120 Ac1 00000 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx


00032 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
00064 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
00096 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
00128 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
00160 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
00192 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
00224 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
Pin #18 02049 Pol - 02121 Ac1 x
00256 -x-------x------x--------------00288 -x----------x--------x---------00320 -----x---x--x------------------00352 -----x----------x--------------00384 ---------x--x-------x----------00416 ------------x---x--------------00448 --------x----x------x----------00480 ----x---x--------x-------------Pin #17 02050 Pol - 02122 Ac1 x
00512 -x---------------x---x---------00544 -----x-----------x---x---------00576 -----x------x------------------00608 x---x-----------x---x----------00640 --------x----------------------00672 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
00704 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
00736 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
Pin #16 02051 Pol - 02123 Ac1 x
00768 -x---x--x------------x---------00800 ---------x---x-------x---------00832 -----x---x------x----x---------00864 x-------x-----------x----------00896 x---x----x---x-----------------00928 ----x-------x----x--x----------00960 ----x---x-----------x----------00992 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
Pin #15 02052 Pol - 02124 Ac1 x
01024 --------x---x--------x---------01056 ---------x---x-------x---------01088 --------x----x------x----------01120 -----x----------x---------------

24

9 de
noviembre
de 2012

Sistema Digitales II
01152 ---------x--x----x--x----------01184 ---------x------x----x---------01216 -------------x--x---x----------01248 ----x---x--------x-------------Pin #14 02053 Pol - 02125 Ac1 x
01280 -x---------------x-------------01312 -----x-----------x-------------01344 -------------x------x----------01376 ------------x--------x---------01408 ----------------x---x----------01440 --------x----------------------01472 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
01504 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
Pin #13 02054 Pol - 02126 Ac1 x
01536 -x--------------x----x---------01568 -x----------x-------x----------01600 ---------x---x-----------------01632 ---------x-------x---x---------01664 x----x-------x-----------------01696 ----x-----------x--------------01728 ----x-------x------------------01760 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
Pin #12 02055 Pol - 02127 Ac1 x
01792 -------------x-------x---------01824 -------------x--x--------------01856 ------------x----x--x----------01888 ----------------x----x---------01920 --------x----------------------01952 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
01984 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
02016 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
LEGEND

X : fuse not blown


- : fuse blown
======================================================================
=========
Chip Diagram
======================================================================
=========

A x---|2
C x---|4

______________
|
BCD
|
x---|1
20|---x
19|---x
B x---|3
18|---x
17|---x Y
D x---|5
16|---x
E x---|6
15|---x
F x---|7
14|---x

Vcc
Z
X
W
V

25

Sistema Digitales II

9 de
noviembre
de 2012

x---|8
13|---x U
x---|9
12|---x T
GND x---|10
11|---x
|______________|

Conclusiones
Los sistemas digitales armados o fabricados con integrados TTL o CMOS estn en
total desventaja con los PLD puesto que estos son muy fciles de programar, claro
esta segn la aplicacin a implementar en nuestro caso el convertidor de cdigos
2421,5241,7421 y exceso-3 a 8421 ha resultado sencillo pero en otro caso podra
haber sido todo un enorme problema y adems segn los criterios de costos,
tamao y consumo de energa un PLD es muchsimo mas conveniente que un
sistema digital con TTL O CMOS las cuales podran tener solo la ventaja de ser mas
fciles de encontrar en el mercado pero eso puede ser relativo puesto que en
nuestro pas por lo menos nos hemos dado cuento que no hay en existencia pero si
se estuviera en otro lugar los circuitos con integrados TTL o CMOS serviran como
en nuestro se ha hecho para poder desarrollar una comprensin de los sistemas
digitales en su modo mas rudimentario pero no as en el modo mas eficiente.
Podemos tambin decir que el software tiene la limitacin de ser tedioso al
momento de simular los resultados y eso que el circuito simulado no ha sido un en
gran escala pero conforme la complejidad del circuito aumente el WINCUPL
podra hallarse en dificultades tal vez no de simular por que el software har las
tareas para las que esta programado pero si al momento de intentar transmitir los
resultados al usuario por que se podra hacer muy difcil la comprensin de los
mismos y podran buscarse otros mejores los cuales al igual que TINA tuvieran
una interfaz ms amigable al usuario.

26

Sistema Digitales II

9 de
noviembre
de 2012

Anexos
Como algo adicional pensamos que una simulacin del circuito en TINA viene
bien por la facilidad si los resultados son los deseados por lo que acontinuacion se
incluye el cdigo solucin .vhd y algunas capturas en el CD adjunto se encuentra
la simulacin y el archivo vhd con la simulacin respectiva.
-- IMPLEMENTACION COMBINACIONAL DE UN CONVERTIDOR DE
CODIGOS BCD A UN DISPLAY DE 7 SEGMENTOS
ENTITY seg IS
PORT(
A,B,C,D,E,F: IN BIT;
T,U,V,W,X,Y,Z: OUT BIT);
END seg;
ARCHITECTURE seg_arch OF seg IS
BEGIN
T <= NOT( (NOT(D) AND NOT (F)) OR (NOT (D) AND E) OR (D AND NOT (E)
AND F) OR (E AND NOT (F)) OR (C));
U <= NOT( (NOT (A) AND E AND NOT (F)) OR (NOT (A) AND D AND F ) OR
(NOT (C) AND NOT (D)) OR (NOT (C) AND NOT (E) AND NOT (F)) OR ( A
AND NOT (B) AND NOT (D)) OR ( B AND E) OR ( B AND D));
V <= NOT( (NOT (A) AND NOT (E)) OR (NOT (B) AND NOT (E)) OR (NOT (D)
AND F) OR ( D AND NOT (F)) OR ( E AND F) OR (C) );

27

Sistema Digitales II

9 de
noviembre
de 2012

W <= NOT( ( C AND D AND NOT (F)) OR ( NOT (C) AND NOT (D) AND NOT
(F)) OR ( C AND NOT (D) AND F) OR ( NOT (B) AND E ) OR ( NOT (C) AND D
AND NOT (E) AND F) OR ( NOT (C) AND E AND NOT (F)) OR ( NOT (D) AND
E AND F) OR ( B AND C AND NOT (E)) );
X <= NOT( ( NOT (A) AND NOT (B) AND C AND NOT (F)) OR (NOT (C) AND
NOT (D) AND NOT (F)) OR (NOT (B) AND NOT (C) AND E AND NOT (F)) OR
(A AND C AND F) OR (A AND B AND NOT (C) AND NOT (D)) OR (B AND D
AND NOT (E) AND F) OR (B AND C AND F) );
Y <= NOT( ( NOT (A) AND NOT (E) AND NOT (F)) OR (NOT (B) AND NOT (E)
AND NOT (F)) OR (NOT (D) AND D) OR (A AND B AND E AND F) OR C );
Z <= NOT( (NOT (A) AND NOT (C) AND E) OR (NOT (A) AND D AND NOT
(F)) OR (NOT (B) AND NOT (C) AND D) OR (NOT (B) AND E) OR (NOT (C)
AND D AND F) OR (D AND E) OR (C AND NOT (D) AND F) OR (B AND C AND
NOT (E)) );
END seg_arch;

28

Sistema Digitales II

9 de
noviembre
de 2012

Capturas de la simulacin en TINA

Todos los switch estn en cero forman efectivamente 0 en el display

En exceso-3 el 9 es 1100 lo comprobamos por que los switch superiores estn


en 11 que son las variables de control y los otros cuatro switch estn
formando el cdigo 1100 que da 9 correctamente en el display.

29

Sistema Digitales II

9 de
noviembre
de 2012

Durante la simulacin real del circuito, nos presentamos con un problema, la tierra
de la breadboard no desempeaba correctamente su papel. No estableca la
diferencia de potencial necesaria para que el Display funcionara correctamente, es
por ello que recurrimos a utilizar el dedo como una tierra.
Por qu el dedo funcion como una tierra?
Definamos que es Tierra.
El trmino "tierra", como su nombre indica, se refiere al potencial de la superficie
de la Tierra.

El smbolo de la tierra en el diagrama de un circuito es:


Para hacer la conexin de este potencial de tierra a un circuito elctrico se usa un
electrodo de tierra, que puede ser algo tan simple como una barra metlica
(usualmente de cobre) anclada el suelo, a veces humedecida para una mejor
conduccin.
Es un concepto vinculado a la seguridad de las personas, porque stas se hallan a
su mismo potencial por estar pisando el suelo. Si cualquier aparato est a ese
mismo potencial no habr diferencia entre el aparato y la persona, por lo que no
habr descarga elctrica peligrosa.
El dedo, hizo la funcin de una resistencia muy pequea, por la cual se condujo la
corriente, la razn por la que no afecto a la persona es porque era una corriente
pequea, al establecerse la diferencia de potencial correcta el Display funciono a la
perfeccin.

30

S-ar putea să vă placă și