Sunteți pe pagina 1din 102

2014

MODULO DE
ELECTRNICA DIGITAL
Segundo de bachillerato;
electrnica de consumo
UT1

Este modulo combina tcnicas de los sistemas digitales bsicos con la prctica,
permitiendo al estudiante comprender de mejor manera las aplicaciones de los
conceptos digitales en la realidad. Hoy en da el estudio de la electrnica digital es
de gran importancia para los que inician el estudio de la electrnica y de cualquier
otra rama de las ciencias elctricas, ya que el mundo, aunque su entorno sea en su
mayor parte analgico, las tcnicas digitales son las ms utilizadas para el
desarrollo de la tecnologa del siglo XXI

Marlon Max Zambrano


COLEGIO NACIONAL TECNICO GUILLERMO MENSI
01/01/2014 Cuenca Ecuador

INTRODUCCION:
Este modulo es una ayuda para el maestro y alumnos como una gua bsica para abordar de forma
fcil y practica el fabuloso mundo de la electrnica digital desde sus principios aplicaciones y
conceptos ms bsicos.
Para la elaboracin de este modulo se tomo como referencia la orientacin del ministerio de
educacin referente al proyecto de reforzamiento de la educacin tcnica, en la parte de
desarrollo curricular para la especializacin de electrnica de consumo. La informacin tcnica
para el desarrollo de este modulo, as como la secuencia de los temas y su didctica fue en su
mayor parte obtenida con la ayuda del libro SISTEMAS DIGITALES PRINCIPIOS Y APLICACIONES DE
TOCCI AND WIDMER octava edicin as tambin informacin obtenida de la web cuyas
direcciones estarn visibles junto a las mismas citas o grficos. Para un mayor entendimiento se
adicionaron grficos, diagramas, circuitos, conceptos y prcticas de mi autora que pueden ser
perfectamente simulados y comprobados con cualquier software de diseo y simulacin. Con esto
el alumno si necesitase mayor informacin podr dirigirse directamente a la fuente y reforzar su
conocimiento terico-prctico.
La electrnica analgica as como la digital son dos ramas muy importantes de la electrnica, por
ende su estudio y anlisis es de suma importancia para quienes empiezan en esta interesante y
productiva carrera. El modulo est dividido en varias UNIDADES DE TRABAJO y se empezara con el
anlisis de la electrnica digital desde sus conceptos ms bsicos para poder comprender su gran
importancia en los avances tecnolgicos, la miniaturizacin de circuitos, desarrollo de sistemas
computacionales y automatizacin industrial. Espero que al concluir el estudio del presente
modulo, se haya convertido en una herramienta de mucha ayuda para el estudio aprendizaje de la
electrnica digital, y en conjunto con las practicas sugeridas en este trabajo y las que su profesor
adicione, aclaren sus dudas y sobre todo les incentive a profundizar, investigar y continuar sus
estudios en esta apasionante carrera como lo es la electrnica.

Marlon Max Zambrano

Marlon Max Zambrano

Pgina 2

UNIDAD DE TRABAJO # 1
INTRODUCION Y CONCEPTOS BASICOS

1-1 representaciones analgicas y Digitales

1-2 sistemas digitales y analgicos

1-3 sistemas de numeracin decimal y binario

1-4 representacin de cantidades binarias

1-5 circuitos digitales y circuitos lgicos digitales

1-6 trasmisin serie y paralela

1-7 circuitos con memoria

1-8 cuestionario y evaluacin

Marlon Max Zambrano

Pgina 3

1-1 REPRESENTACIONES ANALGICAS Y DIGITALES


En muchas situaciones como en la ciencia y tecnologa, hogar, negocios, deportes, computacin,
vehculos, etc. se manejan o manipulan cantidades, las cuales se miden o se monitorean para
luego reutilizarlas en alguna aplicacin fsica o prctica, o simplemente para llevar algn dato
estadstico. Es importante que estas cantidades por lo general numricas, sean precisas y claras
para esto existen dos formas de representar estas cantidades numricas:
La forma Analgica y la forma Digital
REPRESENTACIONES ANALOGICAS
En este tipo de representaciones una cantidad se representa por medio de un voltaje, una
corriente o un movimiento de un medidor que es proporcional al valor de esa cantidad. Para
entender mejor esto fijmonos en las siguientes figuras:

Velocmetro de automvil analgico(km/h)

Contador de revoluciones

velocmetro de automvil (Mi/h)

contador de horas mecanico

reloj mecnico analgico

Como podemos ver en las fotografas anteriores todos son instrumentos que me expresan una
cantidad numrica de forma mecnica como por ejemplo los velocmetros de los carros, estos
giran una aguja que se mueve de forma proporcional a la velocidad de un automvil, es decir que
la posicin angular de la aguja sigue cualquier cambio que ocurre cuando el automvil acelera o
desacelera; de la misma manera ocurre con los otros ejemplos de las fotografas.

Marlon Max Zambrano

Pgina 4

Otro ejemplo podra ser el micrfono de audio, este dispositivo me entrega un voltaje de salida
que es proporcional a la amplitud de las ondas sonoras que viajan y chocan en el micrfono. Con
estos ejemplos deducimos entonces que las representaciones analgicas pueden variar en un
rango continuo de valores como por ejemplo el velocmetro del automvil puede variar
continuamente entre un rango digamos de 0 a 100km/h
REPRESENTACIONES DIGITALES
En este tipo de representaciones las cantidades no se representan en forma proporcional, si no a
travs de smbolos llamados Dgitos. Por ejemplo las horas del da cambian continuamente, esto lo
puede representar un reloj analgico de forma proporcional y contina, en cambio un reloj digital
expresara esta misma cantidad mostrando dgitos que representan horas minutos y hasta
segundos de forma escalonada es decir minuto a minuto o segundo a segundo.

Reloj digital

velocmetro digital

contador digital

En conclusin la principal diferencia entre representaciones analgicas y digitales es que las


analgicas son continuas y las digitales son discretas (escaln por escaln).
Otro asunto que se debe tener en cuenta es que el valor de las representaciones analgicas puede
estar abierto a interpretaciones, mientras que las digitales no tienen ambigedad o doble
interpretacin.
EJERCICIOS:
En las siguientes figuras identifiquen cuales representan cantidades analgicas y cuales
representan cantidades Digitales:

Marlon Max Zambrano

Pgina 5

Marlon Max Zambrano

Pgina 6

1-2 SISTEMAS DIGITALES Y ANALOGICOS


SISTEMAS DIGITALES
Cuando existe una combinacin de dispositivos y este est diseado para manipular informacin
lgica, cantidades fsicas representadas en forma digital, es decir de forma discreta, decimos
entonces que es un sistema digital.
Por lo general estos sistemas digitales son electrnicos, pero pueden ser tambin mecnicos o
neumticos.
Ejemplos:

CALCULADORA DIGITAL

SISTEMA ELECTROMECANICO

COMPUTADOR DIGITAL

SISTEMAS TELEFONICOS
(EL SISTEMA DIGITAL MAS GRANDE DE EL MUNDO)

Marlon Max Zambrano

Pgina 7

SISTEMAS ANALOGICOS
Los sistemas analgicos contienen dispositivos que manipulan cantidades fsicas, como se dijo
anteriormente estas cantidades pueden variar en un rango continuo de valores:
Ejemplos:

AMPLITUD DE LA SEAL DEL ALTAVOZ

AMPLIFICADORES DE AUDIO

GRABADORES Y REPRODUCTORES DE CINTA MAGNETICA


FUENTE DE IMGENES:
http://www.isatel.cl/TEL%20MUNDO.JPG
https://encrypted-tbn3.google.com/images?q=tbn:ANd9GcRNvK0THIKqWISoUccPpz_tMhyq0oVro3LnGvAc46Je63zNSpNOaLn1nayIw
https://encrypted-tbn0.google.com/images?q=tbn:ANd9GcRM-CsRTCruawKvxulzfpSAGps3IAEqkSF8SxJJG1QvGWQawQDgAg
https://encrypted-tbn2.google.com/images?q=tbn:ANd9GcQ_kjfeRKwux54rWGxVq1-yAx05vbpdkw-HQXLmRTT40NdOkWRE

Marlon Max Zambrano

Pgina 8

VENTAJAS DE LAS TECNICAS DIGITALES:

Se
almacena
informacin
fcilmente

Se puede
agregar ms
circuitera
digital en los
chips
integrados
Menos
susceptibles al
ruido

SISTEMAS
Generalmente son mas
fciles de disear

DIGITALES

La
operacin
se puede
programar

Mayor
exactitud y
precisin

LIMITACIONES DE LAS TECNICAS DIGITALES

EXISTE SOLO UNA DESVENTAJA:

El mundo real es fundamentalmente analgico


Para aprovechar las tcnicas digitales cuando se tienen entradas y salidas analgicas se deben
seguir tres pasos:
1. Convertir las entradas analgicas del mundo real a la forma digital
2. Procesar la informacin digital
3. Convertir las salidas digitales de nuevo a la forma analgica del mundo real

Marlon Max Zambrano

Pgina 9

Ejemplos:
Esquema a bloques de un Control de temperatura:

Temperatura
Analgica

Dispositivo de
medicin

analgica

Convertidor
analgico
digital (ADC)

digital

Procesamiento
digital

digital

Convertidor
digital
analgico (DAC

analgica

controlador

ajusta la
temperatura

EJERCICIO:
Como ejercicio realicemos un esquema a bloques parecido al anterior en el cual me represente un sistema
de grabacin de audio:

Marlon Max Zambrano

Pgina 10

1-3 SISTEMAS DE NUMERACION DECIMAL Y BINARIO


1-3-1 SISTEMA DECIMAL
Como sabemos el sistema decimal se maneja por medio de diez smbolos 0,1,2,3,4,5,6,7,8,9, la
combinacin de estos smbolos nos forma una cantidad. A este sistema tambin se lo conoce
como sistema en base 10 por sus 10 dgitos (derivado del latn para dedo).
Este sistema es un sistema posicional ya que las cantidades formadas por las combinaciones
dependen de la posicin de sus dgitos. Por ejemplo la cantidad 483 nos indica que la posicin del
4 corresponde a las centenas (400) el 8 a las decenas (80) y el 3 a las unidades. Con esta
consideracin podemos observar que el 4 es el que ms peso tiene porque su valor relativo es 400
y el smbolo 3 es el que tiene menos peso ya que representa las unidades.
Al digito de mayor peso se le conoce como el digito ms significativo (MSD por sus siglas en
ingles), y el digito de menor peso se le conoce como el digito menos significativo (LSD por sus
siglas en ingles)
EJEMPLO:
34,52 = (3*10)+(4*1)+(5*0,1)+(2*0,01)
3472,214 = (3*10+3)+(4*10+2)+(7*101)+(2*100)+(2*10-1)+(1*10-2)+(4*10-3)
Con esto podemos concluir que cada nmero es la suma del producto de cada digito por su valor
posicional.
1-3-2 CONTEO DECIMAL
Cuando contamos con el sistema decimal empezamos con el primer smbolo (0) y vamos sumando
un smbolo a continuacin de otro hasta el ltimo smbolo que es el 9 luego se suma 1 a la
posicin ms alta y continuamos hasta 19 y as sucesivamente. Una caracterstica importante del
sistema decimal es que utilizando dos posiciones decimales es decir 102 , podemos contar hasta
100 nmeros diferentes (0a 99); con 3 posiciones se puede contar hasta 1000 y as sucesivamente,
entonces con N posiciones podemos contar hasta 10N nmeros diferentes y el mayor numero
siempre ser 10N-1.
EJERCICIOS:
Indique cuantos nmeros podemos contar y cul es el mayor nmero que se puede llegar con:
8

posiciones =

1 posiciones =

posiciones =

3 posiciones =

posiciones =

5 posiciones =

Marlon Max Zambrano

Pgina 11

1-3-3 SISTEMA BINARIO


En la electrnica sera muy complicado disear circuitos que representen un sistema decimal,
ya que deberamos representar diez valores distintos de voltaje, esto dificultara mucho su diseo.
Por el contrario sera muy conveniente y fcil de disear un sistema electrnico que represente
por ejemplo solo dos valores de voltaje. Es por eso que el sistema binario o sistema en base 2, es
muy utilizado en los sistemas electrnicos digitales.
En el sistema binario solo existen dos dgitos, el cero (0) y el uno (1), y aun as estos pueden
utilizarse para representar cualquier digito de el sistema decimal u otro sistema, aunque para esto
se requieran muchos dgitos binarios.
En este sistema binario y al igual que en el decimal tambin la posicin de cada uno de sus
dgitos, indica el valor o peso de una cierta cantidad, pero expresada en potencia 2, por ejemplo.

Para poder determinar el equivalente decimal de la cantidad binaria del ejemplo anterior, basta
con sumar los productos de cada valor digital(0y 1) y su valor posicional.
1011,1012 = (1*23)+(1+22)+(1*21)+(1*20)+(1*2-1)+(1*2-2)+(1*2-3)
= 8+0+2+1+0,5+0+0,125
= 11,62510
Algo importante es que en el sistema binario se utiliza el trmino digito binario para referirse a
un valor ya sea cero o una es por eso que se suele expresar a un digito binario como bit que se
deriva del ingles (Binary Digit). Con esta aclaracin decimos entonces que el ejemplo anterior tiene
4 bits que representan la parte entera y tres bits que representa la parte decimal, y el bit ms
significativo(MSB) es el de mayor peso o sea el de la izquierda y el bit menos significativo (LSB) el
ultimo de la derecha.

Marlon Max Zambrano

Pgina 12

EJERCICIOS:
Convierta los siguientes nmeros binarios a su equivalente decimal:

10101010102 =
10001001012 =
01001,01002 =
00001,10102 =
1111111102 =

1-3-4 CONTEO BINARIO


En la electrnica digital, debido a la circuitera con la que se pueda representar una cantidad
binaria, se restringe al uso para una cierta cantidad de bits, para ilustrar el mtodo de conteo,
utilizaremos solo 4 bits:
La secuencia de conteo inicia siempre con todos los bits en cero, luego la siguiente lnea de conteo
cambia su bit LSB y para la siguiente vuelve a cambiar y tambin lo hace el siguiente bit y as
sucesivamente, para ilustrar de mejor manera fijmonos en el siguiente ejemplo1 :

Marlon Max Zambrano

Pgina 13

Ejemplo 1-3-4
MSB
0
0
0
0
0
0
0
0
1
1
1
1
1
1
1
1

0
0
0
0
1
1
1
1
0
0
0
0
1
1
1
1

0
0
1
1
0
0
1
1
0
0
1
1
0
0
1
1

LSB equivalente decimal


0
0
1
1
0
2
1
3
0
4
1
5
0
6
1
7
0
8
1
9
0
10
1
11
0
12
1
13
0
14
1
15

Igual que en el sistema decimal con N bits se pueden realizar hasta 2N conteos y el numero mayor
ser 2N-1 por ejemplo con cuatro bits se puede realizar 24 conteos , es decir desde 00002 hasta
11112 y el numero mayor que se pueda contar es 11112 = 24-1 = 1510.
EJERCICIOS:

Realice en su cuaderno de trabajo el conteo binario para 2, 3, 4, 5, 6 bits. Con su


equivalente decimal tal como se mostro en el ejemplo 1-3-4.
En su cuaderno de trabajo Indique cuantos conteos y cul es el mayor numero que se
puede contar con 2,3,4,5,6,7 y 8 bits.

Marlon Max Zambrano

Pgina 14

1-4 REPRESENTACION DE CANTIDADES BINARIAS


En la mayora de sistemas digitales la informacin que se maneja se presenta en forma binaria,
para poder representar estas cantidades de una forma fsica podemos representar mediante
cualquier dispositivo que maneje solo dos estados, por ejemplo podemos utilizar un interruptor de
luz, este me presenta dos estados, el interruptor abierto podra digamos representar el cero (0)
lgico, y el mismo interruptor en su posicin cerrado podra representar el uno lgico. De esta
manera podramos representar cualquier nmero o cantidad binaria.
Existen muchos elementos o dispositivos con los cuales puedo representar cantidades binarias o
situaciones que manejen solo dos estados como se muestra en las siguientes figuras:

Interruptor de luz abierto o cerrado

diodo activado o desactivado

Transistor cortado o saturado

electroimn magnetizado desmagnetizado

Marlon Max Zambrano

Pgina 15

En los sistemas electrnicos digitales la informacin se representa en forma de niveles de voltaje


(o corrientes) que estn presentes en las entradas o salidas de circuitos o componentes digitales.
Esta informacin por lo general se representan con un nivel de 0 voltios para el 0 lgico y 5 voltios
para el 1 lgico.
Debido a las variaciones en los circuitos existen rangos de voltaje que determinan los valores
binarios, es decir para el uno lgico y para el cero lgico estos rangos de voltaje se presentan en el
siguiente grafico:

Aqu podemos ver como cualquier valor de voltaje entre 2v y 5v me genera un 1 lgico, y cualquier
valor entre 0v y 0,8v me genera un 0 lgico, la porcin comprendida entre 0,8 y 2 voltios es un
rango indeterminado y no se usa en sistemas lgicos digitales. Con esto podemos establecer otra
caracterstica importante de los sistemas digitales, por ejemplo en un sistema analgico una
temperatura que sea proporcional al voltaje digamos 3,6 v marcara una temperatura distinta aun
voltaje de 4,2 que generara otra temperatura, lo que en un sistema digital no ocurre ya que estos
valores de voltaje del ejemplo siempre sern uno lgico.
DIAGRAMAS DE TEMPORIZACION O SINCRONIZACION
Este tipo de diagramas se usa para mostrar como una seal digital varia con el tiempo, y
especialmente para mostrar como dos o mas seales digitales en el mismo circuito se relacionan
en el tiempo, tal como se muestran en los ejemplos siguientes:

Marlon Max Zambrano

Pgina 16

1-5 CIRCUITOS DIGITALES Y CIRCUITOS LOGICOS DIGITALES


CIRCUITOS DIGITALES
Los circuitos digitales son diseados para producir voltajes de salida que caen dentro del
rango de valores determinados para el 0 y 1 lgicos, de igual manera los circuitos digitales
se crean para responder a seales de entrada que estn dentro de los intervalos lgicos
de voltaje.

Voltaje lgico

CIRCUITO
DIGITAL

Voltaje lgico

CIRCUITOS LOGICOS
A la forma que un circuito digital responde a una entrada se le denomina lgica del circuito y cada
circuito responde a una lgica por esta razn a los circuitos digitales tambin se les llama circuitos
lgicos.
Casi todos los circuitos digitales modernos son circuitos integrados (C.I). existe una gran variedad
de CI lgicos disponibles asi como algunas tecnologas de fabricacin de CI digitales, las ms
comunes son: TTL, CMOS, NMOS, y ECL. Para comprender de mejor manera estas tecnologas de
construccin de CI vamos a realizar un trabajo de investigacin que se describe en la siguiente
actividad:
TRABAJEMOS:
Vamos a realizar un trabajo de investigacin, donde los estudiantes conformaran 4 grupos de
trabajo de no ms de 4 personas. Cada grupo investigara acerca de las tecnologas de construccin
de CI para luego en la siguiente clase exponer ayudndose de material audiovisual. Los grupos
trabajaran con la siguiente organizacin:
Grupo 1: tecnologa TTL (construccin, caractersticas ejemplos)
Grupo 2: tecnologa CMOS (construccin, caractersticas ejemplos)
Grupo 3: tecnologa NMOS (construccin, caractersticas ejemplos)
Grupo 4: tecnologa ECL (construccin, caractersticas ejemplos)
Al concluir su exposicin los grupos tendrn que presentar por escrito a su profesor el resumen y
conclusiones del trabajo de investigacin, no olvidar colocar la fuente o bibliografa de la
informacin obtenida.

Marlon Max Zambrano

Pgina 17

1-6 TRANSMISIN EN SERIE Y PARALELA


Una de las operaciones ms comunes que se presentan en cualquier sistema digital, es la
transmisin de informacin de un lugar a otro. Esta informacin se puede trasmitir a una
distancia muy pequea como tambin a distancias grandes. Existen varias formas de
trasmisin de seales digitales la ms comn y econmica es la transmisin por medio de
cables, esta tcnica por lo general se usa en distancias cortas y medianas, esto debido a la
perdida de informacin que se pueda dar por muchos factores que afectan la transmisin,
de todas formas estos temas se estudiaran en otros mdulos.
Un ejemplo claro de una trasmisin de un sistema digital por medio de cables es la que
realiza una computadora a su impresora. La informacin que se trasmite esta en forma
binaria es decir niveles lgicos de voltaje. Para esta transmisin se utilizan dos mtodos
bsicos, la transmisin serial y la transmisin paralela
TRANSMISION PARALELA

Como se ve en el ejemplo cada bit del numero binario se representa mediante una de las
salidas de la computadora, y esta salida est conectada a una entrada de la impresora, de
manera que todos los bits se trasmiten de manera simultnea es decir al mismo tiempo
TRANSMISION SERIE

Marlon Max Zambrano

Pgina 18

En la transmisin serial, el numero binario viaja por una sola lnea de comunicacin bit por bit
hasta llegar a su destino, en muchos de los caso existe conversores de serial a paralelo para
agrupar nuevamente la informacin. En vista que este mtodo de trasmisin se lo realiza bit a bit
necesita ms tiempo para transferir la informacin de un lado a otro, a comparacin de la
trasmisin paralela que lo realiza en un solo instante todos los datos, sin embargo la desventaja en
este sistema paralelo seria la cantidad de lneas de transmisin ya que se necesitara una por cada
bit.

1-7 CIRCUITOS CON MEMORIA


Cuando una seal de entrada se aplica a la mayora de los dispositivos o circuitos, la salida
cambia de alguna manera en respuesta a la entrada, y cuando la seal de entrada se
remueve, la salida regresa a su estado original. Estos circuitos no presenta la propiedad de
memoria puesto que sus salidas regresan a su estado normal.
En la circuitera digital ciertos tipos de dispositivos y circuitos tienen memoria, cuando una
entrada se aplica a un circuito determinado, la salida cambiara su estado, pero
permanecer en el nuevo estado aun despus que la entrada sea removida. Esta
propiedad de retencin de la respuesta a una entrada momentnea se le conoce como
memoria. Para ilustrar de mejor manera observemos el siguiente grafico:

CIRCUITO SIN
MEMORIA

CIRCUITOCON
MEMORIA

NOTAS DE INTERES
Albert Einstein (Ulm, Alemania, 14 de marzo de 1879 Princeton, Estados Unidos, 18 de abril de
1955) fue un fsico alemn de origen judo, nacionalizado despus suizo y estadounidense. Est
considerado como el cientfico ms importante del siglo XX.
En 1905, cuando era un joven fsico desconocido, empleado en la Oficina de Patentes de Berna,
public su teora de la relatividad especial.
Aunque es considerado por algunos como el padre de la bomba atmica, abog en sus escritos por el
pacifismo, el socialismo y el sionismo. Fue proclamado como el personaje del siglo XX y el ms
preeminente cientfico por la revista Time.

Marlon Max Zambrano

Pgina 19

1-8 CUESTIONARIO Y EVALUACIN UT1


1. Describa detalladamente la diferencia principal entre cantidades analgicas y
digitales.
________________________________________________________________________________
________________________________________________________________________________
________________________________________________________________________________
2. Cules son las ventajas de las tcnicas digitales sobre las analgicas?
________________________________________________________________________________
________________________________________________________________________________
________________________________________________________________________________
3. Cul es la limitacin principal para el uso de las tcnicas digitales?
________________________________________________________________________________
________________________________________________________________________________
4. Cul es el equivalente decimal de 11010112?
________________________________________________________________________________
________________________________________________________________________________

5. Cul es el siguiente numero binario que sigue a 101112 en la secuencia de conteo


________________________________________________________________________________
________________________________________________________________________________
6. Cul es el valor decimal mayor que se puede representar usando 12 bits?
________________________________________________________________________________
________________________________________________________________________________
7. Describa las ventajas de la trasmisin paralela y serial de datos binarios
________________________________________________________________________________
________________________________________________________________________________
8. Explique como un circuito digital que tiene memoria difiere de uno que no lo
tiene.
__________________________________________________________________________
__________________________________________________________________________

Marlon Max Zambrano

Pgina 20

UT2

UNIDAD DE
TRABAJO # 2
COMPUERTAS
LGICAS

Marlon Max Zambrano


COLEGIO NACIONAL TECNICO
GUILLERMO MENSI
01/01/2013 Cuenca Ecuador
Marlon Max Zambrano

Como mencionamos en la unidad de trabajo 1,


los circuitos digitales o circuitos lgicos operan
en el modo binario donde cada voltaje de
entrada y salida es un 0 o un 1; las
designaciones 0 y 1 representan rangos de
voltaje predefinidos. Al concluir este captulo el
alumno estar en capacidad para realizar las
tres operaciones lgicas bsicas, as como
tambin construir las tablas de verdad y por
medio de circuitos integrados poder demostrar
el funcionamiento de estas operaciones.

Pgina 21

Marlon Max Zambrano

Pgina 22

2.1 constante y variables booleanas


El algebra booleana es muy diferente que el algebra comn, ya que a las constantes y variables
booleanas solo se les permite tener dos valores posibles cero (0) o uno (1).
Las variables booleanas se usan a menudo para representar el nivel de voltaje presente en un
cable o en los terminales de entrada o salida de un circuito. Con respecto a los niveles de voltajes,
estos se analizaron en el modulo UT1, pero vale la pena recordar que para un uno lgico, el nivel
de voltaje equivale a un rango de 2 a 5v cd. Y para un cero lgico el rango de voltaje es de 0 a 0.8v
dc.
Con esto decimos entonces que el cero y uno booleanos representa estados de variables de
voltaje y no nmeros reales, en otras palabras son niveles lgicos.
En la lgica digital tambin se usan otros trminos para determinar los niveles lgicos del 0 y 1 tal
como se muestra en la siguiente tabla 2.1.1:

En la tabla anterior la designacin ms usada es la sealada en amarillo BAJO para el cero y ALTO
para el uno lgico.
El algebra booleana es mucho ms sencilla de operar a diferencia del algebra comn, ya que
debido que solo se manejan dos posibles valores, no se necesitan operaciones complejas como
races cuadradas, fracciones, decimales, nmeros imaginarios, logaritmos, etc. De echo en el
algebra booleana solo existen tres tipos de operaciones OR AND y NOT que las estudiaremos mas
adelante.
Para poder trabajar con el algebra booleana de una manera cmoda, designaremos a las variables
que representan entradas lgicas y salidas de un circuito con letras y cuyos valores determinaran
su valor de voltaje lgico a la salida. Por ejemplo 2.1.1:

Donde A puede ser un nivel lgico de 0 1 y este valor determinara de acuerdo al circuito lgico el
valor de X.
Marlon Max Zambrano

Pgina 23

2.2 TABLAS DE VERDAD


Una tabla de verdad es un medio para describir como la salida lgica de un circuito depende de los
niveles lgicos presentes en las entradas de ese circuito.
Para construir una tabla de verdad se coloca el nombre de las entradas y debajo de ellas se coloca
todas las combinaciones lgicas posibles para estas entradas. Al lado derecho se coloca el nombre
de la salida y bajo de ella la respuesta lgica de la salida, de acuerdo a la combinacin lgica de las
entradas, tal como se muestra en la siguiente figura 2.2.1

En la figura 2.2.1 podemos observar un circuito de 2 entradas A y B y una salida X y su tabla de


verdad correspondiente al circuito, obviamente en el interior de este circuito no sabemos que
lgica o cual es la funcin de este circuito, por eso hemos colocado un signo de interrogacin, por
tal motivo nos hemos impuesto un valor lgico a la salida X al asar como respuesta, es decir por
ejemplo: la combinacin lgica de las entradas A= 1, y B= 0 nos da un valor lgico de 1 en la salida
X, o tambin vemos como A=1 y B=1 me da un 0 en la salida X. mas adelante veremos que hay
circuitos lgicos en las cuales si conocemos su funcionamiento y por ende obtendremos una
respuesta a la salida x que siempre se va a cumplir; por el momento el ejemplo del circuito con la
incgnita es para comprender el uso y construccin de una tabla de verdad a partir de un circuito
con un cierto numero de entradas.
Tengamos presente que la forma de construccin de las tablas de verdad me sirve para dos, tres,
cuatro o mas entradas, lo nico que tenemos que hacer es colocar todas las combinaciones lgicas
posibles para las entradas, esto podemos hacerlo con la ayuda del conteo binario visto en la UT1
anterior, a continuacin se muestra un circuito de tres entradas con una salida y su tabla de
verdad correspondiente.

Marlon Max Zambrano

Pgina 24

EJERCICIOS:
1. Construya una tabla de verdad para el circuito de 4 entradas mostrado en la figura e impngase
la respuesta lgica a la salida X

2. Cul es el estado de la salida del circuito de tres entradas que se presento en la figura 2.2.2
cuando todas las entradas excepto B son 1?
________________________________________________________________________________
________________________________________________________________________________
3. Cul es el estado de la salida del circuito de cuatro entradas del ejercicio 1 cuando A= 1, B=0, C=
1, D=0.
________________________________________________________________________________
________________________________________________________________________________
4. Cuntas anotaciones o combinaciones en la tabla se necesitan para un circuito de 5 entradas?
________________________________________________________________________________
________________________________________________________________________________

Marlon Max Zambrano

Pgina 25

2.3 operacin OR y compuertas OR


La operacin OR es la primera de las tres operaciones booleanas bsicas, es similar a la operacin
suma del algebra comn, pero no se comporta igual ya que solo utilizamos dos smbolos en la
lgica digital 1 y 0 y por ende si sumamos 1 mas 1 en el algebra comn el resultado seria 2 pero en
el algebra booleana seria 1. Esto se clarifica de mejor manera construyendo la tabla de verdad
para la operacin OR en la figura 2.3.1

Como vemos se a construido la tabla de verdad para una operacin OR y cuyo smbolo es el que se
muestra junto a la tabla y que representa la operacin OR este es un circuito de dos entradas A y B
y una salida X, cuya respuesta se comporta de acuerdo a la operacin lgica OR que se muestra en
la tabla de verdad de la figura 2.3.1. Observemos que solo para la combinacin A=0 y B=0 obtengo
una respuesta de 0 a la salida X, para el resto de combinaciones la respuesta es 1, y esto se debe
cumplir para circuitos con operaciones OR de mas entradas. Como vemos en este caso y para el
resto de operaciones bsicas booleanas que veremos luego, ya se conoce y se sabe lo que hace el
circuito lgico y por ende se tiene la certeza cual va a ser su respuesta a la salida.
La expresin X=A+B se lee x es igual A OR B. y a esto se le conoce como expresin booleana; en
resumen se podra decir que la operacin OR produce un resultado de 1 cuando cualquier entrada
A, B, C, D, etc. Sea 1 caso contrario, es decir, si todas las entradas son 0, la respuesta o salida ser
0.
COMPUERTA OR
En un circuito digital una compuerta OR es un circuito que tiene dos o ms entradas y cuya salida
es igual a la combinacin OR de las entradas. Las entradas son niveles lgicos de voltaje al igual
que su salida, es decir maneja niveles ALTO o BAJO y su combinacin producir igual un nivel de
voltaje ALTO o BAJO a la salida de acuerdo a la combinacin de sus entradas.
En el mercado podemos encontrar circuitos lgicos digitales o circuitos integrados (CI) con varias
tecnologas de construccin, (tema de investigacin UT1 tecnologas de construccin de CI) estos
CI por lo general encierran en su interior una combinacin de transistores y resistencias que
producen los niveles lgicos a la salida dependiendo de sus valores lgicos a la entrada y la
operacin OR que se realiza. Estos CI contienen varias compuertas lgicas en su encapsulado, el
mas conocido y empleado para nuestras practicas que realizaremos en lo posterior es el CI 74LS32
que es un circuito integrado TTL cudruple de 2 entradas, es decir es un CI que contiene cuatro
compuertas lgicas en su interior de dos entradas y una salida tal como se muestra en la siguiente
figura 2.3.2
Marlon Max Zambrano

Pgina 26

En la figura 2.3.2 podemos observar la disposicin de pines, los nombres, el encapsulado o forma
fsica y como estn dispuestas las compuertas en el interior de este chip. Fijmonos en los pines 7
y 14 estos pines son los correspondientes para la alimentacin, ya que es un circuito electrnico y
necesita la alimentacin elctrica correspondiente para funcionar y obtener la operacin OR y
niveles lgicos correspondientes. Este circuito tiene una tecnologa de construccin TTL y su
voltaje de alimentacin esta en el rango de 4.75 voltios y 5.25 voltios. Por tal motivo tenemos que
tener presente el voltaje que tenemos para alimentar el circuito cuando realicemos nuestras
prcticas y evitar sobretensiones que puedan daar el CI. Es recomendable en las prcticas usar
reguladores integrados de voltaje para evitarnos inconvenientes.
EJEMPLO DE APLICACIN DE UNA COMPUERTA OR
En muchos sistemas de control industrial se requiere activar una funcin de salida cuando se
activa una de varias entradas. Por ejemplo en un proceso qumico se puede desear que una alarma
se active cuando la temperatura de un proceso exceda un valor mximo o cuando la presin
exceda cierto lmite, en la siguiente figura 2.3.3 se muestra esta situacin por medio de un
diagrama a bloques de este proceso industrial, ponga mucha atencin la explicacin de su maestro
y vea como la compuerta OR trabaja en esta situacin:

Marlon Max Zambrano

Pgina 27

EJERCICIOS:

1. Mediante el diagrama de temporizacin evalu la salida X de la compuerta OR para las formas


de onda en las entradas A y B

2. Determine la forma de onda en la salida de la compuerta OR que se presenta en la figura para la


situacin de entrada que se muestra en el diagrama de temporizacin:

Marlon Max Zambrano

Pgina 28

2.4 operacin AND y compuertas AND


La operacin AND es la segunda operacin bsica booleana la tabla de verdad de la siguiente
figura 2.4.1 muestra que sucede cuando dos entradas lgicas A y B se combinan usando la
operacin AND para producir la salida X. adems podemos observar el smbolo que se utiliza para
identificar a esta operacin:

La expresin para la salida seria salida = A . B que se lee salida igual A AND B A y B en este
caso el punto no es la operacin de multiplicacin del algebra comn, aunque si observamos la
tabla trabaja de la misma forma. El punto entonces significa la operacin booleana AND; y la tabla
de verdad nos muestra que la salida de una compuerta AND ser 1 solo para el caso en que todas
las entradas sean 1; para todos los otros casos la salida ser 0. Esto se aplica a operaciones con dos
o ms entradas, como se muestra en la figura 2.4.2

COMPUERTAS AND
Una compuerta AND es un circuito digital que tiene dos o ms entradas y cuya salida es igual a la
combinacin AND de las entradas. Las entradas son niveles lgicos de voltaje al igual que su salida,
es decir maneja niveles ALTO o BAJO y su combinacin producir igual un nivel de voltaje ALTO o
BAJO a la salida de acuerdo a la combinacin de sus entradas.
Para este caso pudimos observar que basta con tener un nivel de voltaje de 0 voltios (0 lgico) en
cualquier entrada me producir un nivel de 0 voltios en la salida.
En el mercado podemos encontrar circuitos lgicos digitales o circuitos integrados (CI) con varias
tecnologas de construccin, al igual que las compuertas OR. Estos CI contienen varias compuertas
lgicas en su encapsulado, el ms conocido y empleado para nuestras prcticas que realizaremos
Marlon Max Zambrano

Pgina 29

es el CI 74LS08 que es un circuito integrado TTL cudruple de 2 entradas, es decir es un CI que


contiene cuatro compuertas lgicas en su interior de dos entradas y una salida tal como se
muestra en la siguiente figura 2.4.3

En la figura 2.4.3 podemos observar la disposicin de pines, los nombres, el encapsulado o forma
fsica y como estn dispuestas las compuertas en el interior de este chip. Fijmonos en los pines 7
y 14 estos pines al igual que la compuerta OR son los correspondientes para la alimentacin, ya
que es un circuito electrnico y necesita la alimentacin elctrica correspondiente para funcionar
y obtener la operacin AND y niveles lgicos correspondientes. Este circuito tiene una tecnologa
de construccin TTL y su voltaje de alimentacin de igual manera esta en el rango de 4.75 voltios y
5.25 voltios. Y se sugiere seguir las mismas recomendaciones que para el chip OR visto
anteriormente.
2.5 operacin NOT y circuitos NOT
La operacin NOT es la ms simple de todas las operaciones bsicas booleanas ya que solo utiliza
una variable de entrada y a su salida esta se invierte. Esto se clarifica de mejor manera con la tabla
de verdad de la operacin NOT y su smbolo que se muestra en la figura 2.5.1

Marlon Max Zambrano

Pgina 30

Como podemos observar en la figura 2.5.1, las nicas combinaciones posibles para la tabla de
verdad de una variable de entrada, son 0 y 1 y la su salida X es todo lo contrario de la entrada A.
En el smbolo podemos observar la barra que se encuentra sobre la letra A esto indica que la salida
es la negacin de A, tambin suelen utilizarse trminos como complemento de A, inverso de A,
todas estas expresiones son de uso comn e indican que el valor lgico de la entrada es lo opuesto
en la salida.
CIRCUITO NOT
Como se vio en la figura 2.5.1, el smbolo que es un triangulo con una burbuja en la punta,
representa el circuito de inversin o inversor. Este circuito siempre tiene una sola entrada y su
nivel lgico de salida invariablemente es opuesto al nivel lgico de esta entrada.
A nivel comercial tambin encontramos CI que contienen circuitos inversores como el 74LS04, que
es un CI con tecnologa TTL que en su interior posee 6 circuitos inversores como se muestra en la
siguiente figura 2.5.2

De igual manera en la figura 2.5.2 podemos observar la disposicin de pines, los nombres, el
encapsulado o forma fsica y como estn dispuestas las compuertas en el interior de este chip. As
tambin los pines 7 y 14 son pines que al igual que las otras compuerta vistas anteriormente
corresponden a la alimentacin, Este circuito tiene una tecnologa de construccin TTL y su voltaje
de alimentacin de igual manera esta en el rango de 4.75 voltios y 5.25 voltios. Y se sugiere seguir
las mismas recomendaciones que para el chip OR y AND visto anteriormente.

Marlon Max Zambrano

Pgina 31

2.6 Practica # 1
TEMA: COMPROBACION DE LAS OPERACIONES LOGICAS OR AND Y NOT POR MEDIO DE C.I
OBJETIVOS:
Comprobar por medio de C.I las tablas de verdad de las operaciones lgicas OR AND y NOT
Armar un circuito simple con cada una de las compuertas lgicas y por medio de leds y dips swich
comprobar la tabla de verdad. De las compuertas lgicas.
PROCEDIMIENTO PARA LA PRCTICA
1. Ponga mucha atencin a las recomendaciones que le de su profesor, siga paso a paso todas las
indicaciones.
2. Tenga a mano todos los materiales para armar la prctica, as como todas las herramientas
necesarias para armar el circuito. A continuacin le damos la lista de materiales y herramientas.
NOTA IMPORTANTE: Por esta ocasin por ser la primera practica enumeraremos las herramientas
necesarias y bsicas para el correcto armado del circuito, para las prximas practicas se
sobrentender que usted ya conoce y tiene a disposicin todo lo necesario y solo se dar la lista de
materiales; en otras ocasiones solo el circuito y en lo posterior solo el tema, ya que usted estar
en capacidad de disear su propio circuito y establecer los materiales necesarios. As tambin
muchas de las sugerencias dadas en esta practica para el correcto armado, se entender que usted
las comprendi y aplicara en las prcticas posteriores.
LISTA DE MATERIALES:

Un integrado 74LS32
Un integrado 74LS08
Un integrado 74LS04
Un dip swich de 5 pines o mas
5 resistencias de 1 k de watio
resistencias de 330
leds de alto brillo
Un regulador integrado LM7805 (en caso de usar fuentes no reguladas)
1m de cable telefnico multipar de 3 o 6 pares

Marlon Max Zambrano

Pgina 32

LISTA DE HERRAMIENTAS

Un protoboard mediano
Cables con banana y caimn a los extremos (para conexiones a la fuente regulada del
laboratorio.
Multmetro digital o analgico
Pinzas pequeas punta fina
Cortafro pequeo
Estilete mediano

3. Cuando arme el circuito en lo posible trate de no cruzar los cables que utiliza para las
conexiones.
4. Sea ordenado al armar lleve los cables al ras del protoboard no corte demasiado grades los
cables para evitar que estos queden por encima haciendo puentes, fjese en los siguientes
ejemplos

5. Cuando empiece a armar el circuito comience primero ubicando la alimentacin en cada uno de
los integrados y luego contine con las conexiones segn el esquema.

Marlon Max Zambrano

Pgina 33

6. Si va a utilizar un regulador de voltaje integrado consulte a su profesor la disposicin de los


pines y su forma de conexin, recuerde que estos reguladores necesitan una alimentacin mayor
al voltaje que desea que se regule.
7. Arme el siguiente esquema, y escuche las indicaciones de su profesor

8. Verifique por ultima ves el circuito y conctelo a la fuente; coloque por medio del dip swich
cada una de las combinaciones de 0 y 1 para la tabla de verdad de cada operacin lgica y
verifique con el encendido del led, (0= led apagado; 1= led encendido) compruebe con la tabla de
verdad en la teora y certifique con la practica.
9. Una ves concluida la practica, revisada y aprobada por su profesor, elabore un informe a
computadora de la practica que acaba de realizar, siguiendo el formato que su profesor le indique,
donde se encuentre el esquema, simulaciones de ser el caso, clculos de ser el caso, y sobre todo
conclusiones; puede guiarse en el formato o ejemplo que se presenta al final de esta unidad de
trabajo como anexo 1.
10. Si existen errores y el circuito no funciona, verifique con el multmetro punto a punto cada
conexin y alimentacin de los CI, fjese en las salidas y entradas de los C.I y con la ayuda del
esquema y tablas de verdad, determine con el multmetro los niveles de voltaje lgicos que deben
estar presente (revise la teora sobre niveles y rangos de voltaje para niveles lgicos).

Marlon Max Zambrano

Pgina 34

2.7cuestionario y evaluacin

1 Responda las siguientes preguntas:

Cul es el nico conjunto de condiciones de entrada que producirn una salida BAJA para
cualquier compuerta OR?

________________________________________________________________________________
________________________________________________________________________________

Escriba la expresin booleana para una compuerta OR de seis entradas

________________________________________________________________________________
________________________________________________________________________________
2

Si la entrada A en la figura se mantiene permanentemente en el nivel 1 Cul ser la forma


de onda de salida resultante

3 Cual es la nica combinacin de entradas que producir un estado ALTO en la salida de


una compuerta AND de cinco entradas?
_____________________________________________________________________________
_____________________________________________________________________________
4 Cuales son las operaciones bsicas del algebra booleana
_____________________________________________________________________________
_____________________________________________________________________________

Marlon Max Zambrano

Pgina 35

5 Conteste cierto o falso:


La salida de una compuerta AND siempre ser diferente de la salida de una compuerta OR para las
mismas condiciones de entrada
El algebra booleana es una herramienta matemtica que se usa en el anlisis de circuitos
digitales
Una compuerta OR produce una salida BAJA cuando cualquier entrada es ALTA..
Un inversor siempre producir una salida complementaria a su entrada.
6 Para las compuertas AND de tres entradas de las figuras determinar la forma de onda a la
salida con respecto a las entradas

Marlon Max Zambrano

Pgina 36

7 En la siguiente figura dibuje y conecte por medio de lneas el esquema para la alimentacin del
integrado 74LS32, adems conecte dos entradas a vcc y la salida a un led por medio de una
resistencia

8 Disee un esquema a bloques para una alarma de casa utilice cualquiera de las compuertas OR
AND o NOT de tal manera que suene una alarma cuando se abra cualquiera de las puertas y
ventanas de la casa o exista movimiento en el interior.

Marlon Max Zambrano

Pgina 37

ANEXO 1
(MODELO PARA ENTREGA DE INFORMES DE LAS PRACTICAS DE LABORATORIO)

COLEGIO NACIONAL TECNICO GUILLERMO MENSI


ELECTRONICA DE CONSUMO
MODULO DE ELCTRONICA DIGITAL
PRACTICA # 1
Realizado por: (nombre del alumno o alumnos que realizaron la prctica)
TEMA
(ESCRIBA EL TEMA CORRESPONDIENTE A LA PRCTICA)
OBJETIVOS: (coloque todos los objetivos generales y especficos que su profesor le indique, es lo
que se quiere conseguir utilice verbos tales como armar, realizar, ejecutar, disear, construir, etc)
DESARROLLO.
MARCO TEORICO
(Coloque la informacin terica necesaria para una mejor comprensin de lo que se realiza en la
prctica)
FUNCIONAMIENTO
(Con sus palabras indique el funcionamiento del circuito que va armar, hgalo de forma clara y
concisa; mire el ejemplo)
(Ejemplo) Este circuito esta formado por compuertas NOR, las cuales estn conectadas como latch
NOR, y mediante las compuertas AND y una inversora, se une de tal forma que al pulsar SI me
haga funcionar el rel izquierdo que har que funcione el motor girando para el lado izquierdo.
Para hacer girar al lado derecho tengo que pulsar S0 y luego SD con lo cual se desactiva primero el
rel izquierdo y luego hace funcionar el rel derecho.

Marlon Max Zambrano

Pgina 38

LISTA DE MATERIALES: (coloque en este espacio todos los materiales que va a necesitar para
desarrollar la prctica)
1. fuente de alimentacin 5v
2. protoboard
3. pulsantes NA.
4. integrados (74LS112, , 74LS04, 74LS00, 74LS08)
5. Resistencias de 330
6. 7 diodos led
7. alambres de conexin
DIAGRAMA ( en este espacio usted tiene que colocar el diagrama esquemtico del circuito que va
armar, debe tener todo el detalle en simbologa, cdigos, numeracin, cantidad y valores de los
elementos asi como sus puntos de alimentacin; mire el ejemplo)

CALCULOS: (si es que hubiera clculos que realizar para el circuito o para comprobaciones as como
tablas que llenar, se deben colocar en este espacio)
SIMULACIONES
(En este espacio se colocan la imagen de las simulaciones echas en software de simulacin o
aplicacin electrnica, tenga en cuenta que una simulacin no es lo mismo que un esquema, en
este caso se coloca la imagen del circuito que armo simulado en computadora; fjese en el ejemplo)

Marlon Max Zambrano

Pgina 39

INVERSION PASANDO POR PARO (simulacin)

CONCLUCIONES Y RECOMENDACIONES: (aqu colocar con sus palabras toda la experiencia que
tubo al armar el circuito y ponerlo en marcha, los resultados que obtuvo, las fallas durante y
despus del armado, y las recomendaciones que usted da segn la experiencia que alcanz al
desarrollar esta practica; fjese en el ejemplo)
Para esta practica hemos utilizado en los primeros 2 circuito, las configuraciones de las
compuertas NAND y NOR para que trabajen como fip flop y en el tercer circuito utilizamos un
integrado fip flop, debemos tener en cuenta los tiempos de retardo tanto en las compuertas como
el integrado, y si vamos a poner relays debemos tener en cuenta las corrientes que manejan los
integrados. Fue una prctica exitosa ya que se cumpli con los objetivos planteados Es
recomendable tener a disposicin todos los elementos para evitar retrasos, as tambin evitar usar
alambres muy gruesos que daan los terminales del protoboard.
BIBLIOGRAFIA: (por ultimo escriba en este espacio la bibliografa que utilizo para el marco terico
y para el desarrollo de la prctica, libros, folletos, revistas, modulo, apuntes, etc. as tambin la
direccin electrnica de la informacin e imgenes obtenidas de la web.)

Marlon Max Zambrano

Pgina 40

UT3

UNIDAD DE TRABAJO # 3
CIRCUITOS LOGICOS Y TEOREMAS
BOOLEANOS

En
este modulo revisaremos las
tcnicas para la descripcin de circuitos
lgicos y expresiones booleanas,
veremos el uso de dos nuevas
compuertas muy tiles en la
implementacin de circuitos lgicos y
conoceremos adems herramientas
muy sencillas pero de gran importancia
como son los teoremas booleanos y de
DeMorgan para que junto con otras
tcnicas estemos en capacidad en el
prximo modulo de disear y simplificar
circuitos lgicos

Marlon Max Zambrano


COLEGIO NACIONAL TECNICO GUILLERMO MENSI
01/01/2013 Cuenca Ecuador
Marlon Max Zambrano

Pgina 41

UNIDAD DE TRABAJO # 3
CIRCUITOS LOGICOS Y TEOREMAS BOOLEANOS

3-1 descripcin algebraica de circuitos lgicos

3-2 evaluacin de las salidas de circuitos lgicos

3-3 implementacion de circuitos a partir de


expreciones booleanas

3-4 compuertas NOR y compuertas NAND

3-5 teoremas booleanos

3-6 teoremas de DeMorgan

3-7 practica # 2

Marlon Max Zambrano

Pgina 42

3.1 DESCRIPCION ALGEBRAICA DE CIRCUITOS LOGICOS


Las compuertas lgicas bsicas OR AND y el circuito NOT son los bloques de construccin bsica
para cualquier sistema digital, por tal motivo un circuito digital sin importar su complejidad puede
ser descrito algebraicamente como muestra el siguiente ejemplo 3.1.1

3.1.1
Como podemos observar la expresin algebraica en la salida X es el resultado de operar las
entradas A y B de la compuerta AND y la salida de esta (A*B) opera con la entrada C de la
compuerta OR, obteniendo la descripcin algebraica de todo el circuito.
En ocasiones puede haber confusin sobre cual operacin se realiza primero. Para evitar esta
confusin, se entender que si una expresin contiene ambas operaciones AND y OR, las
operaciones AND se realizan primero, a menos que existan parntesis e la expresin, en cuyo caso
la operacin dentro del parntesis se llevara a cabo primero. Esta es la misma regla que se aplica
para el algebra comn para determinar el orden de las operaciones; para ilustrar esto de mejor
manera fijmonos en el ejemplo 3.1.2

3.1.2

Marlon Max Zambrano

Pgina 43

Esta forma de obtener una descripcin algebraica de los circuitos puede aplicarse a circuitos ms
complejos y con ms entradas fijmonos en los siguientes ejemplos 3.1.3

3.1.3
CIRCUITOS CON INVERSORES
Siempre que un circuito inversor se encuentre presente en un diagrama de circuito lgico, su
expresin de salida ser igual a la expresin de entrada con una barra sobre ella tal como se
muestra en el siguiente ejemplo:

Como podemos observar en el ejemplo (a) la entrada A se invierte primero y luego se opera con la
entrada B por medio de la compuerta OR. En el ejemplo (b) primero se opera las dos entradas por
medio de la compuerta OR y todo este resultado se invierte al pasar por el circuito inversor
quedando toda la expresin cubierta con la barra inversora.
Algo muy importante que concluir al observar estos ejemplos es que si tenemos dos expresiones
como ejemplo
y
estas no son equivalentes como demostraremos ms
adelante. La primera expresin indica que A se invierte y B se invierte y luego ambos resultados se
operan con OR. Mientras que en la segunda expresin indica que A opera con OR con B y luego se
invierte su suma OR.
Marlon Max Zambrano

Pgina 44

ACTIVIDAD:
En los siguientes diagramas lgicos determinemos la expresin de salida.

Marlon Max Zambrano

Pgina 45

3.2 EVALUACIN DE LAS SALIDAS DE CIRCUITOS LGICOS


Una vez obtenida la expresin booleana para la salida de un circuito lgico tal como lo hicimos en
el capitulo anterior podemos obtener su nivel lgico a partir de cualquier conjunto de niveles
lgicos, analicemos la siguiente expresin y evaluemos su salida a partir de los niveles de entrada
que se indica:
Expresin booleana X=

Niveles lgicos de entrada: A=0, B=1, C=1 Y D=1

X=

X=

X=

X=

X=
X= 0

EJERCICIOS:
Evale las siguientes expresiones booleanas para los niveles de entrada indicados
Niveles de Entradas: A=0, B=0, C=1, D=1, E=1.
Expresin: [

Marlon Max Zambrano

Pgina 46

Niveles de Entradas: A=0, B=0, C=1.


Expresin:

Esta evaluacin puede realizarse directamente si se tiene el circuito sin la necesidad de la


expresin booleana esta tcnica la utilizan los especialistas durante el proceso de deteccin de
fallas y en nuestro caso es muy til en el momento de realizar practicas y poder detectar errores
durante y despus de armar un circuito en el protoboard. Observemos el ejemplo:

Marlon Max Zambrano

Pgina 47

3.3 IMPLEMENTACION DE CIRCUITOS A PARTIR DE EXPRESIONES BOOLEANAS


Cuando la operacin de un circuito se define a partir de una expresin booleana, se puede dibujar
el circuito a partir de esta expresin. Por ejemplo si necesitramos un circuito cuya expresin
booleana es X=A*B*C, de inmediato sabemos que necesitamos una compuerta AND de tres
usaramos una compuerta OR de dos entradas con
ingresos. Si tuviramos la expresin X=
un inversor en la entrada B. este razonamiento se aplica a circuitos mas complejos.

ACTIVIDAD:
TRABAJEMOS EN LOS SIGUIENTES EJERCICIOS

Dibuje el diagrama del circuito para implementar la expresin

Dibuje el diagrama del circuito para implementar la expresin X=

Marlon Max Zambrano

Pgina 48

3.4 COMPUERTAS NOR Y COMPUERTAS NAND


En los circuitos digitales tambin son usadas ampliamente dos tipos de compuertas las
compuertas NOR y compuertas NAND que en realidad son la combinacin de las compuertas OR
AND y NOT.
COMPUERTA NOR
La combinacin de la compuerta OR con un circuito inversor a su salida me genera la compuerta
NOR. Es decir una compuerta NOR opera igual que una OR pero su salida se invierte y por ende su
tabla de verdad ser todo lo inverso de una compuerta OR normal como veremos a continuacin.

Como podemos observar ambos circuitos (a) y (b) son equivalentes y su expresin booleana para
una compuerta NOR es . Adems observemos en el circuito (b) esta el smbolo para una
compuerta NOR de dos entradas, note que es un smbolo parecido a una compuerta OR pero con
la diferencia que tiene un pequeo circulo a la salida, esto denota la condicin de inversin a la
salida de esta compuerta.
CIRCUITO INTEGRADO PARA UNA COMPUERTA NOR
Los circuitos integrados para compuertas NOR son muy similares a los otros integrados vistos
anteriormente, recordemos que existen varias tecnologas de construccin para nuestro caso
tomaremos como ejemplo la tecnologa TTL. Estos CI contienen varias compuertas lgicas en su
encapsulado, el mas conocido y empleado para nuestras prcticas que realizaremos en lo
posterior es el CI 74LS02 que es un circuito integrado TTL cudruple de 2 entradas, es decir es un
CI que contiene cuatro compuertas lgicas en su interior de dos entradas y una salida tal como se
muestra en la siguiente figura

Marlon Max Zambrano

Pgina 49

COMPUERTA NAND
De la misma manera una compuerta NAND es la combinacin de una compuerta AND y un
inversor a su salida. Es decir una compuerta NAND opera de la misma forma que una compuerta
AND pero su resultado se invierte a su salida. El smbolo y tabla de verdad se muestra en la
siguiente figura:

Del mismo modo observemos que ambos circuitos (a) y (b) son equivalentes y su expresin
booleana para una compuerta NAND es . Adems observemos en el circuito (b) esta el smbolo
para una compuerta NAND de dos entradas, note que es un smbolo parecido a una compuerta
AND pero con la diferencia que tiene un pequeo circulo a la salida, esto denota la condicin de
inversin a la salida de esta compuerta.
CIRCUITO INTEGRADO PARA UNA COMPUERTA NAND
Para las compuertas NAND tambin existen varias tecnologas de construccin para nuestro caso
tomaremos como ejemplo la tecnologa TTL. Estos CI contienen varias compuertas lgicas en su
encapsulado, el ms conocido y empleado para nuestras prcticas que realizaremos en lo
posterior es el CI 74LS00 que es un circuito integrado TTL cudruple de 2 entradas, es decir es un
CI que contiene cuatro compuertas lgicas en su interior de dos entradas y una salida tal como se
muestra en la siguiente figura:

Marlon Max Zambrano

Pgina 50

ACTIVIDAD:
TRABAJEMOS LOS SIGUIENTES EJERCICIOS
Determine la forma de onda en la salida de una compuerta NOR para las formas de onda de
entrada que se muestran en la figura siguiente:

Determine la forma de onda en la salida de una compuerta NAND de tres ingresos para las formas
de onda de entrada que se muestran en la figura siguiente:

Algo que tenemos que tener muy en cuenta, es que cuando tengamos la presencia de signos de
inversin dobles sobre una misma variable, estas se cancelan o anulan veamos el siguiente
ejemplo:

Marlon Max Zambrano

Pgina 51

Otro ejemplo de este caso tenemos:

Sin embargo hay que tener cuidado en los casos donde tenemos doble inversin pero la primera
inversin esta sobre una variable y la segunda sobre toda una expresin, en estos casos las
inversiones no se cancelan. Veamos los siguientes ejemplos:

EJERCICIO:
Implemente el circuito lgico que tiene la expresin
compuertas NOR y NAND.

Marlon Max Zambrano

utilizando nicamente

Pgina 52

3.5 TEOREMAS BOOLEANOS


Los teoremas booleanos son reglas que nos ayudan a simplificar un circuito lgico a partir de una
expresin. El primer grupo de teoremas del (1 al 8) son muy sencillos de recordar y cada uno va
acompaado de su circuito lgico que demuestra su valides. En cada teorema x es una variable
que puede ser 0 o 1 logico, veamos los 8 primeros teoremas:
1. X . 0 = 0

5. X + 0 = X

2. X . 1 = X

6. X + 1 = 1

3. X . X = X

7. X + X = X

4. X .

8.

Antes de continuar con el resto de teoremas, cabe aclarar que cuando se aplican los teoremas (1)
al (8) la variable x en realidad puede representar una expresin que contenga mas de una variable.
Asi podemos
Por ejemplo si se tiene , podemos invocar el teorema (4) haciendo
decir que , = 0. La misma idea se puede aplicar al uso de cualquiera de estos teoremas.

Marlon Max Zambrano

Pgina 53

TEOREMAS CON VARIABLES MULTIPLES:


Los teoremas que continan implican el uso de ms de una variable:
(9)

X+Y=Y+X

(10)

X.Y=Y.X

(11)

X + (Y + Z) = (X + Y) + Z = X + Y + Z

(12)

X(YZ) = (XY)Z = XYZ

(13a)

X(Y + Z) = XY + XZ

(13b)

(W+X)(Y+Z) = WY + XY + WZ + XZ

(14)

X + XY = X

(15a)

(15b)

Estos teoremas usan leyes conocidas en el algebra comn tales como la ley conmutativa
(teoremas 9y 10), ley asociativa (teoremas 11y 12), y la ley distributiva (teorema 13). Adems
podemos
podemos factorizar del ser el caso, por ejemplo si tenemos la expresin:

factorizar la variable quedando la expresin final como


.
Los teoremas (9) al (13) son fciles de memorizar por tener relacin con el algebra comn, los
teoremas (14) y (15) se pueden demostrar ensayando todos los casos posibles para x con y.
Todos los teoremas booleanos son de utilidad para simplificar una expresin lgica, es decir
reducir el nmero de trminos en la expresin, con esto tambin reducimos el circuito que se
implemente a partir de una expresin y nos evitaremos utilizar un nmero mayor de compuertas y
por ende el circuito ser ms econmico, eficiente y fcil de armar.

ACTIVIDAD:
SIMPLIFIQUEMOS LAS SIGUIENTES EXPRESIONES

Simplifique la siguiente expresin

Marlon Max Zambrano

Pgina 54

Simplifique

dibuje

Use los teoremas (13) y (14) para simplificar

Use los teoremas (13) y (8) para simplificar

Marlon Max Zambrano

la

siguiente

expresin

el

circuito

correspondiente

Pgina 55

Use los teoremas (13) y (15b) para simplificar

3.6 TEOREMAS DE DEMORGAN


Los teoremas de DeMorgan son de mucha utilidad para simplificar expresiones en las que se
invierte un producto o una suma de variables. Los dos teoremas son:
(16)
(17)

Como podemos observar estos dos teoremas se han enunciado en trminos de las variables
individuales X y Y, son igualmente validos para situaciones donde X o Y son expresiones que

contienen ms de una variable como por ejemplo en la expresin

Usando el teorema (16) queda:

( )

Luego con el teorema (17) podemos seguir simplificando


Note que podemos remplazar por B quedando finalmente

Como podemos observar esta expresin es mucho mas sencilla ya que contiene inversores en una
sola variable y si queremos implementar el circuito, ser mucho ms sencillo de armar a diferencia
de la expresin original.

Marlon Max Zambrano

Pgina 56

ACTIVIDAD:

Simplifique la expresin
individuales invertidas.

Marlon Max Zambrano

a una sola que contenga variables

Pgina 57

3.7 PRACTICA # 2
TEMA: USO DE LAS COMPUERTAS NAND Y NOR
OBJETIVOS:

Comprobar el funcionamiento de las compuertas NAND y NOR


Armar el circuito propuesto y comprobar el funcionamiento por medio de una tabla de
verdad y el circuito.

PROCEDIMIENTO:
1. Ponga mucha atencin a las recomendaciones que le de su profesor, siga paso a paso
todas las indicaciones.
2. Tenga a mano todos los materiales e instrumentos para armar el circuito y comprobar su
funcionamiento.
3. El circuito que se va a simular y armar est formado de todas las compuertas estudiadas
hasta el momento y las condiciones de entrada esta dado por medio de un dip-swich de
cinco interruptores. Tenga en cuenta que este circuito tiene 5 entradas por ende habr 32
combinaciones posibles que podemos dar con el dip-swich y la respuesta a la salida est
en funcin de la combinacin forma de conexin de las compuertas utilizadas, dando
como resultado la siguiente tabla de verdad que usted puede utilizar para comprobar la
salida de su circuito simulado o armado:

Marlon Max Zambrano

Pgina 58

4. Observe en la tabla de verdad la parte subrayada en rojo, esta indica las condiciones de
entrada donde la salida es uno lgico. Estas son las nicas condiciones que en el circuito va
ha encender el led colocado en la salida, ya que este es el encargado de indicarme de
forma visual ele estado lgico del circuito en respuesta a las condiciones de entrada con el
dip-swich.
5. Antes de armar el circuito realice una simulacin en computadora utilizando cualquier
software de simulacin electrnica recomendado por su profesor. (proteus, circuit Maker,
electronics workbench. ) esta simulacin tendr que presentar en el informe final de la
prctica.
6. Simule y arme el siguiente circuito que contiene los siguientes materiales:
MATERIALES:

Un integrado 74LS32 (OR)


Un integrado 74LS08 (AND)
Un integrado 74LS04 (NOT)
Un integrado 74LS00 (NAND)
Un integrado 74LS02 (NOR)
5 resistencias w 1k ohm
1 resistencia de w 330 ohm
1 dip-swich de 5 ingresos o mas
1 led de alto brillo

Marlon Max Zambrano

Pgina 59

7. Compruebe el funcionamiento del circuito con la tabla d verdad mostrada en el punto 3. Si


existen errores revise con paciencia el circuito punto a punto con la ayuda de un
multmetro.
8. Una vez concluida la prctica, revisada y aprobada por su profesor, elabore un informe a
computadora tal como lo hiso en la prctica uno o como su profesor le indique siguiendo
el formato recomendado para los informes de prcticas de laboratorio.

NOTAS DE INTERES:

Augustus De Morgan
Nacido: 27 de junio de 1806 en Madura, Presidencia Madrs, India (hoy Madurai, Tamil Nadu,
India)
Muerto: El 18 de marzo de 1871 en Londres, Inglaterra.
En el colegio De Morgan no destac y, debido a su discapacidad no se uni en los deportes con los otros
nios, y fue hecho victima de crueles burlas de algunos de
sus compaeros

En 1838 define e introduce el trmino 'induccin


matemtica' dotando de una base rigurosa a un proceso que
se ha haba utilizado sin claridad hasta entonces. El trmino
apareci por primera vez en el artculo de De Morgan en la
Enciclopedia Penny titulado Induccin (Matemticas) (A lo
largo de los aos escribi 712 artculos para Enciclopedia
Penny). La Enciclopedia Penny era publicada por la Sociedad
para la Difusin del Conocimiento til, creada por los
mismos reformadores que fundaron la Universidad de
Londres; dicha sociedad tambin public un famoso trabajo de De Morgan titulado El Clculo diferencial e
integral.
En 1849 public Trigonometra y lgebra doble en el cual dio una interpretacin geomtrica a los nmeros
complejos.
El reconoci la naturaleza puramente simblica del lgebra, y fue consciente de la existencia de otras
lgebras diferentes de la ordinaria. Introdujo las leyes de De Morgan y su gran contribucin es como
reformador de la lgica matemtica.

Marlon Max Zambrano

Pgina 60

UT4

UNIDAD DE TRABAJO # 4
CIRCUITOS LOGICOS
COMBINACIONALES

Para este modulo profundizaremos un


poco ms en la simplificacin de
circuitos lgicos utilizando los teoremas
Booleanos vistos en el modulo anterior
y tcnicas de mapeo; tambin
estudiaremos tcnicas simples para
disear circuitos lgicos
conbinacionales. La combinacin de
compuertas (circuitos conbinacionales)
no tiene la caracterstica de memoria ya
que su salida depende exclusivamente
de los niveles lgicos presentes en las
entradas. En el prximo modulo
estudiaremos circuitos con la
caracterstica de memoria y podremos
diferenciar de un circuito con y sin
memoria
Marlon Max Zambrano
COLEGIO NACIONAL TECNICO GUILLERMO MENSI
01/01/2013 Cuenca Ecuador
Marlon Max Zambrano

Pgina 61

UNIDAD DE TRABAJO # 4
CIRCUITOS LOGICOS COMBINACIONALES

4-1 Suma de productos


4-2 simplificacion de circuitos logicos
4-3 simplificacion algebraica
4-4 diseo de circuitos logicos combinacionales

4-5 mapa de Karnaugh

4-6 circuitos habilitar y deshabilitar

4-7 practica # 3

4-8 practica # 4

Marlon Max Zambrano

Pgina 62

4.1 SUMA DE PRODUCTOS


Para los mtodos de simplificacin que estudiaremos en este modulo se requiere que las
expresiones lgicas se encuentren en la forma de suma de productos (SOP) por sus siglas en ingles,
es decir tenemos que tener expresiones en las cuales todos sus trminos se operen con (AND), y
estos productos a su vez, se operen con OR (suma), para clarificar esto veamos estos ejemplos:
1.
2.
3.

En estos ejemplos vemos expresiones en forma de suma de productos, observe que una
expresin de suma de productos, un signo de inversin no puede cubrir ms de una variable en un
trmino, es decir no se puede tener o .
Algunas veces se usa otra forma general de expresiones lgicas llamadas producto de sumas (POS)

por sus siglas en ingles, por ejemplo


en este captulo nos dedicaremos mas a
la forma de SOP.
4.2 SIMPLIFICACION DE CIRCUITOS LOGICOS
Como analizamos en el modulo anterior una vez obtenida la expresin booleana de un circuito
lgico, esta podemos reducirla a una expresin que contenga menos trminos o menos variables,
todo esto con ayuda de los teoremas booleanos y de DeMorgan. Esta simplificacin de la
expresin nos ayuda a implementar un circuito ms simple, esto equivale a obtener un circuito
eficiente con menor costo debido al ahorro en el nmero de compuertas y ms confiable por el
menor nmero de conexiones que pueden ser fallas potenciales de circuitos.
Ejemplo:

Circuito original

Expresin y circuito simplificado

Marlon Max Zambrano

Pgina 63

4.3 SIMPLIFICACION ALGEBRAICA


Podemos utilizar los teoremas del algebra booleana para ayudarnos a simplificar la expresin de
un circuito lgico. Lamentablemente no siempre es obvio cuales teoremas se deben aplicar para
obtener el resultado ms ptimo. Adems no hay una forma fcil para afirmar si la expresin
simplificada est en su forma ms simple o si se podra simplificar aun ms. As a menudo la
simplificacin algebraica se convierte en un proceso de prueba y error. Sin embargo con
experiencia uno puede llegar a obtener resultados razonablemente buenos. Para utilizar la
simplificacin algebraica se recomienda seguir dos pasos sencillos:
1. La expresin original se pone en forma SOP (suma de productos) mediante la aplicacin
repetida de teoremas de DeMorgan y la multiplicacin de trminos.
2. Una vez que la expresin original esta en forma de SOP, los trminos del producto se
verifican para ver si hay factores comunes y se realiza la factorizacin donde sea posible.
Ejemplo:
Obtengamos la expresin booleana de este circuito como ya lo hemos echo en captulos
anteriores

Una vez que se ha determinado la expresin, por lo general es una buena idea descomponer todos
los signos de inversin grandes usando los teoremas de DeMorgan y luego multiplicar todos los
trminos:
(

Cancelar inversiones dobles

Marlon Max Zambrano

Teorema 17

Multiplicar
A*A=A
Pgina 64

Ahora con la expresin en forma de SOP, se busca variables comunes entre los diversos trminos
con la intensin de factorizarlos. El primer y tercer termino de la expresin ultima tienen AC en
comn, los cuales se pueden factorizar:

Como

, entonces

Ahora podemos factorizar A, lo que resulta en

Este resultado ya no se puede simplificar mas. Y su implementacin en circuito se muestra a


continuacin:

Es obvio que este circuito es mucho ms simple que el original por ende ser mas econmico,
eficiente y rpido para armar.

Marlon Max Zambrano

Pgina 65

TRABAJEMOS
SIMPLIFIQUE LAS SIGUIENTES EXPRESIONES E IMPLEMENTE EL CIRCUITO:
1.

2.

(
)

Marlon Max Zambrano

Pgina 66

3.

4. SIMPLIFIQUE EL CIRCUITO DE LA FIGURA

Marlon Max Zambrano

Pgina 67

4.4 DISEO DE CIRCUITOS LOGICOS COMBINACIONALES


En muchos casos se desea que el nivel de salida de un circuito lgico este determinado
convenientemente por las condiciones de entrada, en estos casos es posible establecer nosotros
mismos las condiciones de entrada y su resultado a la salida por medio de una tabla de verdad,
por ejemplo consideremos el caso en donde necesitamos que la salida de un circuito lgico sea
uno solo cuando sus entradas A y B sean 0 y 1 respectivamente, el resto de condiciones la salida
deber permanecer en 0.
El primer paso entonces ser construir la tabla de verdad a partir de esas condiciones que
nosotros deseamos, apegndonos al ejemplo, la tabla quedara de la siguiente manera:

Luego de establecer la tabla de verdad vamos a implementar la expresin booleana a partir de


esta tabla de verdad, para lo cual nos fijamos en la lnea (fila) de entrada de la tabla de verdad que
me genera un uno a su salida.

Ahora para poder construir nuestra expresin booleana a partir de la tabla, tenemos que colocar
las variables de entrada, de acuerdo al nivel indicado utilizando negaciones, complementos o
inversiones, para el caso de tener un cero en la entrada. En el caso de tener un 1 lgico, la
dejamos tal cual sin negarla y todo esto operado con una compuerta AND.
Regresando al ejemplo tenemos entonces segn lo explicado que la entrada A le corresponde un
cero entonces diremos que se tiene . Para el caso de B tenemos 1, entonces queda igual a B.
estas dos variables que me generan el uno a la salida, se operan con AND, quedando la expresin
para la salida X de la siguiente forma:
X=
De esta forma hemos obtenido la expresin booleana a partir de la tabla de verdad con las
condiciones de entrada y salida que nosotros necesitamos. Si implementamos el circuito a partir
de esta expresin tendremos tal cual se muestra en la siguiente figura:

Marlon Max Zambrano

Pgina 68

De esta forma hemos diseado un circuito lgico a partir de condiciones de entradas y salida
convenientes para nuestros propsitos. Este mismo concepto se puede aplicar para tablas de ms
entradas y disear circuitos conbinacionales segn nuestras necesidades o aplicaciones. Cabe
recalcar, que si tenemos varias condiciones de entradas en donde me generan un uno a la salida,
tendremos que colocar la expresin en forma de SOP. El nmero de trminos de esta expresin
ser igual al nmero de lneas en donde tengamos uno lgico a la salida en la tabla de verdad. Par
entender de mejor manera esto veamos el siguiente ejemplo con una tabla de verdad de tres
entradas:
Ejemplo:
Tenemos una situacin en donde en un proceso se necesita disear un circuito lgico a partir de la
siguiente tabla de verdad:

Como podemos ver en esta tabla de verdad existen tres lneas (filas) de condiciones de entrada
que me generan un 1 logico a la salida tal como se muestra subrrayado en la siguiente figura:

Marlon Max Zambrano

Pgina 69

El siguiente paso es implementar la expresin booleana de cada una de estas filas y dejarla en
forma de SOP

Note que las inversiones estn en las variables de entrada cuyo valor es 0 logico. La expresin
ponindole en forma de SOP queda entonces:

El siguiente paso sera ver si podemos simplificar la expresin, en este caso podemos observar que
tenemos dos variables en comn en los dos primeros trminos, las variables , si factorizamos
nos quedara:

Ahora dentro del parntesis podemos aplicar el teorema 8 quedando la expresin final:

Esta sera la expresin final ahora diseemos o implementemos el circuito a partir de esta
ecuacin

Marlon Max Zambrano

Pgina 70

Este es el circuito resultante que provocara a la salida X un 1 lgico solo en las condiciones de
entrada de la tabla de verdad. A este circuito podemos comprobar su funcionamiento y verificar
que se cumplen las condiciones por medio de una simulacin a travs de cualquier software de
electrnica.
TRABAJEMOS:
Disee los circuitos lgicos para los siguientes situaciones
1. Disee un circuito lgico que tenga 3 entradas A B y C, cuya salida ser ALTA solo cuando
la mayora de las entradas sean ALTAS.

Marlon Max Zambrano

Pgina 71

2. Disee un circuito lgico para un sistema hospitalario, en donde una serie de ductos que
conducen gases medicinales y oxigeno, necesitan ser vigilados y generar una alarma
sonora cuando las condiciones de cuatro sensores S1, S2, S3, Y S4 que mantienen
monitoreado el sistema, indiquen que esta debe activarse cuando exista algun problema.
Los sensores en estado de reposo mandan una seal de 0 voltios o 0 lgico. Cuando estos
se activan generan 5 voltios o un 1 logico. La alarma sonora se activara cuando los
sensores presenten las siguientes condiciones:
S1 se activa
S4 se activa
S1 y S4 se activan
S2 y S3 se activan
S2, S3 y S4 se activan
S1, S2, S3 y S4 se activan

enciende alarma
enciende alarma
enciende alarma
enciende alarma
enciende alarma
enciende alarma

En el resto de condiciones la alarma permanece inactiva

Marlon Max Zambrano

Pgina 72

4.5 METODO DE MAPA DE KARNAUGH


El mapa de karnaugh es una herramienta grafica que se usa para simplificar una ecuacin lgica o
para convertir una tabla de verdad a su circuito lgico correspondiente mediante un proceso
simple y ordenado. Aunque el mapa de karnaugh se puede usar para problemas que implican
cualquier numero de variables. En este modulo solo aremos el anlisis hasta cuatro entradas o
variables, esto debido a que su uso prctico para mas variables se ve limitado por su complejidad
y conviene realizarlo mediante un software especializado.
FORMATO PARA EL METODO DE KARNAUGH
El mapa de Karnaugh que en adelante para abreviar lo denominaremos mapa K es un medio
para mostrar la relacin entre entradas logicas y la salida deseada. El mapa k muestra la misma
informacin de una tabla de verdad pero en un formato diferente, en las siguientes figuras
mostramos los formatos de mapas k para dos tres y cuatro variables respectivamente:

LLENADO DE LAS CELDAS DEL MAPA K


Una ves que conocemos los formatos para el mapa k, podemos elegir segn la tabla de verdad que
tengamos en este caso de dos, tres o cuatro variables. La forma de llenar las celdas de un mapa K
es muy sencillo, solo tenemos que fijarnos en la fila de la tabla de verdad que contenga un uno a la
salida y colocar ese uno en la casilla correspondiente de acuerdo a las condiciones de entrada,
fijmonos en el siguiente ejemplo con una tabla de verdad de 2 variables:

Igual que el diseo de circuitos conbinacionales seleccionamos las filas en la tabla de verdad que
tienen un 1 en la salida X, luego como es una tabla de dos variables escogemos el formato de dos

Marlon Max Zambrano

Pgina 73

variables para el mapa K y ponemos un 1 en el casillero del mapa k que corresponde a las variables
de entrada en la tabla, el resto de casilleros lo rellenamos con 0 tal como se muestra en la figura

Este mismo procedimiento se lo realiza para tablas y formatos K de tres y cuatro variables como
veremos en los ejemplos siguientes
tres variables

Cuatro variables

Marlon Max Zambrano

Pgina 74

Una vez comprendido el proceso de llenado de un mapa K, el siguiente paso ser obtener la
expresin de suma de productos para la salida X, operando con OR las celdas que contengan 1,
para conseguir este objetivo necesitamos comprender el agrupamiento de celdas que contienen 1
como lo veremos a continuacin:
AGRUPAMIENTO DE GRUPOS DE DOS (PARES)
La expresin para la salida X se puede simplificar combinando adecuadamente en el mapa K estas
celdas que contengan unos en la figura se muestra el mapa K para una tabla de verdad de tres
variables. Este mapa contiene un par de unos que son verticalmente adyacentes entre s; el
. Note que en estos dos trminos solo la variable A
primero representa y el segundo
aparece en forma normal y complementada (invertida o negada), en tanto que B y permanecen
sin cambio. Estos trminos se pueden agrupar para dar una resultante que elimina la variable A,
puesto que aparece tanto en forma complementada como sin complementar.

Este mismo principio es vlido para cualquier par de unos vertical y horizontalmente adyacentes, o
varios pares de unos como se muestra a continuacin.

Con esto concluimos que el agrupamiento de un par de unos


adyacentes en un mapa K elimina la variable que aparece en forma
complementada y sin complementar.
Marlon Max Zambrano

Pgina 75

AGRUPAMIENTO DE GRUPO DE CUATRO (CUADRUPLES)


Un mapa K puede contener un grupo de cuatro unos que sean adyacentes entre s. A esta
agrupacin se le llama cudruple y al igual que en el caso anterior, existen varias formas de
agrupar unos en cudruples, como se muestra en los ejemplos siguientes:

(a)

(b)

(d)

(c)

(e)

Estas son varias formas de agrupar cudruples de unos, ahora como ejercicio adicional el alumno
obtendr las ecuaciones a partir de las cinco formas de agrupar mostradas en las figuras, teniendo
en cuenta que las variables que aparecen en los trminos en forma complementada y sin
complementar se eliminan.
(a) X=

(b) X=

(c) X=

(d) X=

(e) X=

Marlon Max Zambrano

Pgina 76

En conclusin con en base a los ejemplos anteriores podemos decir que:

El agrupamiento de un cudruplo de unos adyacentes elimina las


dos variables que aparecen tanto en forma complementada como sin
complementar.
AGRUPAMIENTO DE GRUPOS DE OCHO (OCTETOS)
Un grupo de ocho unos que son adyacentes entre si se llama octeto. Cuando se agrupa un octeto
en un mapa de cuatro variables, se eliminan tres de estas porque solo una variable permanece sin
cambio. De igual manera existen varias formas de agrupar octetos, tal como se muestra en las
figuras siguientes:

Marlon Max Zambrano

(a)

X=

(b)

X=

(c)

X=

(d)

X=

Pgina 77

De igual manera concluimos con estos ejemplos que:

El agrupamiento de un octeto de unos adyacentes elimina las tres


variables que aparecen en forma complementada y sin
complementar.
PROCESO COMPLETO DE SIMPLIFICACION
Una vez visto como se usa los grupos de pares cudruples y octetos para un mapa K, podemos
resumir la regla para agrupamientos de cualquier tamao:

Cuando aparece una variable en forma complementada o sin


complementar dentro de un agrupamiento, esa variable se elimina
de la expresin. Las variables que son iguales en todas las celdas del
agrupamiento deben aparecer en la expresin fina.
Debe quedar claro que un grupo mayor de unos elimina ms variables como hemos visto en los
agrupamientos de dos cuatro y ocho. Ahora, en forma de resumen de todo el proceso
enumeraremos, los pasos para usar el mtodo del Mapa K:
Paso 1: se construye el mapa K y se colocan los unos en las celdas correspondientes a los unos en
la tabla de verdad. Los ceros se colocan en las otras celdas restantes.
Paso 2: se examina el mapa para ver si hay unos adyacentes y se agrupan los que no son
adyacentes a cualquier otro uno. A estos se les llama unos aislados.
Paso 3: enseguida se busca los unos que sean adyacentes a solo otro uno. Se agrupa cualquier par
que contenga que contenga un UNO en estas condiciones.
Paso 4: se agrupa cualquier octeto, incluso si contiene algunos unos que hayan sido agrupados.
Paso 5: se agrupa cualquier cudruple que contenga uno o ms unos que no hayan sido
agrupados, asegurndose de usar el nmero mnimo de agrupamientos.
Paso 6: se agrupan otros pares necesarios para incluir cualesquiera unos que no hayan sido
agrupados, asegurndose de que se use el menor nmero de agrupamientos.
Paso 7: la suma OR se forma de todos los trminos que genero cada agrupamiento.

Marlon Max Zambrano

Pgina 78

TRABAJEMOS:
Realice los siguientes ejercicios de mapa K. encuentre la expresin booleana e implemente el
circuito.
1. En la figura se muestra el mapa K para un problema con 4 variables, se supondr que el
mapa se obtuvo a partir de la tabla de verdad del problema y de hecho el paso uno ya se
lo ha realizado.

B
AB
A

0
0
0
0

D CD C
0
0
1
1
1
0
1
1
0
0
1
0

Marlon Max Zambrano

Pgina 79

2. Considere el mapa K de la figura. De nuevo se puede suponer que el paso uno ya se lo a


realizado.

B
AB
A

0
1
1
0

D CD C
0
1
0
1
1
1
1
0
0
0
0
0

Marlon Max Zambrano

Pgina 80

3. Considere el mapa K de la figura

B
AB
A

0
0
1
0

D CD C
1
0
0
1
1
1
1
1
0
0
0
0

Marlon Max Zambrano

Pgina 81

Hay muchos casos donde las soluciones tendrn varias formas de agrupar, Lo que se debe tener
claro es usar un grupo mnimo de agrupamientos. En otros existen dos soluciones que tienen el
mismo nmero de agrupamientos pero formas distintas de agrupar como el ejemplo siguiente:

CONDICIONES DE NO IMPORTA
Algunos circuitos lgicos se pueden disear de manera que existan ciertas condiciones de entrada
para las que no se especifiquen niveles de salida, por lo general debido a que estas condiciones de
entrada nunca ocurrirn. En otras palabras, habr ciertas combinaciones de niveles de entrada
donde no importa si la salida es ALTA o BAJA.
Cuando existe una combinacin donde no importa su estado de salida, en el mapa K se le coloca
una X en la celda correspondiente. Una condicin de este tipo puede ocurrir por varias razones, la
mas comn es que en algunos casos ciertas combinaciones de entrada nunca pueden ocurrir y por
lo tanto no hay salida especificada. Por tal motivo en el mapa K una condicin no importa puede
tomar cualquier valor 0 o 1 segn convenga para poder hacer el agrupamiento, esto se aclara con
el siguiente ejemplo:
Ejemplo
Se tiene una tabla de verdad de tres ingresos o variables y se simplifica la expresin booleana a
partir del mapa K utilizando las condiciones no importa para obtener el agrupamiento ms
conveniente

Lo siguiente seria encontrar la expresin e implementar el circuito como ejercicio se le pide al


estudiante concluir con lo que falta.

Marlon Max Zambrano

Pgina 82

TRABAJEMOS:
Resuelva el siguiente problema de diseo con la ayuda de las condiciones no importa
1. Diseemos un circuito lgico que controle una puerta de un elevador en un edificio de tres
pisos. Este circuito tiene cuatro entradas M, F1, F2, F3. Donde M es una seal lgica que
indica cuando el elevador esta en movimiento (M=1) o parado (M=0). F1 F2 y F3 son
seales de indicacin del piso que normalmente son BAJA y pasan a ALTA solo cuando el
elevador esta posicionado en el nivel de un piso en particular. Por ejemplo, cuando el
elevador est alineado en el segundo piso, F2=1 y F1 con F3 son 0. La salida del circuito es
la seal con el nombre ABIERTA que normalmente es BAJA y pasa a ALTA cuando la puerta
del elevador se abre

Marlon Max Zambrano

Pgina 83

4-6 CIRCUITOS HABILITAR Y DESHABILITAR


Cada una de las compuertas lgicas bsicas se puede usar para controlar el paso de una seal
lgica de entrada a travs de la salida. Esto se representa a travs de las siguientes figuras:

Como se puede ver se aplica una seal lgica A a una entrada de cada una de las compuertas
bsicas. La otra entrada de cada compuerta es la entrada de control, B. el nivel lgico en esta
entrada de control determina si la seal de entrada esta habilitada para llegar a la salida o
deshabilitada, por lo tanto no llega a la salida. Esta accin de control es el motivo por el cual estos
circuitos fueron llamados compuertas.

NOTAS DE INTERES
MAURICE KARNAUGH
Ingeniero de telecomunicaciones estadounidense.Graduado en la universidad de Yale en el 1952,
es actualmente gobernador emrito del ICCC (International Council for Computer
Communication). Ha trabajado como investigador en los Laboratorios Bell desde 1952 a 1966 y
en el centro de investigacin de IBM de 1966 a 1993. Asimismo, ha impartido informtica en el
Politcnico de Nueva York de 1980 a 1999, y desde 1975 es miembro del IEEE (Institute of
Electrical and Electronics Engineers) por sus aportaciones sobre la utilizacin de mtodos
numricos en las telecomunicaciones.Es el creador del mtodo tabular o mapa de Karnaugh.

Marlon Max Zambrano

Pgina 84

4.7 PRACTICA # 3
TEMA: DISEO DE CIRCUITOS LOGICOS COMBINACIONALES
OBJETIVOS:

Disear un circuito lgico a partir de las condiciones de una tabla de verdad


Simplificar y Armar el circuito conforme a las caractersticas de la tabla de verdad.

PROCEDIMIENTO:
1. Ponga mucha atencin a las recomendaciones que le d su profesor, siga paso a paso
todas las indicaciones.
2. Tenga a mano todos los materiales e instrumentos para armar el circuito y comprobar su
funcionamiento.
3. Obtenga la expresin booleana simplifquela e implemente el circuito de acuerdo a la
siguiente tabla de verdad:
Suponga que la tabla de verdad es el resultado de un proceso industrial para el control de
temperatura de un horno. Para el control se dispone de 4 sensores S1, S2, S3, S4. Y cuya salida
activa un ventilador que funciona con las siguientes condiciones:
S1

S2

S3

S4

4. Durante el armado del circuito en el protoboar, en vez de los sensores utilice pulsantes
Normalmente abiertos para circuito impreso y para simular el ventilador, utilizaremos un
foco de 110v AC, comandado por medio de un rel y un transistor ( pida ayuda a su
profesor para que le indique la conexin del rel y transistor).

Marlon Max Zambrano

Pgina 85

5. Compruebe el funcionamiento de su circuito por medio de la tabla de verdad verifique que


se cumplan todas las condiciones.
6. Para esta prctica usted ya est en condiciones de establecer la lista de materiales que
necesita para armar el circuito, a acepcin del rel y transistor que se le enumera a
continuacin:
METERIALES PARA LA SALIDA DE POTENCIA
1 transistor 2N3904
1 rel de 6 voltios
Una resistencia de 4,7 k
7. Antes de proceder a armar el circuito, utilice un software de simulacin para comprobar el
correcto funcionamiento del circuito que usted implemento por medio de la ecuacin
obtenida. Esta simulacin tendr que incluirla en el informe de laboratorio que usted
entregara a su profesor.
4.8 PRACTICA # 4
TEMA: DISEO DE CIRCUITOS LOGICOS COMBINACIONALES
OBJETIVOS:

Disear un circuito lgico para una aplicacin industrial


Simplificar y armar el circuito diseado conforme a las caractersticas que se indican en el
procedimiento.

PROCEDIMIENTO:
9. Ponga mucha atencin a las recomendaciones que le de su profesor, siga paso a paso
todas las indicaciones.
10. Tenga a mano todos los materiales e instrumentos para armar el circuito y comprobar su
funcionamiento.
11. Disee un circuito de control para el llenado de un reservorio industrial en una fbrica de
pinturas. Este reservorio se encuentra elevado en una plataforma y contiene un solvente
que absorbe desde otro reservorio que se encuentra en un nivel inferior. Para controlar el
nivel del solvente en los dos depsitos se dispone de una bomba que succiona el lquido
desde el depsito inferior hasta el superior. Para el control de esta bomba se disponen de
4 sensores de nivel de liquido uno para el depsito inferior y para seguridad, en caso de
falla, tres para el reservorio superior tal como se muestra en la figura siguiente:

Marlon Max Zambrano

Pgina 86

12. El circuito de control debe seguir las siguientes condiciones.


El depsito inferior nunca puede quedar vacio es decir siempre debe estar por lo menos por sobre
el nivel medio del recipiente, para este efecto el sensor A generan un 0 cuando hay liquido y 1 en
ausencia de liquido. Cuando el sensor A=1 (sin liquido) la bomba no debe funcionar. En el
reservorio superior los sensores funcionan de igual manera 1 en ausencia de liquido y 0 cuando
hay liquido, la bomba debe activarse cuando D=1 cuando C=1 o Cuando DyC=1 pero teniendo en
cuenta que la bomba se activa si y solo si A=0.
13. Analice muy bien la situacin y fjese si puede utilizar condiciones no importa para
simplificar mas el circuito.
14. Antes de proceder a armar el circuito, utilice un software de simulacin para comprobar el
correcto funcionamiento del circuito que usted implemento por medio de la ecuacin
obtenida. Esta simulacin tendr que incluirla en el informe de laboratorio que usted
entregara a su profesor.
15. Durante el armado del circuito en el protoboar, en vez de los sensores utilice pulsantes
Normalmente abiertos como en la prctica anterior y para simular la bomba, utilizaremos
el mismo foco de 110v AC por medio de un rel como en la prctica 3
16. Compruebe el funcionamiento de su circuito por medio de la tabla de verdad verifique que
se cumplan todas las condiciones.

Marlon Max Zambrano

Pgina 87

UT5

UNIDAD DE TRABAJO # 5
FLIP FLOP Y DISPOSITIVOS
RELACIONADOS
(primera parte)
En el modulo anterior hemos considerado
circuitos conbinacionales cuyos niveles de
salida dependen en cualquier instante de los
niveles presentes en las entradas. Cualquier
condicin anterior que haya tenido la entrada
no tendr ningn efecto sobre la salida ya
que los circuitos conbinacionales no tienen
memoria. La mayora de los sistemas digitales
se componen de circuitos conbinacionales y
elementos con memoria. Para este modulo
analizaremos circuitos que tienen la
propiedad de almacenar informacin binaria,
analizaremos el elemento ms importante de
la memoria que es el FLIP FLOP el cual est
constituido por un ensamble de compuertas
lgicas, aunque una compuerta por s misma
no tiene capacidad para almacenar, se puede
conectar varias a la vez de tal manera que
permita el almacenamiento de informacin

Marlon Max Zambrano


COLEGIO NACIONAL TECNICO GUILLERMO MENSI
01/01/2013 Cuenca Ecuador
Marlon Max Zambrano

Pgina 88

UNIDAD DE TRABAJO # 5
FLIP FLOP Y DISPOSITIVOS RELACIONADOS

5-1 Latch con compuertas NAND

5-2 Latch con compuertas NOR

5-3 seales de reloj y Flip Flops sincronizados


por reloj

5-4 Flip Flop S-C sincronizados por reloj

5-5 Flip Flop j-k sincronizados por reloj

5-6 Flip Flop D sincronizados por reloj

5-7 Practica # 5

5-8 practica # 6

Marlon Max Zambrano

Pgina 89

5.1 LATCH CON COMPUERTAS NAND


El circuito flip flop (abreviado FF) ms bsico se puede construir a partir de dos compuertas NAND
o con compuertas NOR. La versin con compuertas NAND se le conoce como latch NAND. Estas
dos compuertas NAND estn cruzadas y acopladas entre si de manera que la salida de la
compuerta NAND 1 se conecta a una de las entradas de la compuerta NAND 2 y viceversa tal como
se muestra en la figura:

Las salidas de las compuertas denominadas Q y respectivamente, son las salidas del latch y bajo
condiciones normales, estas salidas siempre sern inversas entre s. Las dos entradas en el latch, la
entrada SET que es la que establece la salida Q con el valor lgico alto (1) y la entrada CLEAR
(borrar) que borra el estado de Q es decir lo pone nuevamente a bajo (0).
Las entradas SET y CLEAR normalmente se encuentran en estado ALTO y una de ellas por medio
de un pulso pasa a BAJO, cuando se desea que cambie el estado de las salidas del LATCH.
ESTABLECIMIENTO Y RESTABLECIMIENTO DEL LATCH NAND
1. SET= CLEAR= 1. esta condicin es el estado normal de reposo y no tiene efecto en el
estado de salida. Las salidas Q y permanecern en el estado que tenan antes de esta
condicin de entrada.
2. SET= 0 CLEAR= 1. esto siempre causara que la salida pase a el estado Q=1 donde
permanecer incluso despus que SET retorne a ALTO. A esto se le llama establecimiento
del latch.
3. SET= 1 CLEAR= 0. Esto siempre producir el estado Q= 0 en el cual la salida permanecer
incluso despus de que CLEAR retorne a ALTO. A esto se le llama restablecimiento del
latch.
4. SET= 0 CLEAR= 0. Esta condicin intenta establecer y restablecer el latch al mismo tiempo
y puede producir resultados ambiguos, por tal motivo esta condicin de las entradas se
debe evitar emplear.
Todas estas condiciones se pueden colocar convenientemente en una tabla de verdad que
resume todas las condiciones de entrada, tal como se muestra a continuacin:

Marlon Max Zambrano

Pgina 90

SET CLEAR SALIDA


0
0
invalida
0
1
Q=1
1
0
Q=0
1
1
sin cambio

Como vemos un pulso en bajo en SET o CLEAR produce un cambio en la salida Q con esto podemos
concluir o decir que las entradas son activas en BAJO, con lo que podemos usar una
representacin Alterna para el smbolo del latch NAND

Este es el smbolo de un FF o latch Nand, note las burbujas en las entradas del smbolo esto indica
que son entradas activas en bajo, es decir cuando existe un 0 lgico produce un cambio en la
salida.
TRABAJEMOS
1. Las formas de onda de la siguiente figura se aplican a las entradas del lanch NAND
suponga que inicialmente Q=0 y determine la forma de onda de Q

Marlon Max Zambrano

Pgina 91

2. En realidad es imposible obtener una transicin de voltaje limpia de un interruptor


mecnico, debido al fenmeno de rebote (oscilacin) del contacto, esto se ilustra en la
siguiente figura:

La accin de mover el interruptor de la posicin de contacto 1 a la 2 produce varias transiciones de


voltaje de salida conforme el interruptor rebota antes de permanecer en reposo sobre el contacto
2.
Las mltiples transiciones en la seal de salida generalmente no duran ms que unos
milisegundos, pero serian inaceptables en muchas aplicaciones electrnicas. Se puede usar un
LATCH con compuertas NAND para prevenir que la presencia de rebote del interruptor afecte la
salida. Describa la operacin del circuito de eliminacin del rebote del interruptor en la siguiente
figura:

-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------

Marlon Max Zambrano

Pgina 92

5-2 LATCH CON COMPUERTAS NOR


Dos compuertas NOR cruzadas y acopladas se pueden usar como un LATCH con compuertas NOR.
El anlisis de la operacin del LATCH NOR se puede realizar exactamente de la misma manera que
para el LATCH NAND. De la misma forma los resultados se los puede colocar convenientemente en
una tabla de verdad como se muestra a continuacin:
SET CLEAR SALIDA
0
0
Sin cambio
0
1
Q=0
1
0
Q=1
1
1
invalida

1. SET= CLEAR= 0. esta condicin es el estado normal de reposo y no tiene efecto en el


estado de salida. Las salidas Q y permanecern en el estado que tenan antes de esta
condicin de entrada.
2. SET= 1 CLEAR= 0. esto siempre causara que la salida pase a el estado Q=1 donde
permanecer incluso despus que SET retorne a BAJO.
3. SET= 0 CLEAR= 1. Esto siempre producir el estado Q= 0 en el cual la salida permanecer
incluso despus de que CLEAR retorne a BAJO.
4. SET= 1 CLEAR= 1. Esta condicin intenta establecer y restablecer el latch al mismo tiempo
y puede producir resultados ambiguos, por tal motivo esta condicin de las entradas se
debe evitar emplear.
El latch con compuertas NOR opera exactamente igual que el latch NAND, excepto que las
entradas SET y CLEAR son activas en ALTO, en lugar de activas en BAJO y el estado normal de
reposo es SET = CLEAR = 0.
El smbolo del bloque simplificado para el LATCH NOR se muestra a continuacin, note que ya no
tiene las burbujas en las entradas, esto indica que estas entradas son activas en ALTO.

Marlon Max Zambrano

Pgina 93

TRABAJEMOS:
1. Suponga que inicialmente Q=0 y determine la forma de onda de Q para las entradas del
LATCH NOR de la figura

2. la figura muestra un circuito simple que se puede usar para detectar la interrupcin de un
haz de luz. La luz se enfoca en un fototransistor que esta conectado en la configuracin de
emisor comn para operar como un interruptor. Suponga que el latch se ha establecido
previamente al estado 0 abriendo momentneamente el interruptor S1 y describa que
sucede si el haz de luz se interrumpe momentneamente.
-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------

Marlon Max Zambrano

Pgina 94

5-3 SEALES DE RELOJ Y FLIP FLOPS SINCRONIZADOS POR RELOJ


Los sistemas digitales pueden operar de forma ASNCRONA o SNCRONA. En los sistemas
asncronos, las salidas de los circuitos lgicos pueden cambiar de estado en cualquier momento
que varen una o ms de las entradas, tal como hemos estudiado en las unidades anteriores.
En los sistemas Sncronos, los tiempos exactos en que cualquier salida puede cambiar de estado se
determinan o sincroniza mediante una seal comnmente llamada RELOJ (CLK). Esta seal de reloj
por lo general es un tren de pulsos rectangulares o una onda cuadrada. La seal de reloj se
distribuye a todas partes del sistema y la mayora de las salidas del sistema pueden cambiar de
estado solo cuando el reloj hace una transicin. Las transiciones (tambin llamadas bordes) son
cuando la seal de reloj cambia de un 0 a un 1, transicin con pendiente positiva (TPP). o cuando
cambia de un 1 a un 0, transicin con pendiente negativa (TPN). Esto se visualiza en el siguiente
grafico

FLIP-FLOPS SINCRONIZADOS POR RELOJ


Ahora vamos a describir las ideas principales y las consideraciones que son comunes para todos
los tipos de FF sincronizados por reloj:
1. los FF sincronizados tienen una entrada de reloj a la que por lo general se le denomina
CLK, CK, o CP. En la mayora de los FF sincronizados la entrada CLK se dispara por el borde,
es decir que activa una seal de transicin y esto se indica mediante un truangulo
pequeo en la entrada CLK tal como se muestra en la figura siguiente:

Marlon Max Zambrano

Pgina 95

En la figura anterior (a) podemos observar que una TPP activa la seal CLK ninguna otra parte del
pulso de entrada tendr un efecto en la entrada CLK. Lo mismo ocurre en la figura (b) solo que
aqu la entrada CLK se activa con una TPN esto se puede reconocer por la pequea burbuja
presente en la entrada CLK.
2. Los FF sincronizados por reloj tambin tienen una o mas entradas de control. Estas
entradas no tendrn efecto en la salida Q hasta que ocurra la transicin activa de reloj. En
otras palabras su efecto se sincroniza con la seal aplicada a CLK, por esa razn se llaman
entradas sncronas de reloj.
3. En resumen se puede decir que las entradas de control hacen que las salidas del FF estn
listas para cambiar, mientras que la transicin activa en CLK, dispara el cambio. En otras
palabras las entradas de control controlan CUAL es el estado que pasara la salida y la
entrada CLK determina CUANDO.

5-4 FLIP FLOP S-C SINCRONIZADOS POR RELOJ


Un FF S-C sincronizados por reloj, bsicamente posee en su interior dos bloques de circuitos
interconectados entre si, en donde el primer bloque consiste en un circuito detector de pulsos
formado por compuertas NAND y unido a un circuito LATCH NAND estudiado anteriormente, tal
como se muestra en la siguiente figura.

Todos los FF estn fcilmente disponibles en forma de circuitos integrados, sin embargo no est de
ms entender cmo funcionan internamente estos dispositivos.
Los FF S-C sincronizados, pueden ser disparados por el borde con TPN o con TPP tal como nos
indica la siguiente figura acompaado con su tabla de verdad

Marlon Max Zambrano

Pgina 96

Por ltimo y para entender de mejor manera, realicemos el siguiente ejercicio, revisemos las
formas de onda de SET y CLEAR, al igual que la seal de reloj y encontremos la forma de onda de Q
en el siguiente grafico de tiempo, de un FF S-C sincronizado por reloj y disparado por el borde con
una TPP.

Marlon Max Zambrano

Pgina 97

5-5 FLIP FLOP J-K SINCRONIZADO POR RELOJ


En los flip flop J-K las entradas J-K controlan el estado del FF de la misma manera en que las
entradas S-C lo hacen, excepto por una diferencia importante: la condicin J=K=1 no resulta en
una salida ambigua. Para esta condicin de 1, 1, el FF siempre pasara al estado opuesto cuando se
lleve a cabo la transicin positiva o negativa de la seal de reloj. A esto se le llama operacin modo
de cambio de estados. En este modo, si J y K se dejan en ALTO, el FF cambiara estados
(conmutara) para cada TPP o TPN de reloj.
La siguiente figura muestra un ejemplo de un FF J-K sincronizado por reloj con TPP. La tabla de
verdad de esta figura resume como responde el FF J-K a la TPP para cada combinacin J y K .
Observe que la tabla de verdad es la misma que para el FF S-C sincronizado por reloj excepto para
la condicin J=K=1.

Marlon Max Zambrano

Pgina 98

Como ejercicio realicemos el mismo grafico de temporizacin pero con una seal de reloj TPN

5-6 FLIP FLOP D SINCRONIZADO POR RELOJ


A diferencia de los FF S-C y de los J-K, este FF tiene solo una entrada sncrona de control, D, que
significa datos. La operacin de este FF es muy simple: Q pasara al mismo estado que este
presente en la entrada D cuando ocurra una TPP o TPN en CLK. En otras palabras, el nivel presente
en D se almacenara en el FF en el instante en que ocurre La transicin activa de reloj.
Con el siguiente grafico de un FF D que se activa con una TPP, junto a su tabla de verdad,
podremos entender de mejor manera el funcionamiento de un FF D sincronizado por reloj

Marlon Max Zambrano

Pgina 99

A modo de ejercicio dibujemos una forma de onda en D con una seal de reloj TPN como se indica
y dibuje la respuesta Q correspondiente.

Marlon Max Zambrano

Pgina 100

IMPLEMENTACION DE UN FLIP FLOP D


Un FF D disparado por el borde se implementa fcilmente agregando un solo inversor al flip flop
J-K disparado por el borde como se muestra en la siguiente figura:

Lo mismo se puede hacer con el FF S-C, se le puede convertir a un FF D


5-7 PRCTICA # 5
TEMA: COMPROBACION DE LATCH CON COMPUERTAS NAND Y NOR
OBJETIVOS:

Comprobar el funcionamiento de los circuitos por medio de un circuito


Armar el circuito y comprobar el funcionamiento de los LATCH NAND y NOR por medio de
pulsantes y leds.

PROCEDIMIENTO:
8. Ponga mucha atencin a las recomendaciones que le d su profesor, siga paso a paso
todas las indicaciones.
9. Tenga a mano todos los materiales e instrumentos para armar el circuito y comprobar su
funcionamiento.
10. Arme un circuito LATCH NAND, en la cual por medio de pulsantes normalmente a VCC
conectados respectivamente a sus entradas SET y CLEAR, pueda comprobarse la tabla de
verdad correspondiente. A la salida Q y se conectara un led por medio de una
resistencia de 330 ohm, para verificar de forma visual los estados lgicos de estas salidas y
comprar con el resultado de la tabla de verdad.
11. Arme otro circuito esta vez un circuito LATCH NOR, en la cual por medio de pulsantes
normalmente a GND conectados respectivamente a sus entradas SET y CLEAR, pueda
comprobarse la tabla de verdad correspondiente. A la salida Q y se conectara un led por
medio de una resistencia de 330 ohm, para verificar de forma visual los estados lgicos de
estas salidas y comprar con el resultado de la tabla de verdad.
12. Una vez comprobado el funcionamiento de los dos circuitos con las tablas de verdad
presente a su profesor y realice el informe correspondiente.
Marlon Max Zambrano

Pgina 101

5-8 PRCTICA # 6
TEMA: COMPROBACION DEL FUNCIONAMIENTO DE LOS FF J-K POR MEDIO DE CIRCUITOS
INTEGRADOS
OBJETIVOS:

Comprobar el funcionamiento del CI para un FF j-K


Armar el circuito propuesto por su profesor y comprobar el funcionamiento.

PROCEDIMIENTO:
1. Ponga mucha atencin a las recomendaciones que le d su profesor, siga paso a paso
todas las indicaciones.
2. Tenga a mano todos los materiales e instrumentos para armar el circuito y comprobar su
funcionamiento.
3. Arme el siguiente circuito utilizando el integrado 74LS73 que es un CI TTL dual para FF, es
decir que en su interior posee 2 FF J-K sincronizados por reloj

Marlon Max Zambrano

Pgina 102

S-ar putea să vă placă și