Documente Academic
Documente Profesional
Documente Cultură
Cdigo:
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity comp_not is
Port ( a : in STD_LOGIC;
c : out STD_LOGIC);
end comp_not;
architecture Behavioral of comp_not is
begin
process (a)
begin
if a = '1' then
c <= '0';
else
c <= '1';
end if;
end process;
end Behavioral;
Simulacin:
Esquemtico:
Esquemtico RTL:
SENTENCIA IF THEN
IF THEN seleccionan la ejecucin de sentencias dependiendo de una o ms
condiciones, y tiene una sintaxis muy similar a lenguajes como C, Fortran, Java.
Permite desviar el flujo de ejecucin dentro de un proceso subprograma.
Esquemtico:
Esquemtico rtl: