Sunteți pe pagina 1din 33

5 4 3 2 1

PCB Rev: C Sch ver : 20040514-1

D
PCB STACK UP 31KT2MB0026 KT2 BLOCK DIAGRAM (KT2 FF) D
LAYER 1 : TOP
LAYER 2 : GND
PENTIUM-M / Montara-GM / ICH4-M
LAYER 3 : IN1 CPU PENTIUM-M 14.318MHz
CPU THERMAL
SYSTEM
LAYER 4 : IN2 SENSOR
GMT-781 HCLK_CPU, POWER(1.2V/1.05V/1.25V)
PAGE: 33
PAGE: 3 478 Pins (micro FC-PGA) HCLK_CPU#
LAYER 5 : VCC
CLOCK GEN PCLK_551
LAYER 6 : BOT PAGE: 3, 4 HCLK_MCH, CY28346/ CPU CORE MAX1907
HCLK_MCH# ICS950810
POWER 1.356V PAGE: 28
FSB
4X100MHZ DREFSSCLK
CLK66_MCH PAGE: 2 SYSTEM MAX1999
POWER(3/5V) PAGE: 31
DDR I/F 2.5V, 266MHz DDR-SODIMM1
R.G,B NORTH BRIDGE
CRT port PAGE: 15 PAGE: 11, 12 SYSTEM
Montara-GM POWER(2.5VSUS/1.5V_S5)
732 micro-FCBGA PAGE: 32
C LVDS X1 INTEGRADED VGA FUNCTION C
LCD PanelPAGE: 15 DDR-SODIMM2
PAGE: 11, 12 BATT CHARGER
PAGE: 5, 6, 7
14M_ICH PAGE: 29
7011 DVOC
PAGE: 13 HUB LINK CLK66_ICH
66MHZ PCLK_ICH DISCHARGE
32.768KHz
14.318MHz PAGE: 30
CLK48_USB
DREFCLK PCLK_LAN PCI_CLK_1410
33MHZ, 3.3V PCI
USB 2.0
USB PORT 0, 1, 2 PCLK_MINI
PAGE: 19
ICH4-M AC97 24.576MHz 25MHz

1st IDE - HDD ATA 66/100 421 BGA


PAGE: 26

PWRCLKP AC97 LAN MINI-PCI CARDBUS TSB43AB21PDT


2nd IDE - CDROM
ATA 66/100 PAGE: 8, 9, 10
PWRCLKN
DIB_DATAN CX20468-31 Realtek CONTROLLER IEEE 1394
PAGE: 26 DIB_DATAP MBAMC20493-010 8100CL TI1410 TI1520
3.3V LPC, 33MHz PAGE: 20 PAGE: 23 PAGE: 14 PAGE: 16, 17 PAGE: 19

32.768KHz
Daughter Board 14M_SIO
B LED BOARD
B
TP BOARD
REQ0#, GNT0# : PCMCIA IR BOARD SUPER IO
SMARTDAA AMP CARDBUS SLOT X1 1394
REQ1#, GNT1# : MINIPCI PC87391 CARDBUS SLOT X2
REQ2#, GNT2# : LAN PAGE: 18
PC97551 MODEM, CONN
REQ3#, GNT3# : IEEE1394 MDC TPA0212
PCLK_551
REQ4#, GNT4# : N/A PAGE: 21 PAGE: 16 PAGE: 19
TQFP 176 PAGE: 22

PAGE: 25
INTA# : LAN
INTB# : CARDBUS 1520
INTC# : MINIPCI
INTD# : MINIPCI
INTE# : CARDBUS
RJ11 JACK RJ45
FAN Touchpad Keyboard FLASH HEADPHONE, MIC
INTF# : IEEE1394 JACK JACK
INTG# : NC PAGE: 15 PAGE: 27 PAGE: 27 PAGE: 25 PAGE: 24 PAGE: 24
INTH# : Intenal USB PAGE: 21

AD16 : RTL8100CL FDD FIR Serial Parallel


AD17 : PCI1520 Page : 18 Page : 15 Page : 18 Page : 18
AD21 : PCI1410
AD22 : MINIPCI
A AD23 : IEEE1394
A

PROJECT : KT2
Quanta Computer Inc.
Size Document Number Rev
C 01---BLOCK DIAGRAM 1A

Date: Friday, May 14, 2004 Sheet 1 of 33

5 4 3 2 1
1 2 3 4 5 6 7 8

CLK GEN
L29 FBMJ2125HM330-T
S2 S1 S0 CPU 3V66[0..4] 3V66_5/66IN VDDA_CKG
+3V
R348
14M_TV 13
1 0 0 66 66IN 66 Input 300 ohms@ 10 0Mhz 10
R125 49.9/F R122
14M_SIO 18
1 0 1 100 66IN 66 Input C536 C523 R126 49.9/F 10
C548 .01U/16V/0402 10U/10V/V R352
14M_ICH 9
1 1 0 200 66IN 66 Input 5p R123 49.9/F 10
XIN R124 49.9/F
1 1 1 133 66IN 66 Input C579 C142 C589

2
*10P *10P *10P
0 0 0 66 66 66 Input Y4

26

27
A A
R334 14.318MHz/20PF U22
0 0 1 100 66 66 Input C573 2M 2 56 14M_REF

VDDA

VSSA
1
5p XTAL_IN REF 4P2R-S-33
0 1 0 200 66 66 Input XOUT 3 45 R_HCLK_CPU 1 2 RN61 HCLK_CPU
XTAL_OUT CPU2 HCLK_CPU 3
44 R_HCLK_CPU# 3 4 HCLK_CPU#
CPU#2 HCLK_CPU# 3
0 1 1 133 66 66 Input R289 *10K 4P2R-S-33
+3V
25 49 R_HCLK_MCH 1 2 RN62 HCLK_MCH
9 CLK_PWRDWN# PWR_DWN# CPU1 HCLK_MCH 5
34 48 R_HCLK_MCH# 3 4 HCLK_MCH#
+3V 9 STP_PCI# PCI_STP# CPU#1 HCLK_MCH# 5
9,28 STP_CPU# 53 CPU_STP#
52 R_HCLK_ITP
CPU0 T23
CLK_EN# 28 51 R_HCLK_ITP#
28 CLK_EN# PWRGD# CPU#0 T24
R106 R107 from IM VP4 CGCLK_SMB 30 CK-408 33 3V66_0
SCLK 3V66_0 T20
*10K 10K PR111 10K CLK_EN# CGDAT_SMB 29 35 3V66_1 R346 33 DREFSSCLK
+3V SDATA 3V66_1/VCH DREFSSCLK 6
R115 10K SELPSB2_CLK 40 24 3V66_5
SEL2 66IN/3V66_5 T106
SELPSB1_CLK SELPSB1_CLK 55 23 R_CLK66_MCH R301 33 CLK66_MCH
SEL1 66B2/3V66_4 CLK66_MCH 6
SELPSB0_CLK 54 22 R_CLK66_ICH R300 33 CLK66_ICH
25 CPU66M# SEL0 66B1/3V66_3 CLK66_ICH 9
SELPSB0_CLK 21 R_CLK66_SSC
66B0/3V66_2 T40
R108 CLKVDD_REF 1
*0 VDD_REF R_PCLK_ICH R294 33 PCLK_ICH
8 VDD_PCI_1 PCI_F2 7 PCLK_ICH 8
R105 R349 CLKVDD_PCI 14 6 R_PCIF1
VDD_PCI_2 PCI_F1 T94
10K *10K CLKVDD_3V66 19 5 R_PCIF0
VDD_3V66_1 PCI_F0 T99
32 VDD_3V66_2
18 R_PCLK_SIO R299 33 PCLK_SIO
PCI6 PCLK_SIO 18
CLKVDD_CPU 46 17 R_PCLK_PCM R153 33 PCLK_1394
VDD_CPU_1 PCI5 PCLK_1394 19
50 16 R_PCLK_AUDIO
VDD_CPU_2 PCI4 T39
13 R_PCLK_591 R298 33 PCLK_591
B PCI3 PCLK_591 25 B
L31 FBMJ2125HM330-T 12 R_PCLK_CBS R297 33 PCLK_PCMCIA
PCI2 PCLK_PCMCIA 16,17
CLKVDD_REF R114 475/F IREF_CLK 42 11 R_PCLK_TCPA R296 33 PCLK_LAN
+3V IREF PCI1 PCLK_LAN 23
10 R_PCLK_MINI R295 33 PCLK_MINI
PCI0 PCLK_MINI 14
R113 10K CK_MULT0 43
+3V MULT0
C537 39 R_CLK48_USB R350 22 CLK48_USB
48M_USB CLK48_USB 9
C535 0.1U_0402 L34 FBMJ2125HM330-T 38 R_DREFCLK R351 33 DREFCLK
48M_DOT DREFCLK 6
*.1U_0402 CLK_48MVDD 37
+3V VDD_48MHZ

GND_3V66_1
GND_3V66_2
GND_PCI_1
GND_PCI_2

GND_IREF
GND_CPU
L10 FBMJ2125HM330-T 300 ohms@ 10 0Mhz

GND_REF
CLKVDD_PCI C591 C582
+3V
10U/10V/V .1U/16V/0402
C170 C167 36 GND_48MHZ
C173 0.1U_0402 CK-TITAN-B

4
9
15
20
31

41
47
*.1U_0402 0.1U_0402

CYPRESS: CY28346
+3V

L9 FBMJ2125HM330-T
CLKVDD_3V66
+3V
R347 R338
10K 10K C169
2

C583
C C136 0.1U_0402 0.1U_0402 C
3 1 CGDAT_SMB *.1U_0402
9 PDAT_SMB CGDAT_SMB 12

2N7002E
Q29 internal internal
+3V pull-up pull-down
L6 FBMJ2125HM330-T pin 5,FS_IN1 pin 4,FS_IN0MHz SPREAD %
CLKVDD_CPU
+3V
2

0 0 14M in 48M out -1.0% down sprd


0 1 14M in 66M out -1.0% down sprd
3 1 CGCLK_SMB C585 C584 1 0 48M in/out, 66M in/out -1.0% down sprd
9 PCLK_SMB CGCLK_SMB 12
C168 0.1U_0402 0.1U_0402 1 1 48M in/out, 66M in/out +-1.0% down center
*.1U_0402
2N7002E
Q27

CLK66_ICH PCLK_LAN
CLK66_MCH PCLK_ICH
DREFSSCLK
CLK48_USB PCLK_591
DREFCLK PCLK_MINI
PCLK_PCMCIA
PCLK_1394 C512
D D
15P/0603

C179 C513 C514 C510


15P/0603 15P/0603 15P/0603 15P/0603
C146 C518 C511
C147 15P/0603 C149 *10P C517 15P/0603 PROJECT : KT2
15P/0603 *10P *10P
Quanta Computer Inc.
Size Document Number R ev
Custom 1A
CLOCK GENERATOR
Date: Friday, May 14, 2004 Sheet 2 of 33
1 2 3 4 5 6 7 8
A B C D E

CT1
HD#[0..63]
HD#[0..63] 5
U14A
HA#[3..31] +3V
5 HA#[3..31]
HA#3 P4 A19 HD#0
HA#4 A3# D0# HD#1
U4 A25
HA#5
HA#6
V3
A4#
A5#
Banias D1#
D2# A22 HD#2
HD#3
+3V
R3 A6# D3# B21
HA#7 V2 A24 HD#4 R151 R150 R152
HA#8 A7# D4# HD#5
W1 A8# 1 OF 3 D5# B26 100/F

2
4 4
HA#9 T4 A21 HD#6 Q12 2N7002E 10K 10K
HA#10 A9# D6# HD#7
W2 A10# D7# B20
HA#11 Y4 C20 HD#8 3 1 THDAT_SMB
HA#12
HA#13
Y1
U1
A11#
A12#
D8#
D9# B24
D24
HD#9
HD#10
25,29 MBDATA
6657VCC H/W MONITOR
HA#14 A13# D10# HD#11 +3V
AA3 A14# D11# E24
HA#15 Y3 C26 HD#12 C166 U13
HA#16 A15# D12# HD#13 .1U/16V/0402
AA2 A16# D13# B23

2
HA#17 AF4 E23 HD#14 1 8 THCLK_SMB
HA#18 A17# D14# HD#15 Q13 2N7002E VCC SMCLK
AC4 A18# D15# C25
HA#19 AC7 H23 HD#16 3 1 THCLK_SMB THERMDA 2 7 THDAT_SMB
A19# D16# 25,29 MBCLK DXP SMDATA
HA#20 AC3 G25 HD#17 C
HA#21 A20# D17# HD#18
AD3 A21# D18# L23 3 DXN -ALT 6 ICH_THRM# 9
HA#22 AE4 M26 HD#19 C164
HA#23 A22# D19# HD#20 2200P/50V
AD2 A23# D20# H24 4 -OVT GND 5
HA#24 AB4 F25 HD#21 THERMDC
HA#25 A24# REQUEST DATA D21# HD#22
HA#26
AC6 A25# PHASE PHASE D22# G24
HD#23
MAX6657/GMT-781
AD5 A26# D23# J23 25,31 1999_RST#
HA#27 AE2 SIGNALS SIGNALS M23 HD#24
HA#28 A27# D24# HD#25
AD6 A28# D25# J25
HA#29 AF3 L26 HD#26
HA#30 A29# D26# HD#27
AE1 A30# D27# N24
HA#31 AF1 M25 HD#28 +3V
A31# D28# HD#29
D29# H26
N25 HD#30
D30# HD#31
D31# K25
U3 Y26 HD#32 VCCP
5 HADSTB0# ADSTB0# D32#
AE5 AA24 HD#33 R156
5 HADSTB1# ADSTB1# D33#
T25 HD#34 1K
D34# HD#35
3
D35# U23 3
R2 V23 HD#36
5 HREQ#0 REQ0# D36#
P3 R24 HD#37 R157
5 HREQ#1 REQ1# D37# THERMTRIP_SIO 25
T2 R26 HD#38 56
5 HREQ#2 REQ2# D38#

3
P1 R23 HD#39
5 HREQ#3 REQ3# D39#
T1 AA23 HD#40 THERMTRIP# R155 330 2 Q23
5 HREQ#4 REQ4# D40#
U26 HD#41
D41# HD#42 3904
V24

1
ERROR D42# HD#43
5 ADS# N2 ADS# D43# U25
SIGNALS V26 HD#44
D44# HD#45
D45# Y23
AA26 HD#46
R187 56 H_IERR# D46# HD#47
VCCP A4 IERR# D47# Y25
AB25 HD#48
D48# HD#49
5 HBREQ0# N4 BREQ0# D49# AC23
J3 ARBITRATION AB24 HD#50
5 BPRI# BPRI# D50#
L1 PHASE AC20 HD#51
5 BNR# BNR# D51#
J2 SIGNALS AC22 HD#52
5 HLOCK# LOCK# D52#
AC25 HD#53
D53# HD#54
5 HIT# K3 HIT# D54# AD23
K4 SNOOP PHASE AE22 HD#55
5 HITM# HITM# D55#
L4 SIGNALS AF23 HD#56
RN13 5 DEFER# DEFER# D56#
AD24 HD#57
VCCP BPM0# D57# HD#58
7 8 C8 BPM0# D58# AF20
5 6 BPM1# B8 RESPONSE AE21 HD#59
BPM2# BPM1# PHASE D59# HD#60
3 4 A9 BPM2# D60# AD21
1 2 BPM3# C9 SIGNALS AF25 HD#61
BPM3# D61# HD#62
5 HTRDY# M3 TRDY# D62# AF22
H1 AF26 HD#63 VCCP 3VSUS
5 RS#0 RS0# D63#
*8P4R-S-1K K1
2 5 RS#1 RS1# 2
5 RS#2 L2 RS2#

8 A20M# C2 A20M# DSTBN0# C23 HDSTBN0# 5


D3 PC C22
8 FERR# FERR# DSTBP0# HDSTBP0# 5
A3 COMPATIBILITY K24 R176 R177 R182 R180 R184
8 IGNNE# IGNNE# DSTBN1# HDSTBN1# 5
CPUPW RGD E4 SIGNALS L24 *54.9/F *54.9/F 39/F 150 150
9 CPUPW RGD PWRGOOD DSTBP1# HDSTBP1# 5
8 SMI# B4 SMI# DSTBN2# W25 HDSTBN2# 5
VCCP R188 330/F W24
DSTBP2# HDSTBP2# 5
TCK A13 AE24
TCK DSTBN3# HDSTBN3# 5
TDO A12 DIAGNOSTIC AE25 DBR# T75
TDO DSTBP3# HDSTBP3# 5
TDI C12 & TEST
TMS TDI SIGNALS TDI T54
C11 TMS
TRST# B13 D25 TMS T56
TRST# DBI0# HDBI0# 5
T41 HCLK_ITP A16 J26 TCK T50
ITP_CLK0 DBI1# HDBI1# 5
T42 HCLK_ITP# A15 T24 TDO R175 *22.6/F TDO-1 T52
ITP_CLK1 DBI2# HDBI2# 5
T77 PREQ# B10 AD20
PREQ# DBI3# HDBI3# 5
T51 PRD Y# A10 CPURST# R178 *22.6/F T55
DBR# PRDY#
9 DBR# A7 DBR# DBSY# M2 DBSY# 5
DRDY# H2 DRDY# 5
D1 TRST# T47
8 INTR LINT0
D4 EXECUTION
8 NMI LINT1
C6 CONTROL B14
8 STPCLK# STPCLK# BCLK1 HCLK_CPU# 2
CPUSLP# A6 SIGNALS B15 R173 R174
8 CPUSLP# SLP# BCLK0 HCLK_CPU 2
B7 680 27.4/F
6,8 DPSLP# DPSLP#

THERMDA B18 B5
THERMDC THERMDA INIT# CPUINIT# 8
A18 THERMDC
RESET# B11 CPURST# CPURST# 5
R162 R169
1 THERMTRIP# C17 1
THERMTRIP# THERMAL DIODE *22 *22
DPWR# C19 DPWR# 6
VCCP 56 CPU_PROCHOT# B17
R158 PROCHOT#
C189 C214
Banias_Processor
*5P *5P
PROJECT : KT2
Quanta Computer Inc.
Size Document Number R ev

BANIAS CPU 1 of 2 (HOST BUS) Custom

Date: Friday, May 14, 2004 Sheet 3 of 33


1A

A B C D E
A B C D E

VCCP
COMP0 & 2=> Trace Z =27.4ohm WITHIN 0.5" & SPACE 50 mil U14B U14C CT1
COMP1 & 3=> Tracer Z =55ohm 3,5,7,8,10,30,33 VCCP
R133 27.4/F COMP0 P25 A2 W23
R131 54.9/F COMP1 COMP0 VSS VSS
P26 COMP1 VSS A5 D10 VCCP0 VSS W26
CHANGE TO 0805 R275 27.4/F COMP2 AB2 A8 D12 Y2
R274 54.9/F COMP3 COMP2 VSS VCCP1 VSS
AB1 COMP3 VSS A11 D14 VCCP2 VSS Y5
A14 D16 Y21

+1.5V
R121
*0 R127 1K/F GTLREF0 AD26
Banias VSS
VSS A17 E11
VCCP3
VCCP4 Banias
VSS
VSS Y24
GTLREF0 VSS A20 E13 VCCP5 VSS AA1
VCCP T25
R128 T57
E26 GTLREF1 2 OF 3 VSS A23 E15 VCCP6 VSS AA4

T76
G1 GTLREF2 VSS A26 F10 VCCP7 3 OF 3 VSS AA6
Q10 WITHIN 0.5" & AC1 GTLREF3 VSS B3 F12 VCCP8 VSS AA8
4 VSS B6 F14 VCCP9 VSS AA10 4
+3V 1 VIN OUT 3 1.8V SPACE 25 mil 2K/F TEST1 C5 VSS B9
B12
F16
K6
VCCP10 POWER, GROUND AND NC VSS AA12
AA14
TEST2 F23 TEST1 VSS VCCP11 VSS
2 GND TEST2 VSS B16 L5 VCCP12 VSS AA16
VSS B19 L21 VCCP13 VSS AA18
VSS B22 M6 VCCP14 VSS AA20
C141 G952 C153 A0 : STUFF R189 R132 B25 M22 AA22
*1K *1K POWER, VSS VCCP15 VSS
C1 N5 AA25
0.1U_0402 0.1U_0402 A1 : NC GROUND, VSS
C4 N21
VCCP16 VSS
AB3
VSS VCCP17 VSS
RESERVED C7 P6 AB5
VSS VCCP18 VSS
SIGNALS
FOR VTT/ 0.1UF X10 AC26
VSS C10
C13
P22
R5
VCCP19 VSS AB7
AB9
VCCA3 VSS VCCP20 VSS
1.8V N1 VCCA2 VSS C15 R21 VCCP21 VSS AB11
VCCP B1 C18 T6 AB13
VCCA1 VSS VCCP22 VSS
F26 VCCA0 VSS C21 T22 VCCP23 VSS AB15
VSS C24 U21 VCCP24 VSS AB17
VCC_CORE D2 P23 AB19
VSS VCCP25 VSS
C144 C428 C152 C264 D5 W4 AB21
+ + VSS VCCP26 VSS
28 VCC_CORE D6 VCC00 VSS D7 VSS AB23

10U/6.3V

10U/6.3V

10U/6.3V

10U/6.3V
C451 C507 D8 D9 AB26
150U/6.3V *150U/6.3V VCC01 VSS VSS
D18 VCC02 VSS D11 VSS AC2
D20 VCC03 VSS D13 28 CPU_VID0 E2 VID0 VSS AC5
D22 VCC04 VSS D15 28 CPU_VID1 F2 VID1 VSS AC8
E5 VCC05 VSS D17 28 CPU_VID2 F3 VID2 VSS AC10
VCCP C150 C265 C151 C432
E7
E9
VCC06
VCC07
VSS
VSS
D19
D21
28
28
CPU_VID3
CPU_VID4
G3
G4
VID3
VID4
VID VSS
VSS
AC12
AC14
E17 VCC08 VSS D23 28 CPU_VID5 H4 VID5 VSS AC16
.01U .01U .01U .01U E19 D26 AC18
VCC09 VSS VSS
E21 VCC10 VSS E3 VSS AC21
3 F6 VCC11 VSS E6 VSS AC24 3
C470 C488 C462 C464 C496
0.1U 0.1U 0.1U 0.1U 0.1U
CC0402 F8
F18
VCC12 VSS E8
E10 R272 *54.9/F Z0501 AE7
VSS AD1
AD4
VCC13 VSS R273 *54.9/F Z0502 VCCSENSE VSS
F20 VCC14 VSS E12 AF6 VSSSENSE VSS AD7
F22 VCC15 VSS E14 VSS AD9
G5 VCC16 VSS E16 VSS AD11
VCCP G21 E18 Z0503 B2 AD13
VCC17 VSS T59 NC0 VSS
H6 E20 Z0504 AF7 AD15
VCC18 VSS T53 NC1 VSS
H22 E22 Z0505 C14 AD17
VCC19 VSS T45 Z0506 NC2 VSS
J5 VCC20 VSS E25 C3 AD19
J21 F1 R159T60 *1K Z0507 C16
NC3 VSS
AD22
C463 C534 C476 C482 C465 VCC21 VSS Z0508 TEST3 VSS
K22 VCC22 VSS F4 T58 E1 PSI VSS AD25
0.1U 0.1U 0.1U 0.1U 0.1U U5 F5 AE3
VCC23 VSS VSS
V6 VCC24 VSS F7 R6 VSS VSS AE6
V22 VCC25 VSS F9 R22 VSS VSS AE8
W5 VCC26 VSS F11 R25 VSS VSS AE10
W21 VCC27 VSS F13 T3 VSS VSS AE12
Y6 VCC28 VSS F15 T5 VSS VSS AE14
Y22 VCC29 VSS F17 T21 VSS VSS AE16
AA5 VCC30 VSS F19 T23 VSS VSS AE18
AA7 VCC31 VSS F21 T26 VSS VSS AE20
AA9 VCC32 VSS F24 U2 VSS VSS AE23
AA11 VCC33 VSS G2 U6 VSS VSS AE26
VCC_CORE 10U/6.3V/X5R(CC0805) *35 VCC_CORE
AA13
AA15
VCC34 VSS G6
G22
U22
U24
VSS VSS AF2
AF5
VCC35 VSS VSS VSS
AA17 VCC36 VSS G23 V1 VSS VSS AF9
AA19 VCC37 VSS G26 V4 VSS VSS AF11
AA21 VCC38 VSS H3 V5 VSS VSS AF13
C236 C229 C430 C522 C530 C485 C497 C502 C521 C175 C242 AB6 H5 V21 AF15
VCC39 VSS VSS VSS
2 AB8 VCC40 VSS H21 V25 VSS VSS AF17 2
10U/6.3V 10U/6.3V 10U/6.3V 10U/6.3V 10U/6.3V 10U/6.3V 10U/6.3V 10U/6.3V 10U/6.3V 10U/6.3V 10U/6.3V AB10 H25 W3 AF19
VCC41 VSS VSS VSS
AB12 VCC42 VSS J1 W6 VSS VSS AF21
AB14 VCC43 VSS J4 W22 VSS VSS AF24
AB16 VCC44 VSS J6
AB18 VCC45 VSS J22
VCC_CORE AB20 J24 Banias_Processor
VCC46 VSS
AB22 VCC47 VSS K2
AC9 VCC48 VSS K5
AC11 VCC49 VSS K21
C478 C528 C188 C185 C472 AC13 K23
VCC50 VSS
AC15 VCC51 VSS K26
10U/6.3V 10U/6.3V 10U/6.3V 10U/6.3V 10U/6.3V AC17 L3
VCC52 VSS
AC19 VCC53 VSS L6
AD8 VCC54 VSS L22
AD10 VCC55 VSS L25
AD12 VCC56 VSS M1
AD14 VCC57 VSS M4
VCC_CORE VCC_CORE AD16 M5
VCC58 VSS
AD18 VCC59 VSS M21
AE9 VCC60 VSS M24
AE11 VCC61 VSS N3
C431 C480 C490 C500 C508 C207 C177 C501 C174 AE13 N6
VCC62 VSS
AE15 VCC63 VSS N22
10U/6.3V 10U/6.3V 10U/6.3V 10U/6.3V 10U/6.3V 10U/6.3V 10U/6.3V 10U/6.3V 10U/6.3V AE17 N23
VCC64 VSS
AE19 VCC65 VSS N26
AF8 VCC66 VSS P2
AF10 VCC67 VSS P5
AF12 VCC68 VSS P21
VCC_CORE VCC_CORE AF14 P24
1 VCC69 VSS 1
AF16 VCC70 VSS R1
AF18 VCC71 VSS R4

C533 C532 C526 C519 C182 C176 C180 C184 C187 C460
Banias_Processor
10U/6.3V 10U/6.3V 10U/6.3V 10U/6.3V 10U/6.3V 10U/6.3V 10U/6.3V 10U/6.3V 10U/6.3V 10U/6.3V
PROJECT : KT2
Quanta Computer Inc.

BANIAS CPU 2 of 2 (PWR)


Size Document Number R ev
B 1A
CPU POWER
Date: Friday, May 14, 2004 Sheet 4 of 33
A B C D E
1 2 3 4 5 6 7 8

U15A
U15E CT1
HA#[3..31] HD#[0..63] AA29 P16
3 HA#[3..31] HD#[0..63] 3 VSS0 VSS91
HA#3 P23 K22 HD#0 W29 J16
HA#4 HA#3 HD#0 HD#1 VSS1 VSS92
T25 HA#4 HD#1 H27 U29 VSS2 VSS93 F16
HA#5 T28 K25 HD#2 N29 AG15
HA#6 HA#5 HD#2 HD#3 VSS3 VSS94
R27 HA#6 HD#3 L24 L29 VSS4 VSS95 AB15
HA#7 U23 J27 HD#4 J29 U15
HA#8 HA#7 HD#4 HD#5 VSS5 VSS96
U24 HA#8 HD#5 G28 G29 VSS6 VSS97 R15
HA#9 R24 L27 HD#6 E29 N15
HCLK_MCH HA#10 HA#9 HD#6 HD#7 VSS7 VSS98
U28 HA#10 HD#7 L23 C29 VSS8 VSS99 H15
HCLK_MCH# HA#11 V28 L25 HD#8 AE28 D15
A HA#12 HA#11 HD#8 HD#9 VSS9 VSS100 A
U27 HA#12 HD#9 J24 AC28 VSS10 VSS101 AC14
HA#13 T27 H25 HD#10 E28 AA14
HA#14 HA#13 HD#10 HD#11 VSS11 VSS102
V27 HA#14 HD#11 K23 D28 VSS12 VSS103 T14
R270 R271 HA#15 U25 G27 HD#12 AJ27 P14
HA#16 HA#15 HD#12 HD#13 VSS13 VSS104
V26 HA#16 HD#13 K26 AG27 VSS14 VSS105 J14
*22 *22 HA#17 Y24 J23 HD#14 AC27 AE13
HA#18 HA#17 HD#14 HD#15 VSS15 VSS106
V25 H26 F27 AB13
HA#19
HA#20
V23
HA#18
HA#19
HOST HD#15
HD#16 F25 HD#16 A27
VSS16
VSS17
VSS107
VSS108 U13
C422 C423 W25 F26 HD#17 AJ26 R13
HA#21 HA#20 HD#17 HD#18 VSS18 VSS109
Y25 HA#21 HD#18 B27 AB26 VSS19 VSS110 N13
*5P *5P HA#22 AA27 H23 HD#19 W26 H13
HA#23 HA#22 HD#19 HD#20 VSS20 VSS111
W24 HA#23 HD#20 E27 U26 VSS21 VSS112 F13
HA#24 W23 G25 HD#21 R26 D13
HA#25 HA#24 HD#21 HD#22 VSS22 VSS113
W27 HA#25 HD#22 F28 N26 VSS23 VSS114 A13
HA#26 Y27 D27 HD#23 L26 AJ12
HA#27 HA#26 HD#23 HD#24 VSS24 VSS115
AA28 HA#27 HD#24 G24 J26 VSS25 VSS116 AG12
HA#28 W28 C28 HD#25 G26 AA12
HA#29 HA#28 HD#25 HD#26 VSS26 VSS117
AB27 HA#29 HD#26 B26 AE25 VSS27 VSS118 J12
VCCP HA#30 Y26 G22 HD#27 AA25 AJ11
HA#31 HA#30 HD#27 HD#28 VSS28 VSS119
AB28 HA#31 HD#28 C26 D25 VSS29 VSS120 AC11
HD#29
width:10 mil; L28
HD#29 E26
G23 HD#30
A25
AG24
VSS30 VSS121 AB11
H11
3 ADS# ADS# HD#30 VSS31 VSS122
R179 HD#31
space: 20 mil 3 HADSTB0# T26
AA26
HADSTB#0 HD#31 B28
B21 HD#32
AA24
V24
VSS32 VSS123 F11
D11
3 HADSTB1# HADSTB#1 HD#32 VSS33 VSS124
301/F G21 HD#33 T24 AJ10
HD#33 HD#34 VSS34 VSS125
HD#34 C24 P24 VSS35 VSS126 AE10
R28 C23 HD#35 M24 AA10
3 HREQ#0 HREQ#0 HD#35 VSS36 VSS127
P25 D22 HD#36 K24 J10
3 HREQ#1 HREQ#1 HD#36 VSS37 VSS128
B R23 C25 HD#37 H24 C10 B
3 HREQ#2 HREQ#2 HD#37 VSS38 VSS129
1

R181 C227 R25 E24 HD#38 VCCP F24 AG9


3 HREQ#3 HREQ#3 HD#38 VSS39 VSS130
T23 D24 HD#39 B24 AB9
3 HREQ#4 HREQ#4 HD#39 VSS40 VSS131
150/F 0.1U G20 HD#40 AJ23 W9
2

HD#40 HD#41 VSS41 VSS132


3 RS#0 N23 RS#0 HD#41 E23 AC23 VSS42 VSS133 U9
P26 B22 HD#42 R277 AA23 T9
3 RS#1 RS#1 HD#42 VSS43 VSS134
M27 B23 HD#43 D23 R9
3 RS#2
3 DBSY# M26
RS#2
DBSY#
HD#43
HD#44 F23 HD#44 49.9/F A23
VSS44
VSS45
VSS VSS135
VSS136 N9
P28 F21 HD#45 AE22 L9
3 BPRI# BPRI# HD#45 VSS46 VSS137
N25 C20 HD#46 W22 E9
3 BNR# BNR# HD#46 VSS47 VSS138
M23 C21 HD#47 U22 AC8
3 HBREQ0# BREQ0# HD#47 VSS48 VSS139
VCCP P27 G18 HD#48 R22 Y8
3 HLOCK# HLOCK# HD#48 VSS49 VSS140
N27 E19 HD#49 C435 C453 R280 N22 V8
3 HIT# HIT# HD#49 VSS50 VSS141
N28 E20 HD#50 L22 T8
3 HITM# HITM# HD#50 VSS51 VSS142
HD#51 1U_10V 0.1U 100/F
R198 width:10 mil; 3 DRDY# N24
M25
DRDY# HD#51 G17
D20 HD#52
J22
F22
VSS52 VSS143 P8
K8
3 HTRDY# HTRDY# HD#52 VSS53 VSS144
HD#53
301/F
space: 20 mil 3 DEFER# M28 DEFER# HD#53 F19
C19 HD#54
C22
AG21
VSS54 VSS145 H8
AJ7
HD#54 HD#55 VSS55 VSS146
3 CPURST# F15 CPURST# HD#55 C17 AB21 VSS56 VSS147 AE7
F17 HD#56 AA21 AA7
HD#56 HD#57 VCCP VSS57 VSS148
HD#57 B19 Y21 VSS58 VSS149 R7
HCLK_MCH AE29 G16 HD#58 V21 M7
2 HCLK_MCH BCLK HD#58 VSS59 VSS150
1

R192 C283 HCLK_MCH# AD29 E16 HD#59 T21 J7


2 HCLK_MCH# BCLK# HD#59 VSS60 VSS151
C16 HD#60 P21 G7
150/F 0.1U HXSWING HD#60 HD#61 R197 VSS61 VSS152
B18 E17 M21 E7
2

HYS W ING HXSWING HD#61 HD#62 VSS62 VSS153


K28 HYSWING HD#62 D16 H21 VSS63 VSS154 C7
R183 27.4/F HXRCOMP B20 C18 HD#63 49.9/F D21 AG6
R195 27.4/F HYRCOMP HXRCOMP HD#63 VSS64 VSS155
H28 HYRCOMP A21 VSS65 VSS156 Y6
C AJ20 VSS66 VSS157 L6 C
width:10 mil; HL0 U7
DINV#0 J25
E25
HDBI0# 3 AC20
AA20
VSS67 VSS158 Y5
U5
HI_0 DINV#1 HDBI1# 3 VSS68 VSS159

1
HL1 C281 C280 R193
+1.5V space: 20 mil HL2
U4
U3
HI_1 DINV#2 B25
G19
HDBI2# 3 J20
AE19
VSS69 VSS160 B5
AE4
HI_2 DINV#3 HDBI3# 3 VSS70 VSS161
HL3 V3 1U_10V 0.1U 100/F AB19 AC4
HUB I/F

2
HL4 HI_3 VSS71 VSS162
W2 HI_4 HDSTBN#0 J28 HDSTBN0# 3 H19 VSS72 VSS163 AA4
HL5 W6 K27 D19 W4
HI_5 HDSTBP#0 HDSTBP0# 3 VSS73 VSS164
R339 HL6 V6 C27 A19 T4
HI_6 HDSTBN#1 HDSTBN1# 3 VSS74 VSS165
HL7 W7 D26 AJ18 N4
HI_7 HDSTBP#1 HDSTBP1# 3 VSS75 VSS166
255/F HL8 T3 E22 AG18 K4
HI_8 HDSTBN#2 HDSTBN2# 3 VCCP VSS76 VSS167
0.8V +/- 8% HL9 V5 E21 AA18 G4
HI_9 HDSTBP#2 HDSTBP2# 3 VSS77 VSS168
HL10 V4 D18 J18 D4
HL[0..10] HI_10 HDSTBN#3 HDSTBN3# 3 VSS78 VSS169
9 HL[0..10] HDSTBP#3 E18 HDSTBP3# 3 F18 VSS79 VSS170 AJ3
9 HLSTB W3 HLSTB AC17 VSS80 VSS171 AG3
1

R340 C560 V2 Y22 HAVREF R185 AB17 R2


9 HLSTB# HLSTB# HAVREF VSS81 VSS172
C571 Y28 HCCVREF U17 AJ1
162/F 0.1U R315 27.4/F HLRCOMP HCCVREF 49.9/F VSS82 VSS173
+1.2V T2 K21 R17 AE1
2

0.1U HUBSWING_MCH HLRCOMP HDVREF0 VSS83 VSS174


U2 PSWING HDVREF1 J21 N17 VSS84 VSS175 AA1
HUBVREF_GMCH W1 J17 HDVREF H17 U1
HLVREF HDVREF2 VSS85 VSS176
D17 VSS86 VSS177 L1
0.35V +/- 8% A17 VSS87 VSS178 G1
1

1
R327 C561 C551 C568 C469 C249 C448 C244 R278 AE16 C1
HLRCOMP: Montara-GM VSS88 VSS179
AA16 VSS89 VSS180 F20
127/F 0.1U 855GM, 27.4/F pull-up to 1.2V .01U .01U 1U_10V 0.1U 0.1U 0.1U 100/F T16
2

855GME, 37.4/F pull-up to


VSS90
1.35V

Montara-GM
D D

PROJECT : KT2
Quanta Computer Inc.

MONTARA-GME/ 855GM+ 1of 3 (HOST & GND)


Size Document Number R ev
Custom MontaraGM_A 1A

Date: Friday, May 14, 2004 Sheet 5 of 33


1 2 3 4 5 6 7 8
1 2 3 4 5 6 7 8

+3V

D REFCLK
CT1
R292 *10K LCLKCTLA

1
R_MD[0..63]
R_MA[0..12] R_MD[0..63] 11
R290
R_SM_DQS[0..7] R_MA[0..12] 11,12
R293 *10K LCLKCTLB
*22 M_DM[0..7] R_SM_DQS[0..7] 11
M_DM[0..7] 11

2
R302 10K/F EXTTS0

R329 100K DVOBCLKINT C520 U15C


R307 1K ADDETECT R343 *1K
+1.5V *12P
R337 100K DVOBFLDSTL R_MA0 AC18 AF2 R_MD0
A
R_MA1 SMA0 SDQ0 R_MD1 A
TV ENCODER: REQUEST! AD14 SMA1 SDQ1 AE3
+1.5V "ADDETECT" must be low, when we use DVO port. R_MA2 AD13 AF4 R_MD2
R_MA3 SMA2 SDQ2 R_MD3
U15B AD17 SMA3 SDQ3 AH2
R328 100K DVOBINTRB# R_MA4 AD11 AD3 R_MD4
R_MA5 SMA4 SDQ4 R_MD5
AC13 SMA5 SDQ5 AE2
R3 G14 TXLOUT0- R_MA6 AD8 AG4 R_MD6
T116 DVOBD0 IYAM0 TXLOUT0- 15 SMA6 SDQ6
R5 F14 TXLOUT0+ R_MA7 AD7 AH3 R_MD7
T107 DVOBD1 IYAP0 TXLOUT0+ 15 SMA7 SDQ7
R6 E15 TXLOUT1- R_MA8 AC6 AD6 R_MD8
T93 DVOBD2 IYAM1 TXLOUT1- 15 SMA8 SDQ8
R4 E14 TXLOUT1+ R_MA9 AC5 AG5 R_MD9
T117 DVOBD3 IYAP1 TXLOUT1+ 15 SMA9 SDQ9
P6 C15 TXLOUT2- R_MA10 AC19 AG7 R_MD10
T97 DVOBD4 IYAM2 TXLOUT2- 15 SMA10 SDQ10
P5 C14 TXLOUT2+ R_MA11 AD5 AE8 R_MD11
T26 DVOBD5

DVO
IYAP2 TXLOUT2+ 15 R_MA12 SMA11 SDQ11 R_MD12
T35 N5 DVOBD6 IYAM3 C13 T86 AB5 SMA12 SDQ12 AF5
P2 B13 T44 AH4 R_MD13
T36 DVOBD7 IYAP3 SDQ13
N2 D14 TXLCLKOUT- R_SM_DQS0 AG2 AF7 R_MD14
T31 DVOBD8 ICLKAM TXLCLKOUT- 15 SDQS0 SDQ14
N3 E13 TXLCLKOUT+ R_SM_DQS1 AH5 AH6 R_MD15
T32 DVOBD9 ICLKAP TXLCLKOUT+ 15 SDQS1 SDQ15
M1 R_SM_DQS2 AH8 AF8 R_MD16
T33 DVOBD10 SDQS2 SDQ16
M5 H12 TXUOUT0- R_SM_DQS3 AE12 AG8 R_MD17
T34 DVOBD11 IYBM0 TXUOUT0- 15 SDQS3 SDQ17
G12 TXUOUT0+ R_SM_DQS4 AH17 AH9 R_MD18
IYBP0 TXUOUT0+ 15 SDQS4 SDQ18

LVDS
P3 E12 TXUOUT1- R_SM_DQS5 AE21 AG10 R_MD19

DDR 200/266 MHz


T27 DVOBCLK IYBM1 TXUOUT1- 15 SDQS5 SDQ19
P4 E11 TXUOUT1+ R_SM_DQS6 AH24 AH7 R_MD20
T28 DVOBCLK# IYBP1 TXUOUT1+ 15 SDQS6 SDQ20
T6 C12 TXUOUT2- R_SM_DQS7 AH27 AD9 R_MD21
T102 DVOBHSYNC IYBM2 TXUOUT2- 15 SDQS7 SDQ21
T5 C11 TXUOUT2+ T83 R_SM_DQS8 AD15 AF10 R_MD22
T103 DVOBVSYNC IYBP2 TXUOUT2+ 15 SDQS8 SDQ22
L2 G11 T90 AE11 R_MD23
T113 DVOBLANK# IYBM3 SDQ23
DVOBFLDSTL M2 G10 T91 SM_B1 AD16 AH10 R_MD24
T119 DVOBFLDSTL IYBP3 11,12 SM_B1 SMA_B1 SDQ24
DVOBINTRB# G2 E10 TXUCLKOUT- SM_B2 AC12 AH11 R_MD25
13 DVOBINTRB# DVOBINTRB# ICLKBM TXUCLKOUT- 15 11,12 SM_B2 SMA_B2 SDQ25
DVOBCLKINT M3 F10 TXUCLKOUT+ R149 2.2K SM_B4 AF11 AG13 R_MD26
13 DVOBCLKINT DVOBCLKINT ICLKBP TXUCLKOUT+ 15 +3V 11,12 SM_B4 SMA_B4 SDQ26
R148 2.2K SM_B5 AD10 AF14 R_MD27
DVOCD[0..11] 11,12 SM_B5 SMA_B5 SDQ27
B4 AG11 R_MD28
13 DVOCD[0..11] DDCPCLK EDIDCLK 15 SDQ28
DVOCD0 K5 C5 SM_CS0# AD23 AD12 R_MD29
DVOCD0 DDCPDATA EDIDDATA 15 11,12 SM_CS0# SCS#0 SDQ29
B DVOCD1 K1 SM_CS1# AD26 AF13 R_MD30 B
DVOCD1 11,12 SM_CS1# SCS#1 SDQ30
DVOCD2 K3 A5 SM_CS2# AC22 AH13 R_MD31
DVOCD2 LCDVCCEN DISP_ON 15 11,12 SM_CS2# SCS#2 SDQ31
DVOCD3 K2 G8 T96 SM_CS3# AC25 AH16 R_MD32
DVOCD3 BLKCTL 11,12 SM_CS3# SCS#3 SDQ32
DVOCD4 J6 F8 AG17 R_MD33
DVOCD4 BLKEN BKLON 15 SDQ33
DVOCD5 J5 M_DM0 AE5 AF19 R_MD34
DVOCD6 DVOCD5 M_DM1 SDM0 SDQ34 R_MD35
H2 DVOCD6 AE6 SDM1 SDQ35 AE20
DVOCD7 H1 R285 1.5K/F M_DM2 AE9 AD18 R_MD36
DVOCD8 DVOCD7 M_DM3 SDM2 SDQ36 R_MD37
H3 DVOCD8 LIBG A10 AH12 SDM3 SDQ37 AE18
MI2C_CLK DVOCD9 H4 M_DM4 AD19 AH18 R_MD38
13 MI2C_CLK MI2C_DATA DVOCD10 DVOCD9 M_DM5 SDM4 SDQ38 R_MD39
13 MI2C_DATA H6 DVOCD10 AD21 SDM5 SDQ39 AG19
DVOCD11 G3 M_DM6 AD24 AH20 R_MD40
DVOCD11 M_DM7 SDM6 SDQ40 R_MD41
AH28 SDM7 SDQ41 AG20
J3 A7 T49 M_DM8 AH15 AF22 R_MD42
13 DVOCCLK DVOCCLK RED CRT_R 15 SDM8 SDQ42
J2 C8 AH22 R_MD43
13 DVOCCLK# DVOCCLK# GRN CRT_G 15 SDQ43
K6 C9 R_BA0 AD22 AF20 R_MD44
13 DVOCHSYNC DVOCHSYNC BLU CRT_B 15 11,12 R_BA0 SBA0 SDQ44
L5 A8 R_BA1 AD20 AH19 R_MD45
13 DVOCVSYNC DVOCVSYNC RED# 11,12 R_BA1 SBA1 SDQ45
L3 D8 AH21 R_MD46
13 DVOBLANK# DVOCBLANK# GRN# SDQ46
DAC

H5 D9 R_SRASA# AC21 AG22 R_MD47


13 DVOCFLDSTL DVOCFLDSTL BLU# 11,12 R_SRASA# SRAS# SDQ47
R_SCASA# AC24 AE23 R_MD48
11,12 R_SCASA# SCAS# SDQ48
R291 2.2K MI2C_CLK K7 H10 R286 39/F R_BMWEA#AD25 AH23 R_MD49
I2CCLK HSYNC HSYNC 15 11,12 R_BMWEA# SWE# SDQ49
R305 2.2K MI2C_DATA N6 J9 R287 39/F AE24 R_MD50
I2CDATA VSYNC VSYNC 15 SDQ50
R342 10K DVI_CLK N7 B6 CKE0 AC7 AH25 R_MD51
DVICLK DDCACLK DDCCLK 15 11,12 CKE0 SCKE0 SDQ51
R341 10K DVI_DAT M6 G9 CKE1 AB7 AG23 R_MD52
DVIDATA DDCADATA DDCDAT 15 11,12 CKE1 SCKE1 SDQ52
R284 10K P7 CKE2 AC9 AF23 R_MD53
DVI2CLK 11,12 CKE2 SCKE2 SDQ53
+1.5V R288 10K T7 E8 I REF R283 127/F CKE3 AC10 AF25 R_MD54
DVI2DATA REFSET 11,12 CKE3 SCKE3 SDQ54
AG25 R_MD55
SDQ55 R_MD56
T100 E5 ADDID0 12 CLK_SDRAM0 AB2 SCMDCLK0 SDQ56 AH26
T101 F5 AA2 AE26 R_MD57
ADDID1 12 CLK_SDRAM0# SCMDCLK#0 SDQ57
T29 E3 AC26 AG28 R_MD58
ADDID2 12 CLK_SDRAM1 SCMDCLK1 SDQ58
E2 B7 D REFCLK AB25 AF28 R_MD59
C T30 ADDID3 DREFCLK DREFCLK 2 12 CLK_SDRAM1# SCMDCLK#1 SDQ59 C
T110 G5 B17 DREFSSCLK T114 AC3 AG26 R_MD60
ADDID4 DREFSSCLK DREFSSCLK 2 SCMDCLK2 SDQ60
T109 F4 H9 LCLKCTLA T111 AD4 AF26 R_MD61
ADDID5 LCLKCTLA LCLKCTLB SCMDCLK#2 SDQ61 R_MD62
T92 G6 ADDID6 LCLKCTLB C6 12 CLK_SDRAM3 AC2 SCMDCLK3 SDQ62 AE27
R332 1K ADD ID7 F6 AD2 AD27 R_MD63
ADDID7 12 CLK_SDRAM3# SCMDCLK#3 SDQ63
2.5VSUS AB23 AG14 T48
12 CLK_SDRAM4 SCMDCLK4 SDQ64
T121 ADDETECT L7 AA22 AB24 AE14 T88
ADDETECT DPWR# DPW R# 3 12 CLK_SDRAM4# SCMDCLK#4 SDQ65
DPMSCLK D5 Y23 T108 AA3 AE17 T81
DPMS DPSLP# DPSLP# 3,8 SCMDCLK5 SDQ66
AD28 T104 AB4 AG16 T79
RSTIN# PCIRST# 8,13,14,16,17,18,19,23,25,26 SCMDCLK#5 SDQ67
9 AGP_BUSY# AGP_BUSY# F7 J11 C538 AH14 T46
AGPBUSY# PWROK PWROK 9,25,28 SDQ68
Y3 D6 EXTTS0 R282 R279 R326 AE15 T85
2 CLK66_MCH CLK66IN EXTTS0 SDQ69
DVORCOMP D1 0.1U AF16 T82
V REF DVORCOMP 150/F 604/F 60.4/F SDQ70 T78
13 VREF F1 GVREF SDQ71 AF17
R325 SMDDR_VREF AJ24
T95 SMRCOMP SMVREF
RVSD14 D7 AB1 SMRCOMP
*22 R331 B2 T115 SMVSWINGH AJ19 AC16 T80
C555 RVSD13 GST2 R314 *10K SMVSWINGL SMVSWINGH SRCVENIN# T84
GST2 C2 AJ22 SMVSWINGL SRCVENOUT# AC15
40.2/F A2 D2 T37
C570 .1U B1
NC11
NC10
MISC RVSD11
RVSD10 F2 T118
*5P
DVORCOMP AH1 NC9 RVSD9 B3
GST1
AJ2 C3 R310 *10K +1.5V R281 R276 R336 C567 C434 Montara-GM
width:10 mil; AJ4
NC8 GST1
D3 T38 C449 C437
NC7 RVSD7 604/F 150/F 60.4/F 0.1U 1U_10V
space: 20 mil AA9 NC6 RVSD6 F3 T112
GST0 R303 *10K 0.1U 0.1U
A28 NC5 GST0 C4
+1.5V AJ28 L4 T105
NC4 RVSD4 T98
A29 NC3 RVSD3 AA5
B29 B12 T43
NC2 RVSD2 T89
AH29 NC1 RVSD1 D12
R333 AJ29 F12 T87
NC0 RVSD0
1K
D D

DPMSCLK Montara-GM PSB Memory GFX Core Clock GFX Core Clock
ST2 ST1 ST0 Frequency Frequency - Low - High
3

2 Q28 0 0 0 400MHz 266MHz 133MHz 200MHz


9 SUSCLK
*0 0 1 400MHz 200MHz 100MHz 200MHz
PROJECT : KT2
0 1 0 400MHz 200MHz 100MHz 133MHz
1

RHU002N06 0 1 1 400MHz 266MHz 133MHz 266MHz


1 0 0 533MHz 266MHz 133MHz 200MHz Quanta Computer Inc.
1 0 1 533MHz 266MHz 133MHz 266MHz

MONTARA-GME/ 855GM+ 2 of 3 (DVO & DDR)


1 1 0 533MHz 333MHz 166MHz 266MHz Size Document Number R ev
1 1 0 400MHz 333MHz 166MHz 250MHz Custom MontaraGM_B 1A

Date: Friday, May 14, 2004 Sheet 6 of 33


1 2 3 4 5 6 7 8
1 2 3 4 5 6 7 8

CT1

REV:C from 1206 size change to 0805 size


U15D
A A

+1.2V W21 AB29


VCC0 VTTLF0 VCCP
AA19 VCC1 VTTLF1 Y29
AA17 VCC2 VTTLF2 K29
+ C421 C483 C466 C493 C495 T17 F29 C445 C426 C457 C447 + C284
150U/6.3V C575 VCC3 VTTLF3
P17 VCC4 VTTLF4 A26
<Type> 10U 0.1U 0.1U 0.1U 0.1U U16 V22 0.1U 0.1U 0.1U 0.1U 150U/6.3V
CC7343 VCC5 VTTLF5 CC7343
R16 VCC6 VTTLF6 T22
N16 VCC7 VTTLF7 P22
AA15 VCC8 VTTLF8 M22
+1.2V T15 H22
VCC9 VTTLF9 VCCP
P15 VCC10 VTTLF10 U21
C477 C456 C475 C455 J15 R21
VCC11 VTTLF11 C424 C446 C444
U14 VCC12 VTTLF12 N21
0.1U 0.1U 0.1U 0.1U R14 L21 C420
VCC13 VTTLF13

POWER
N14 H20 0.1U 0.1U 0.1U 10U_6.3V
VCC14 VTTLF14
H14 VCC15 VTTLF15 A20
T13 VCC16 VTTLF16 J19
P13 VCC17 VTTLF17 H18
VTTLF18 A18
+1.2V V9 H16
+1.2V R194 0 VCCAHPLL VCCHL0 VTTLF19
9.16mA W8
U8
VCCHL1 VTTLF20 G15

C271 C468 C467 C474 C479 VCCHL2


V7 VCCHL3 VCCSM0 AG29 2.5VSUS
C576 U6 AF29
0.1U *10U 0.1U 0.1U 0.1U 0.1U VCCHL4 VCCSM1 C504 C554 C442 C473 + C429
W5 VCCHL5 VCCSM2 AC29
Y1 AF27 150U/6.3V
VCCHL6 VCCSM3 0.1U 0.1U 0.1U 0.1U CC7343
V1 VCCHL7 VCCSM4 AJ25
B VCCSM5 AF24 B
+1.2V R306 0 VCCAGPLL VCCAHPLL
5.57mA VCCAGPLL
D29
Y2
VCCAHPLL VCCSM6 AB22
AJ21
C541 VCCAGPLL VCCSM7 2.5VSUS
VCCSM8 AF21
VCCADPLLA A6 AB20
0.1U VCCADPLLB VCCADPLLA VCCSM9 C503 C486 C461 C506 + C178
B16 VCCADPLLB VCCSM10 AF18
AB18 150U/6.3V
+1.5V VCCSM11 0.1U 0.1U 0.1U 0.1U
P9 VCCDVO0 VCCSM12 AJ17
M9 AB16 CC7343
R160 L12 .1UH + C574 C525 C515 C516 C559 VCCDVO1 VCCSM13
+1.2V VCCADPLLA
1.97mA ~ 5.36mA 150U/6.3V
K9
R8
VCCDVO2 VCCSM14 AF15
AB14 2.5VSUS
0.1U 0.1U 0.1U 0.1U VCCDVO3 VCCSM15
N8 VCCDVO4 VCCSM16 AJ13
0 C181 C183 CC7343 M8 AA13 C443 C509 C440 C524
+ VCCDVO5 VCCSM17
L8 VCCDVO6 VCCSM18 AF12
220U/2.5V +1.5V J8 AB12 0.1U 0.1U 0.1U 0.1U
CC7343 0.1U VCCDVO7 VCCSM19
H7 VCCDVO8 VCCSM20 AA11
E6 VCCDVO9 VCCSM21 AB10
C161 C558 C542 C556 M4 AJ9
10U_6.3V 0.1U 0.1U 0.1U VCCDVO10 VCCSM22
J4 VCCDVO11 VCCSM23 AF9 2.5VSUS
E4 VCCDVO12 VCCSM24 Y9
R172 L13 .1UH C459 C481 C549 C427
+1.2V VCCADPLLB
3.35mA N1
J1
VCCDVO13 VCCSM25 AB8
AA8
+1.5V VCCDVO14 VCCSM26 0.1U 0.1U 0.1U 0.1U
E1 VCCDVO15 VCCSM27 AC1
0 C243 C487 C499 Y7
+ C218 VCCSM28
B9 VCCADAC0 VCCSM29 AF6
220U/2.5V Route this VSSDAC to other 0.1U 0.01U A9 AB6
CC7343 0.1U VCCADAC1 VCCSM30 L11 BK1608HS800-T
side of the cap, then to GND. B8 VSSADAC VCCSM31 AA6
VCCQSM
VCCSM32 AJ5
Y4
2.5VSUS 134mA
VCCDLVDS VCCSM33 C171
C B15 VCCDLVDS0 VCCSM34 AF3 C
B14 AB3 C529 4.7U_10V
VCCDLVDS1 VCCSM35 0.1U
J13 VCCDLVDS2 VCCSM36 AG1
G13 VCCDLVDS3
AJ8 R154 1
VCCTXLVDS VCCQSM0
A12 VCCTXLVDS0 VCCQSM1 AJ6
D10 L28 BK1608HS800-T
+3V VCCTXLVDS1 VCCASM
B10
F9
VCCTXLVDS2 VCCASM0 AF1
AD1
+1.2V 165mA
+1.5V C172 C540 VCCTXLVDS3 VCCASM1
A4 V29 VTTHF0 C489 + C165
10U_6.3V 0.1U VCCGPIO0 VTTHF0 VTTHF1 150U/6.3V
R161 0
5.6mA A3 VCCGPIO1 VTTHF1 M29
H29 VTTHF2 0.1U <Type>
VTTHF2 VTTHF3 CC7343
A11 VCCALVDS VTTHF3 A24
B11 A22 VTTHF4
VSSALVDS VTTHF4

C259

C261

C273

C274

C425
C563 C206 C492 C484 C186 +1.5V

*47U_6.3V 0.1U
22U/CC1206 0.1U 0.1U C505 C491
Montara-GM
0.1U .01U 0.1U0.1U0.1U0.1U0.1U

2.5VSUS Route this VSSALVDS to other


side of the cap, then to GND.
R171 0
17.95mA
C566 C221 C498 C494 C212

D *47U_6.3V 22U/CC1206
0.1U 0.1U 0.1U D

change +2.5v to 2.5vsus PROJECT : KT2


Quanta Computer Inc.

MONTARA-GME/ 855GM+ 3 of 3 (POWER & CAP.)


Size Document Number R ev
Custom MontaraGM_C 1A

Date: Friday, May 14, 2004 Sheet 7 of 33


1 2 3 4 5 6 7 8
1 2 3 4 5 6 7 8

ICH4-M 1/3 (CPU, PCI, IDE) VCCP CT1


PCI Bus pull high resistor

2
R136
CLOSE TO CPU +3V
56 U25A RP2
CLOSE TO ICH4 SERR# 6 5

1
V21 H19 R142 1 2 10K PERR# 7 4 IRQ14
3 NMI NMI APICD0
AB23 K20 R140 1 2 10K SERIRQ 8 3 IRQ15
3 A20M# A20M# APICD1
R137 2 1 56 AA21 J19 PLOCK# 9 2 IRD Y#
A 3 FERR# FERR# APICCLK A
W21 10 1 DEVSEL#
3 IGNNE#
3 INTR AB22
IGNNE#
INTR
CPU SMI# W23 SMI# 3
+3V

3 CPUINIT# V22 INIT# STPCLK# V23 STPCLK# 3 10P8R-8.2K


25 RCIN# U22 RCIN# CPUSLP# U21 CPUSLP# 3
Y22 U23 +3V
25 GATEA20 A20GATE DPSLP# DPSLP# 3,6 RP3
14,16,17,19,23 AD[0..31] FRAME# 6 5
STOP# 7 4 TRDY#
AD0 H5 J2 INTD# 8 3 REQ0#
AD0 C/BE0# C/BE0# 14,16,17,19,23
AD1 J3 K4 REQ2# 9 2 INTG#
AD1 C/BE1# C/BE1# 14,16,17,19,23
AD2 H3 M4 10 1 REQ1#
AD2 C/BE2# C/BE2# 14,16,17,19,23 +3V
AD3 K1 N4
AD3 C/BE3# C/BE3# 14,16,17,19,23
AD4 G5 AD4 10P8R-8.2K
AD5 J4 F1 FRAME#
AD5 FRAME# FRAME# 14,16,17,19,23
AD6 H4 L5 IRD Y# +3V
AD6 IRDY# IRDY# 14,16,17,19,23 RP5
AD7 J5 F2 TRDY#
AD7 TRDY# TRDY# 14,16,17,19,23
AD8 K2 M3 DEVSEL# INTE# 6 5
AD8 DEVSEL# DEVSEL# 14,16,17,19,23
AD9 G2 F3 STOP# REQ3# 7 4 INTC#
+3V AD9 STOP# STOP# 14,16,17,19,23
AD10 L1 G1 INTF# 8 3 ICH_GPIO5
AD11
AD12
G4
AD10
AD11
PCI PAR
SERR# K5 SERR#
PERR#
PAR 14,16,17,19,23
SERR# 14,16,17,19,23
+3V
REQ4# 9 2 REQA#
REQB#
L2 AD12 PERR# L4 PERR# 14,16,17,19,23 10 1
AD13 H2 M2 PLOCK#
AD13 PLOCK# PLOCK# 17
AD14 L3
+3V AD14 10P8R-8.2K
R49 AD15 F5 B1 REQ0#
AD15 REQ0# REQ0# 16,17
10K AD16 F4 A2 REQ1#
AD16 REQ1# REQ1# 14
C50 AD17 N1 B3 REQ2#
B AD17 REQ2# REQ2# 23 B
*.1U_0402 AD18 E5 C7 REQ3#
AD18 REQ3# REQ3# 19
5

1 AD19 N2 B6 REQ4# +3V


PCIRST# AD20 AD19 REQ4#
4 PCIRST# 6,13,14,16,17,18,19,23,25,26 E3 AD20
PCI_RST# 2 AD21 N3 C1 GNT0# INTA# R79 8.2K
AD21 GNT0# GNT0# 16,17
U9 AD22 E4 E6 GNT1# INTB# R60 8.2K
GNT1# 14
3

*TC7SH08FU AD23 AD22 GNT1# GNT2#


M5 AD23 GNT2# A7 GNT2# 23
AD24 E2 B7 GNT3# SUSA# R112 2 1 *4.7K
AD24 GNT3# GNT3# 19 9 SUSA#
AD25 P1 D6 GNT4#
AD25 GNT4# T9
R50 0 AD26 E1 SUSB# R47 2 1 *4.7K
AD26 9,25 SUSB# 3V_S5
AD27 P2
AD28 AD27 INTA#
D3 AD28 PIRQA# D5 INTA# 23
AD29 R1 C2 INTB# SUSC# R377 2 1 *4.7K
AD29 PIRQB# INTB# 16 9,25 SUSC#
AD30 D2 B4 INTC#
AD30 PIRQC# INTC# 14
AD31 P4 A3 INTD#
AD31 PIRQD# INTD# 14
C8 INTE#
PIRQE#/GPIO2 INTE# 16,17
D7 INTF#
PIRQF#/GPIO3 INTF# 19
PCI_PME# W2 C3 INTG#
14,16,17,23 PCI_PME# PME# PIRQG#/GPIO4 INTG#
ICH_GPIO5
2 PCLK_ICH
PCI_RST#
P5
U5
PCICLK PIRQH#/GPIO5 C4
PME CIRCUIT
R59 2 PCIRST#
+3V 1 10K AC2 CLKRUN#/GPIO24 SERIRQ J22 SERIRQ 14,16,17,18,25
2

FPBACK# E8 REQA# RP4


15 FPBACK# GNTA#/GPIO16 REQA#/GPIO0 B5
R74 ICH_GPIO17 C5 A6 REQB# GNT3# 6 5
16,17,18,19,23 CLKRUN# T14 GNTB#/GNT5#/GPIO17 REQB#/REQ5#/GPIO1 +3V
GNT2# 7 4
*33 GNT1# 8 3
GNT4# 9 2
1

C PDD0 AB11 W17 SDD0 10 1 GNT0# C


PDD0 SDD0 +3V
PDD1 AC11 AB17 SDD1
C72 PDD2 PDD1 SDD1 SDD2
Y10 PDD2 SDD2 W16 *10P8R-8.2K
*18P PDD3 AA10 AC16 SDD3
PDD4 PDD3 SDD3 SDD4
AA7 PDD4 SDD4 W15
PDD5 AB8 AB15 SDD5
PDD6 PDD5 SDD5 SDD6
Y8 PDD6 SDD6 W14
PDD7 AA8 AA14 SDD7

SIO RDY R110 4.7K +3V


PDD8
PDD9
AB9
PDD7
PDD8
IDE SDD7
SDD8 Y14 SDD8
SDD9
Y9 PDD9 SDD9 AC15
PDD10 AC9 AA15 SDD10
PIO RDY R97 4.7K PDD11 PDD10 SDD10 SDD11
W9 PDD11 SDD11 Y15
PDD12 AB10 AB16 SDD12
PDD13 PDD12 SDD12 SDD13
W10 PDD13 SDD13 Y16
PDD14 W11 AA17 SDD14
PDD15 PDD14 SDD14 SDD15
Y11 PDD15 SDD15 Y17
PDD[0..15] SDD[0..15]
26 PDD[0..15] SDD[0..15] 26
26 PDCS1# Y13 PDCS1# SDCS1# AB21 SDCS1# 26
26 PDCS3# AB14 PDCS3# SDCS3# AC22 SDCS3# 26
26 PDA0 AA13 PDA0 SDA0 AA20 SDA0 26
26 PDA1 AB13 PDA1 SDA1 AC20 SDA1 26
26 PDA2 W13 PDA2 SDA2 AC21 SDA2 26
26 PDIOR# AC12 PDIOR# SDIOR# Y18 SDIOR# 26
26 PDIOW# W12 PDIOW# SDIOW# AA18 SDIOW# 26
PIO RDY AB12 AC19 SIO RDY
26 PIORDY PIORDY SIORDY SIORDY 26
26 IRQ14 AC13 IRQ14 IRQ15 AA19 IRQ15 26
D AA11 AB18 D
26 PDDREQ PDDREQ SDDREQ SDDREQ 26
26 PDDACK# Y12 PDDACK# SDDACK# AB19 SDDACK# 26

ICH4 PROJECT : KT2


Quanta Computer Inc.
Size Document Number Re v
Custom ICH4-M (CPU,PCI,IDE) 1A

Date: Friday, May 14, 2004 Sheet 8 of 33


1 2 3 4 5 6 7 8
1 2 3 4 5 6 7 8

ICH4-M LCDID[0..2]
CN?
REV:C ADD +1.5V CT1
CLK_32KX1 USBP1- R256 *0 USB1-
15 LCDID[0..2] +3V 27 USBP1- 1
USBP1+ R257 *0 USB1+

LCDID0 1
RN60
2
ICH4-M 27
27
27
USBP1+
USBP0-
USBP0+
USBP0-
USBP0+
R258
R259
*0
*0
USB0-
USB0+
2
3 6 R321
R367 LCDID2 4 5
3 4
10M LCDID1 5 6 EIC 3802-04 255/F
Y6 U25B
7 8
CLK_32KX2 2 1 +3V HUB_VSWING 0.8V +/- 8%
+3V 8P4R-10K LCDID0 J20 F23 LCDID2
GPIO32 GPIO38

1
C608 32.768KHZ C603 LCDID1 G22 H22
GPIO33 GPIO39

1
FIR_PRESENT# F20 G23 GPIO40 R317 10K C544 R313
18 FIR_PRESENT# GPIO34 GPIO40
A 15P 15P FDD_PRESENT# G20 H21 GPIO41 R147 10K A
18 FDD_PRESENT#

2
T155 GPIO35 GPIO41 GPIO42 R312 10K .1U 162/F
F21 F22
USB

2
GPIO36 GPIO42 SM_EN#
C49
USB0~1: MB USB H20 GPIO37 GPIO43 E23
HUB_REF 0.35V +/- 8%
*.1U/16V/0402 R46 0 USB2: DAUGHTER/B USB USB0+ C20 USBP0P USBP1P A21 USB1+
USB0- D20 B21 USB1-
USB4: CABLE DOCK USBP0N USBP1N

1
USBOC0# B15 C14 USBOC1# C545 R320
OC0# OC1#
5

USB5: BLUE TIITH/ 27 USBP2+ C18 USBP2P USBP3P A19


SUSA# 2 D18 B19 .1U 127/F
27 USBP2-

2
USBP2N USBP3N
SUSB#
4 CLK_PWRDWN# 2 LCD T16
USBOC2# A15 OC2# OC3# B14 USBOC3#
1 C16 USBP4P USBP5P A17 T17
T18 D16 B17
USBP4N USBP5N T19
U8 USBOC4# A14 D14 USBOC5#
3

*7SH08 OC4# OC5# 3V_S5


2 CLK48_USB F19 CLK48 USBRBIAS
USBRBIAS#
A23
B23 USBRBIAS 12 mils R319 22.6/F RP6
USBOC2# 1 2
C163 *22P CLK48_USB1 R143 *22 HL0 L19 T20 HL6 USBOC4# 3 4
HL1 HI0 HI6 HL7 USBOC5#
L20 HI1 HI7 R20 5 6
HL2 M19 P23 HL8 USBOC3# 7 8
HL3 HI2 HI8 HL9
M21 HI3 HI9 L22
HL4 P19 N22 HL10 HL[0..10] 8P4R-10K
CLK66_ICH
5 HL[0..10]
HL5 R19
HI4
HI5
HUB LINK HI10
HI11 K21 HL11 R146 56
HL[0..10] 5
USBOC0#
USBOC1#
R117
R120
10K
10K
14M_ICH DNBSWON# CLK66_ICH T21 M23 HUB_REF
2 CLK66_ICH HI_CLK HI_REF
HLSTB P21 R22 HUB_VSWING
5 HLSTB HL_STB/HL_STBS HI_VSWING
Internal HLSTB# N20 R23 ICH_RCOMP R323 48.7/F C552 C553
5 HLSTB# HL_STB#/HLSTBF HUB_RCOMP +1.5V
R141 R139
*22 *22 pull-high LAD0/FWH0 T2 .01U/16V/0402
18,25 LAD0/FWH0 LAD0/FWH0
20K LAD1/FWH1 R4 U3 LPC_DRQ0# +3V
B

14M_ICH-1 CLK66_ICH-1
18,25
18,25
LAD1/FWH1
LAD2/FWH2
LAD2/FWH2
LAD3/FWH3
T4
LAD1/FWH1
LAD2/FWH2
LPC&FWH LDRQ0#
LDRQ1# U4 LPC_DRQ1#
LFRAME#/FWH4
LPC_DRQ0# 18,25
T10
.01U/16V/0402 B

U2 T5 R144 100K
18,25 LAD3/FWH3 LAD3/FWH3 LFRAME#/FWH4 LFRAME#/FWH4 18,25
FIR_PRESENT#
C162 C158 C13 Y6 VBIAS FDD_PRESENT# R130 10K
14,20 -CODEC_RST AC_RST# VBIAS
*22P *22P R366 33 AC_SYNC_1 C9 AC7 CLK_32KX1
14,20 SYNC1 AC_SYNC RTCX1
SDINA D13 AC6 CLK_32KX2 R145 *470
20 SDINA AC_SDIN0 RTCX2
SDINB A13 AB5 VCCRTC C85 .1U/16V/0402 R134 *470
14 SDINB
T15
AC_SDIN2
BITCLK
B13
AC_SDIN1
AC_SDIN2
AC97&RTC VCCRTC
RTCRST# W7 RTCRST#
AC_SDOR89 33
20 BITCLK B8 AC_BITCLK AC_SDOUT D9 SDOUT1 14,20 REV:R R144 from 10k change to 100k
REV:B change to EC control PCLK_SMB AC4 W6 R73 100K
2 PCLK_SMB SMBCLK INTRUDER# VCCRTC
C62 PDAT_SMB AB4 AA5 SMBALERT#
3V_S5 *.1U/16V/0402
2 PDAT_SMB SMBDATA SM SMBALERT#/GPIO11 T13

3V_S5 R I# SUSB#
17 RI# Y1 RI# SLP_S3# Y4 SUSB# 8,25
ICH_THRM# V1 Y2 SUSC#
3 ICH_THRM# THRM# SLP_S4# SUSC# 8,25
5

R65 PWROK AB6 AA2 SLP_S5#


VCC 6,25,28 PWROK PWROK SLP_S5# T123
*100K BATLOW# AB2 AA4
3
A Y
25 BATLOW#
25 DNBSWON#
DNBSWON# AA1
BATLOW#
PWRBTN#
PM SUSCLK SUSCLK 6
4 RSMRST# R57 0 RSMRST# AA6 Y3
B RSMRST_# 25 RSMRST# SYS_RESET# DBR# 3
-RSMRST 6 R138 56 W20 W18 SUSA#
ORGND +1.5V THRMTRIP# SLP_S1#/GPIO19 SUSA# 8
R374 10K
GND U12 R56
FROM EC 28 DPRSLPVR V20
T3
DPRSLPVR STP_PCI#/GPIO18 Y21
W19
STP_PCI# 2 3V_S5
T11 C3_STAT#/GPIO21 STP_CPU#/GPIO20 STP_CPU# 2,28
*NC7SZ58 R2 AB3 R380 10K
6 AGP_BUSY# SUS_STAT# 18
2

C64 100K AGPBUSY#/GPIO6 SUS_STAT#/LPCPD#


*.1U/16V/0402 R384 10K D20 SW1010C
+3V
14M_ICH J23 V5 SWI#_1 2 1
2 14M_ICH CLK14 GPIO12 SWI# 25
CRT_SENSE# SMLINK0 AC3 W3 SCI#_1 2 1
15 CRT_SENSE# SMLINK0 GPIO13 SCI# 25
C VCCRTC SMLINK1 AB1 C
SPK SMLINK1 R378 *10K D21 SW1010C
H23 V2
+3V
20
R53
SPK
*10K CRT_SENSE# R3
SPKR
GPIO7
MISC&GPIO GPIO25
GPIO27 W1 WP
T122
+3V
KBSMI# V4 W4
VCCRTC 25 25 KBSMI# GPIO8 GPIO28 T12
R48 10K
3V_S5
2 1 C77 Y23
3VPCU 3 CPUPWRGD CPUPWRGD +3V
1U/16V CPUPERF# Y20
D2 CH501H-40
T21
T22 J21
CPUPERF#/GPIO22
SSMUXSEL/GPIO23
SpeedStep
28 IMVPOK V19 VGATE/VRMPWRGD
D3
2 1 RTCRST# R118 100K
R80 200K Delay 18~25 ms +3V
1

CH501H-40 C12 A10 R379 R61 R54


C84 G2 EE_SHCLK LAN_RXD0 *10K *10K *10K
A8 A9
.1U/16V/0402 *SHORT_ PAD1 +3V R318 100K SM_EN# D11
EE_DOUT
EE_DIN
LAN LAN_RXD1
LAN_RXD2 A11
D10 B10 LPC_DRQ0#
2

3V_S5 EE_CS LAN_TXD0


LAN_TXD1 C10
A12 LPC_DRQ1#
R81 C83 R365 R64 4.7K SMLINK0 LAN_TXD2 R55 10K
LAN_RST# Y5
1K .047/0402 10M R381 4.7K SMLINK1 C11 LFRAME#/FWH4
R_3VRTC VBIAS CLK_32KX1 R45 10K SMBALERT# LAN_CLK +3V
LAN_RSTSYNC B11
R70 10K PCLK_SMB
R66 10K PDAT_SMB LAN_CLK-1R363 *100K
R96 5VPCU R382 10K R I# ICH4
3K R376 10K BATLOW#
3VRTC 1 3 RTC_N01
R71 100K PWROK
D
Q8
+3V
ICH4-M 2/3 (USB, HUB LINK, LPC&FWH, D
3904
2

R101 BITCLK AC97, SM, PM, MISC&GPIO, LAN)


1

4.7K ICH_THRM# R383 8.2K


BT2 SDINB
RTC-BAT RTC_N02
SDINA SPK R316 *8.2K
PROJECT : KT2
2

RTC R95 SDOUT1 R93 *8.2K Quanta Computer Inc.


15K R369 R359 R102
*10K *10K *10K Size Document Number R ev
Custom ICH4-M USB,HUB & LPC INTERFACE 1A
VCCP 3,4,5,7,8,10,30,33
Date: Friday, May 14, 2004 Sheet 9 of 33
1 2 3 4 5 6 7 8
1 2 3 4 5 6 7 8

D7
1 2 5VSUS
CT1
RB751V
D6
A V5REF_SUS 1 2 A
3V_S5 U25D

RB751V
C128 C596 R86 N21 C21
VSS000 VSS051
2 1 +5V N23 VSS001 VSS052 C23
+1.5V 1U_16V N5 C6
0.1U VSS002 VSS053
CC0805 1K P11 D1
C160 C73 VSS003 VSS054
P13 VSS004 VSS055 D12
D4
P20 VSS005 VSS056 D15
0.1U 0.01U U25C 1 2 +3V P22 VSS006 VSS057 D17
P3 VSS007 VSS058 D19
RB751V R18 VSS008 VSS059 D21
C71 C605 R21 D23
VCCP VSS009 VSS060
C22 VCCPLL V5REF_SUS E15 R5 VSS010 VSS061 D4
1U_16V T1 D8
0.1U VSS011 VSS062
C129 C135 C157 CC0805 T19 D22
VCC5REF VSS012 VSS063
V5REF1 E7 T23 VSS013 VSS064 E10
1U_10V 0.1U 0.01U AA23 V6 U20 E14
V_CPU_IO_0 V5REF2 VSS014 VSS065
P14 V_CPU_IO_1 V15 VSS015 VSS066 E16
U18 V_CPU_IO_2 +1.5V V17 VSS016 VSS067 E17
VCCHI_0 L23 V3 VSS017 VSS068 E18
M14 C125 C90 C120 W22 E19
B +3V VCCHI_1 VSS018 VSS069 B
E9 VCCLAN3_3_1 VCCHI_2 P18 W5 VSS019 VSS070 E21
F9 T22 0.1U 0.1U 1U_10V W8 E22
+1.5V VCCLAN3_3_0 VCCHI_3 1.5V_S5 VSS020 VSS071
F6 VCCLAN1_5_0 Y19 VSS021 VSS072 F8
F7 E12 Y7 G19
VCCLAN1_5_1 VCC VCCSUS1_5_0
VCCSUS1_5_1 E13 A1
VSS022
VSS023
VSS073
VSS074 G21
E20 A16 G3
+1.5V
VCCSUS1_5_2
VCCSUS1_5_3 F14 C88 C87 C113 A18
VSS024
VSS025
GND VSS075
VSS076 G6
K10 G18 C550 A20 H1
VCC1_5_0 VCCSUS1_5_4 10U/10V VSS026 VSS077
K12 VCC1_5_1 VCCSUS1_5_5 R6 0.1U 0.1U 0.1U A22 VSS027 VSS078 J6
K18 VCC1_5_2 VCCSUS1_5_6 T6 A4 VSS028 VSS079 K11
K22 U6 +3V AA12 K13
C546 C137 C109 C159 C145 VCC1_5_3 VCCSUS1_5_7 VSS029 VSS080
P10 VCC1_5_4 AA16 VSS030 VSS081 K19
T18 VCC1_5_5 VCC3_3_0 A5 AA22 VSS031 VSS082 K23
22U/CC1206
0.1U 0.1U 0.1U 0.1U U19 VCC1_5_6 VCC3_3_1 AC17 AA3 VSS032 VSS083 K3
V14 AC8 C91 C81 C108 C138 C600 AA9 L10
VCC1_5_7 VCC3_3_2 VSS033 VSS084
VCC3_3_3 B2 AB20 VSS034 VSS085 L11
VCC3_3_4 H18 0.1U 0.1U 0.1U 0.1U 22U/CC1206 AB7 VSS035 VSS086 L12
VCC3_3_5 H6 AC1 VSS036 VSS087 L13
3V_S5 E11 J1 AC10 L14
VCCSUS3_3_0 VCC3_3_6 VSS037 VSS088
F10 VCCSUS3_3_1 VCC3_3_7 J18 AC14 VSS038 VSS089 L21
F15 K6 +3V AC18 M1
VCCSUS3_3_2 VCC3_3_8 VSS039 VSS090
F16 VCCSUS3_3_3 VCC3_3_9 M10 AC23 VSS040 VSS091 M11
C C594 C107 C100 C123 C131 F17 P12 AC5 M12 C
VCCSUS3_3_4 VCC3_3_10 VSS041 VSS092
F18 VCCSUS3_3_5 VCC3_3_11 P6 B12 VSS042 VSS093 M13
22U/CC1206
0.1U 0.1U 0.1U 0.1U K14 U1 C52 C80 C115 C59 C105 B16 M20
VCCSUS3_3_6 VCC3_3_12 VSS043 VSS094
V7 VCCSUS3_3_7 VCC3_3_13 V10 B18 VSS044 VSS095 M22
V8 VCCSUS3_3_8 VCC3_3_14 V16 0.1U 0.1U 0.1U 0.1U 0.1U B20 VSS045 VSS096 N10
V9 VCCSUS3_3_9 VCC3_3_15 V18 B22 VSS046 VSS097 N11
B9 VSS047 VSS098 N12
C86 C82 C130 C101 C15 N13
VSS048 VSS099
ICH4 C17 VSS049 VSS100 N14
0.1U 0.1U 0.1U 0.1U C19 VSS050 VSS101 N19

ICH4

D D

PROJECT : KT2
Quanta Computer Inc.
Size Document Number Rev

ICH4-M 3/3 (PWR, GND) Custom

Date:
ICH4-M
Friday, May 14, 2004
(POWER&GND)
Sheet 10 of 33
1A

1 2 3 4 5 6 7 8
A B C D E

R_MD26 7 8 MD26

SM_B1 R_SM_DQS[0..7] CKE[0..3]


R_BA0
R_BA1
R212 10-0402 BA0
BA1
BA0 12
R_MD31
R_MD27
5 6 MD31
MD27
CT1
R208 10-0402 3 4
SM_B1 6,12 R_SM_DQS[0..7] 6 CKE[0..3] 6,12 BA1 12
R_MD30 1 2 MD30
R_MA[0..12] SM_B2 SM_DQS[0..7] SM_CS3#
R_MA[0..12] 6,12 SM_B2 6,12 SM_DQS[0..7] 12 SM_CS3# 6,12
12 MA8 MA8 1 2 R_MA8 RN24 8P4R-S-10
R_BA[0..1] SM_B4 SM_CS2# R_MA9 3 4 MA9 MA9 12 R_SM_DQS3 3 4 SM_DQS3
R_BA[0..1] 6,12 SM_B4 6,12 R_MD[0..63] SM_CS2# 6,12
R_MA12 5 6 MA12 M_DM3 1 2 DM3
R_MD[0..63] 6 MA12 12
SM_B5 SM_CS1# MA11 7 8 R_MA11
SM_B5 6,12 MD[0..63] SM_CS1# 6,12 12 MA11 RN23 4P2R-S-10
MD[0..63] 12
SM_CS0# RN26 8P4R-S-10 R_MD24 7 8 MD24
SM_CS0# 6,12
12 MA0 MA0 1 2 R_MA0 R_MD25 5 6 MD25
M_DM[0..7] 12 MA6 MA6 3 4 R_MA6 R_MD28 3 4 MD28
M_DM[0..7] 6
R_MA7 5 6 MA7 MA7 12 R_MD29 1 2 MD29
DM[0..7] R_MA3 7 8 MA3
4 DM[0..7] 12 MA3 12 4
RN20 8P4R-S-10
RN29 8P4R-S-10 R_MD18 7 8 MD18
SRASA# 1 2 R_SRASA# R_MD23 5 6 MD23
12 SRASA# R_SRASA# 6,12
USE 8P4R-0402 package SCASA# 3 4 R_SCASA# R_MD22 3 4 MD22
12 SCASA# R_SCASA# 6,12
R_BMWEA# 5 6 BMWEA# R_MD19 1 2 MD19
6,12 R_BMWEA# BMWEA# 12
R_MA10 7 8 MA10
SMDDR_VTERM MA10 12
RN18 8P4R-S-10
RN32 8P4R-S-10 R_SM_DQS2 3 4 SM_DQS2
M_DM2 1 2 DM2
SMDDR_VTERM 30,33
R_MD54 7 8 MD54
RN55 8P4R-S-56 RN54 8P4R-S-56 RN46 8P4R-S-56 R_MD51 5 6 MD51 RN16 4P2R-S-10
MD61 1 2 1 2 MD63 1 2 MD49 R_MD55 3 4 MD55 R_MD20 7 8 MD20
MD62 3 4 3 4 MD58 3 4 MD48 R_MD50 1 2 MD50 R_MD16 5 6 MD16
SM_DQS7 5 6 5 6 DM7 5 6 MD43 R_MD17 3 4 MD17
MD59 7 8 7 8 MD57 7 8 MD46 RN52 8P4R-S-10 R_MD21 1 2 MD21
R_SM_DQS6 3 4 SM_DQS6
RN50 8P4R-S-56 RN51 8P4R-S-56 RN31 8P4R-S-56 M_DM6 1 2 DM6 RN14 8P4R-S-10
MD56 1 2 1 2 MD60 1 2 R_MA2 R_MD10 7 8 MD10
MD51 3 4 3 4 MD54 3 4 R_MA4 RN49 4P2R-S-10 R_MD14 5 6 MD14
MD55 5 6 5 6 MD50 5 6 R_MD53 7 8 MD53 R_MD15 3 4 MD15
SM_DQS6 7 8 7 8 DM6 7 8 CKE0 R_MD52 5 6 MD52 R_MD11 1 2 MD11
R_MD48 3 4 MD48
RN45 8P4R-S-56 RN41 8P4R-S-56 R_MD49 1 2 MD49 RN12 8P4R-S-10
MD53 1 2 1 2 DM5 R_SM_DQS1 3 4 SM_DQS1
MD52 3 4 3 4 MD40 RN48 8P4R-S-10 M_DM1 1 2 DM1
MD47 5 6 5 6 MD39 R_MD46 7 8 MD46
MD42 7 8 7 8 MD45 R_MD42 5 6 MD42 RN10 4P2R-S-10
R_MD47 3 4 MD47 R_MD9 7 8 MD9
RN42 8P4R-S-56 RN39 8P4R-S-56 R_MD43 1 2 MD43 R_MD13 5 6 MD13
SM_DQS5 1 2 1 2 MD34 R_MD12 3 4 MD12
MD44 3 4 3 4 DM4 RN47 8P4R-S-10 R_MD8 1 2 MD8
MD41 5 6 5 6 MD33 R_SM_DQS5 3 4 SM_DQS5
3 MD35 MD37 M_DM5 DM5 RN9 8P4R-S-10 3
7 8 7 8 1 2

RN38 8P4R-S-56 RN25


8P4R-S-56 RN44 4P2R-S-10 R_MD3 7 8 MD3
MD38 1 2 1 2 R_MD45 7 8 MD45 R_MD2 5 6 MD2
SM_DQS4 3 4 3 4 R_MA11 R_MD44 5 6 MD44 R_MD7 3 4 MD7
MD32 5 6 5 6 CKE1 R_MD41 3 4 MD41 R_MD6 1 2 MD6
MD36 7 8 7 8 R_MD40 1 2 MD40
T64 RN6 8P4R-S-10
RN34 8P4R-S-56 RN27 8P4R-S-56 RN43 8P4R-S-10 R_SM_DQS0 3 4 SM_DQS0
R_MA10 1 2 1 2 CKE2 M_DM0 1 2 DM0
R_BMWEA# 3 4 3 4 R_MA8 Place Rterms close to second DIMM
R_BA1 5 6 5 6 R_MA6 RN5 4P2R-S-10
R_BA0 7 8 7 8 R_MA0 R_MD4 7 8 MD4
R_MD5 5 6 MD5
RN28 8P4R-S-56 RN35 8P4R-S-56 R_MD1 3 4 MD1
R_MA12 1 2 1 2 SM_B4 R_MD0 1 2 MD0
R_MA9 3 4 3 4 SM_B2
R_MA3 5 6 5 6 R_SRASA# RN2 8P4R-S-10
R_MA7 7 8 7 8 R_SCASA#
R_MD34 7 8 MD34
R213 56-0402 R_MD39 5 6 MD39
SM_CS3# R_MD35 3 4 MD35
SM_CS2# R209 56-0402 RN33 4P2R-S-56 R_MD38 1 2 MD38
1 2 R_MA1
3 4 R_MA5 RN40 8P4R-S-10
SMDDR_VTERM SMDDR_VTERM SMDDR_VTERM R_SM_DQS4 3 4 SM_DQS4
RN21 8P4R-S-56 RN22 8P4R-S-56 M_DM4 1 2 DM4
MD27 1 2 1 2 MD30
MD31 3 4 3 4 MD26 RN37 4P2R-S-10
SM_DQS3 5 6 5 6 DM3 R_MD37 7 8 MD37
MD25 7 8 7 8 MD29 C253 C210 C297 C219 C230 C251 C246 C286 C357 C294 C300 C304 C307 C328 C342 C336 C231 C359 C352 C344 C334 C330 C320 C310 C301 C290 C285 C270 C262 C256 R_MD32 5 6 MD32
R_MD36 3 4 MD36
2 RN17 8P4R-S-56 RN19 8P4R-S-56 .1U_0402 .1U_0402
.1U_0402 .1U_0402 .1U_0402 .1U_0402 .1U_0402 .1U_0402 .1U_0402 .1U_0402 .01U_0402 .01U_0402 .01U_0402 .01U_0402 .01U_0402 R_MD33 MD33 2
1 2
MD28 1 2 1 2 MD24 .1U_0402 .1U_0402 .1U_0402 .1U_0402 .1U_0402 .1U_0402 .1U_0402 .1U_0402 .1U_0402 .1U_0402 .01U_0402 .01U_0402 .01U_0402 .01U_0402 .01U_0402
MD23 3 4 3 4 MD19 RN36 8P4R-S-10
MD22 5 6 5 6 MD18
SM_DQS2 7 8 7 8 DM2
SMDDR_VTERM SMDDR_VTERM 50 PCS R_MD62 7 8 MD62
RN11 8P4R-S-56 RN8 8P4R-S-56 R_MD59 5 6 MD59
MD17 1 2 1 2 DM1 R_MD58 3 4 MD58
MD16 3 4 3 4 MD8 R_MD63 1 2 MD63
MD14 5 6 5 6 MD12
MD10 7 8 7 8 MD6 C247 C226 C216 C351 C211 C220 C239 C245 C248 C305 C279 C288 C289 C291 C309 C327 C331 C343 C345 C278 + RN57 8P4R-S-10
C531 R_SM_DQS7 3 4 SM_DQS7
RN7 8P4R-S-56 RN4 8P4R-S-56 .1U_0402 .1U_0402 .1U_0402 .1U_0402 .1U_0402 .1U_0402 .1U_0402 .1U_0402 .1U_0402 .1U_0402 150U/6.3V M_DM7 1 2 DM7
SM_DQS1 1 2 1 2 MD3 .1U_0402 .1U_0402 .1U_0402 .1U_0402 .1U_0402 .1U_0402 .1U_0402 .1U_0402 .1U_0402 .1U_0402
MD9 3 4 3 4 DM0 RN56 4P2R-S-10
MD13 5 6 5 6 MD0 R_MD61 7 8 MD61
MD2 7 8 7 8 MD4 R_MD56 5 6 MD56
R_MD60 3 4 MD60
RN3 8P4R-S-56 R_MD57 1 2 MD57
MD7 1 2 R207 56-0402SM_CS0#
SM_DQS0 3 4 R210 56-0402SM_CS1# RN53 8P4R-S-10
MD1 5 6
MD5 7 8

RN30 8P4R-S-56 RN15 8P4R-S-56


CKE3 1 2 1 2 MD21
SM_B5 3 4 3 4 MD20
SM_B1 5 6 5 6 MD15
Z0801 7 8 7 8 MD11

T65

1 1

PROJECT : KT2
Quanta Computer Inc.
Size Document Number R ev
Custom DDR I/F 1A

Date: Friday, May 14, 2004 Sheet 11 of 33


A B C D E
1 2 3 4 5 6 7 8

2.5VSUS 2.5VSUS 2.5VSUS 2.5VSUS


6,7,24,30,32,33 2.5VSUS
2.5VSUS
SMDDR_VREF
2.5VSUS 0.1U cap per power pin. Place each capclose to pin.
33pin per socket (11*6).
CT1
6,33 SMDDR_VREF
SMDDR_VREF SMDDR_VREF SMDDR_VREF SMDDR_VREF
SODIMM0 SODIMM1
CN24 CN23
C209 C208 C225 C224 C238 C237 C257 C258 C266 C267
1 VREF VREF 2 1 VREF VREF 2 SMDDR_VREF 6,33 .1U_0402.1U_0402.1U_0402.1U_0402.1U_0402.1U_0402.1U_0402.1U_0402.1U_0402.1U_0402
3 VSS VSS 4 3 VSS VSS 4
MD5 5 6 MD4 MD5 5 6 MD4
MD1 DQ0 DQ4 MD0 MD1 DQ0 DQ4 MD0
7 DQ1 DQ5 8 7 DQ1 DQ5 8
9 10 9 10 2.5VSUS
SM_DQS0 VDD VDD DM0 SM_DQS0 VDD VDD DM0
11 DQS0 DM0 12 11 DQS0 DM0 12
A MD7 13 14 MD3 MD7 13 14 MD3 A
DQ2 DQ6 DQ2 DQ6
15 VSS VSS 16 15 VSS VSS 16
MD2 17 18 MD6 MD2 17 18 MD6
MD13 DQ3 DQ7 MD12 MD13 DQ3 DQ7 MD12
19 DQ8 DQ12 20 19 DQ8 DQ12 20 C277 C276 C303 C318 C319 C325 C326 C335
21 VDD VDD 22 21 VDD VDD 22 .1U_0402.1U_0402.1U_0402.1U_0402.1U_0402.1U_0402.1U_0402.1U_0402
MD9 23 24 MD8 MD9 23 24 MD8
SM_DQS1 DQ9 DQ13 DM1 SM_DQS1 DQ9 DQ13 DM1
25 DQS1 DM1 26 25 DQS1 DM1 26
27 VSS VSS 28 27 VSS VSS 28 2.5VSUS
MD10 29 30 MD15 MD10 29 30 MD15
MD14 DQ10 DQ14 MD11 MD14 DQ10 DQ14 MD11
31 DQ11 DQ15 32 31 DQ11 DQ15 32
33 VDD VDD 34 33 VDD VDD 34
6 CLK_SDRAM0 35 CK0 VDD 36 6 CLK_SDRAM3 35 CK0 VDD 36
6 CLK_SDRAM0# 37 CK0 VSS 38 6 CLK_SDRAM3# 37 CK0 VSS 38
39 VSS VSS 40 39 VSS VSS 40 C215 C263 C222 C232 C233 C355 C356 C255
.1U_0402.1U_0402.1U_0402.1U_0402.1U_0402.1U_0402.1U_0402.1U_0402
MD16 41 42 MD20 MD16 41 42 MD20
MD17 DQ16 DQ20 MD21 MD17 DQ16 DQ20 MD21
43 DQ17 DQ21 44 43 DQ17 DQ21 44
45 VDD VDD 46 45 VDD VDD 46 2.5VSUS
SM_DQS2 47 48 DM2 SM_DQS2 47 48 DM2
MD22 DQS2 DM2 MD18 MD22 DQS2 DM2 MD18
49 DQ18 DQ22 50 49 DQ18 DQ22 50
51 VSS VSS 52 51 VSS VSS 52
MD23 53 54 MD19 MD23 53 54 MD19
MD28 DQ19 DQ23 MD24 MD28 DQ19 DQ23 MD24
55 DQ24 DQ28 56 55 DQ24 DQ28 56
57 VDD VDD 58 57 VDD VDD 58 C316 C324 C348 C353 C347 C340 C315 C269 C323
MD25 59 60 MD29 MD25 59 60 MD29
DQ25 DQ29 DQ25 DQ29 .1U_0402.1U_0402.1U_0402.1U_0402.1U_0402.1U_0402.1U_0402.1U_0402.1U_0402
SM_DQS3 61 62 DM3 SM_DQS3 61 62 DM3
DQS3 DM3 DQS3 DM3
63 VSS VSS 64 63 VSS VSS 64
MD31 65 66 MD26 MD31 65 66 MD26 2.5VSUS
MD27 DQ26 DQ30 MD30 MD27 DQ26 DQ30 MD30
67 DQ27 DQ31 68 67 DQ27 DQ31 68
B 69 70 69 70 B
VDD VDD VDD VDD
71 CB0 CB4 72 71 CB0 CB4 72
73 CB1 CB5 74 73 CB1 CB5 74
75 VSS VSS 76 75 VSS VSS 76
SM_DQS8 77 78 SM_DQS8 77 78
DQS8 DM8 DQS8 DM8 C333 C217 C228 C260 C354 C341 C350 C358 C223
79 CB2 CB6 80 79 CB2 CB6 80 .1U_0402.1U_0402.1U_0402.1U_0402.1U_0402.1U_0402.1U_0402.1U_0402.1U_0402
81 VDD VDD 82 81 VDD VDD 82
83 CB3 CB7 84 83 CB3 CB7 84
85 DU DU/RESET 86 85 DU DU/RESET 86 2.5VSUS
87 VSS VSS 88 87 VSS VSS 88
T63 89 CK2 VSS 90 T74 89 CK2 VSS 90
T62 91 CK2 VDD 92 T73 91 CK2 VDD 92
93 VDD VDD 94 93 VDD VDD 94
CKE1 95 96 CKE0 CKE3 95 96 CKE2
6,11 CKE1 CKE1 CKE0 CKE0 6,11 6,11 CKE3 CKE1 CKE0 CKE2 6,11
97 DU/A13 DU/BA2 98 97 DU/A13 DU/BA2 98 C282 C287 C292 C299 C302 C312 C321 C332 C338 C346 C349
MA12 99 100 MA11 R_MA12 99 100 R_MA11
11 MA12 A12 A11 MA11 11 A12 A11 .1U_0402.1U_0402.1U_0402.1U_0402.1U_0402.1U_0402.1U_0402.1U_0402.1U_0402.1U_0402.1U_0402
PC2100 DDR SDRAM SO-DIMM (200P)

PC2100 DDR SDRAM SO-DIMM (200P)


MA9 101 102 MA8 R_MA9 101 102 R_MA8
11 MA9 A9 A8 MA8 11 A9 A8
103 VSS VSS 104 103 VSS VSS 104
MA7 105 106 MA6 R_MA7 105 106 R_MA6
11 MA7 A7 A6 MA6 11 A7 A6
R_MA5 107 108 R_MA4 SM_B5 107 108 SM_B4
A5 A4 6,11 SM_B5 A5 A4 SM_B4 6,11
MA3 109 110 R_MA2 R_MA3 109 110 SM_B2
11 MA3 A3 A2 A3 A2 SM_B2 6,11 2.5VSUS
R_MA1 111 112 MA0 SM_B1 111 112 R_MA0
A1 A0 MA0 11 6,11 SM_B1 A1 A0
113 VDD VDD 114 113 VDD VDD 114
MA10 115 116 BA1 R_MA10 115 116 R_BA1
11 MA10 A10/AP BA1 BA1 11 A10/AP BA1
BA0 117 118 SRASA# R_BA0 117 118 R_SRASA#
11 BA0 BA0 RAS SRASA# 11 BA0 RAS R_SRASA# 6,11
BMWEA# 119 120 SCASA# R_BMWEA# 119 120 R_SCASA# +
11 BMWEA# WE CAS SCASA# 11 6,11 R_BMWEA# WE CAS R_SCASA# 6,11
SM_CS0# 121 122 SM_CS1# SM_CS2# 121 122 SM_CS3# C418
6,11 SM_CS0# S0 S1 SM_CS1# 6,11 6,11 SM_CS2# S0 S1 SM_CS3# 6,11
123 124 123 124 100U/10V
DU DU DU DU
125 VSS VSS 126 125 VSS VSS 126
C MD36 MD37 MD36 MD37 C
127 DQ32 DQ36 128 127 DQ32 DQ36 128
MD32 129 130 MD33 MD32 129 130 MD33
DQ33 DQ37 DQ33 DQ37
131 VDD VDD 132 131 VDD VDD 132
SM_DQS4 133 134 DM4 SM_DQS4 133 134 DM4 SMDDR_VREF
MD38 DQS4 DM4 MD34 MD38 DQS4 DM4 MD34
135 DQ34 DQ38 136 135 DQ34 DQ38 136
137 VSS VSS 138 137 VSS VSS 138
MD35 139 140 MD39 MD35 139 140 MD39
MD44 DQ35 DQ39 MD45 MD44 DQ35 DQ39 MD45
141 DQ40 DQ44 142 141 DQ40 DQ44 142
143 144 143 144 C191 C362 C360 C361
MD41 VDD VDD MD40 MD41 VDD VDD MD40 .1U_0402 .1U_0402 .1U_0402 .1U_0402
145 DQ41 DQ45 146 145 DQ41 DQ45 146
SM_DQS5 147 148 DM5 SM_DQS5 147 148 DM5
DQS5 DM5 DQS5 DM5
149 VSS VSS 150 149 VSS VSS 150
MD42 151 152 MD46 MD42 151 152 MD46
MD47 DQ42 DQ46 MD43 MD47 DQ42 DQ46 MD43 DM[0..7]
153 DQ43 DQ47 154 153 DQ43 DQ47 154 DM[0..7] 11
155 VDD VDD 156 155 VDD VDD 156
157 158 157 158 MD[0..63]
VDD CK1 CLK_SDRAM1# 6 VDD CK1 CLK_SDRAM4# 6 MD[0..63] 11
159 VSS CK1 160 CLK_SDRAM1 6 159 VSS CK1 160 CLK_SDRAM4 6
161 VSS VSS 162 161 VSS VSS 162
MD53 163 164 MD48 MD53 163 164 MD48
MD52 DQ48 DQ52 MD49 MD52 DQ48 DQ52 MD49 SM_DQS[0..7]
165 DQ49 DQ53 166 165 DQ49 DQ53 166 SM_DQS[0..7] 11
167 VDD VDD 168 167 VDD VDD 168
SM_DQS6 169 170 DM6 SM_DQS6 169 170 DM6
MD55 DQS6 DM6 MD50 MD55 DQS6 DM6 MD50
171 DQ50 DQ54 172 171 DQ50 DQ54 172
173 174 173 174 MA[0..12]
VSS VSS VSS VSS MA[0..12] 11
MD51 175 176 MD54 MD51 175 176 MD54
MD56 DQ51 DQ55 MD60 MD56 DQ51 DQ55 MD60 R_MA[0..12]
177 DQ56 DQ60 178 177 DQ56 DQ60 178 R_MA[0..12] 6,11
179 VDD VDD 180 179 VDD VDD 180
MD61 181 182 MD57 MD61 181 182 MD57
SM_DQS7 DQ57 DQ61 DM7 SM_DQS7 DQ57 DQ61 DM7
D 183 DQS7 DM7 184 183 DQS7 DM7 184 D
185 186 185 186 R_BA[0..1]
VSS VSS VSS VSS R_BA[0..1] 6,11
MD59 187 188 MD58 MD59 187 188 MD58
MD62 DQ58 DQ62 MD63 MD62 DQ58 DQ62 MD63
189 DQ59 DQ63 190 189 DQ59 DQ63 190
191 VDD VDD 192 191 VDD VDD 192
CGDAT_SMB CGDAT_SMB
CGCLK_SMB
193
195
SDA SA0 194
196
2 CGDAT_SMB
CGCLK_SMB
193
195
SDA SA0 194
196
+3V PROJECT : KT2
SCL SA1 2 CGCLK_SMB SCL SA1
197 198 197 198
+3V
R163 *10K Z0901 199
VDD(SPD)
VDD(ID)
SA2
DU 200 amp-ddr-sodimm-200p
+3V
Z0902 199
VDD(SPD)
VDD(ID)
SA2
DU 200 Quanta Computer Inc.
R164 *10K amp-ddr-sodimm-r-200p
AMP-DDR_SODIMM AMP-DDR_SODIMM(REVERSE)
Size Document Number R ev
SMbus address A0 CLOCK 0,1 SMbus address A1 CLOCK 3,4 A3 1A
12---DDR SO-DIMM(200P)
CKE 0,1 CKE 2,3 Date: Friday, May 14, 2004 Sheet 12 of 33
1 2 3 4 5 6 7 8
5 4 3 2 1

OA8

D D

R99
DVOCFLDSTL 6
*0
+3V S-YD1 15
S-CD1 15
C103 S-CVBS1 15
R87 4.7K TV_CLK D VDD3V
+3V
*27PF L33
FBM2125

1
C602
R364 4.7K TV_DAT U26 C599 C119 C592 + C588

21
22
24
25
27
28
30
31
6 DVOCD[0..11]
0.1U T10U/10V
*27PF DVOCD11 50 9 0.1U 0.1U

TDC0*
TDC0
TDC1*
TDC1
TDC2*
TDC2

TLC*
TLC

2
DVOCD10 D11 HPDET
51 D10
DV OCD9 52 47 R83
DV OCD8 D9 BCO
53 D8
DV OCD7 54 48 75
DV OCD6 D7 C/H Sync
DV OCD5
55
58
D6 CH7011 36 CVBSREF
D5 CVBS +1.5V
DV OCD4
DV OCD3
59
60
D4 W/S-DTV 37
DV OCD2 D3 Y/G
61 D2
DV OCD1 62 38 C116
DV OCD0 D1 C/R/V 0.1U
63 D0
CVBS/B/U 39
6 DVOCCLK# 56 XCLK*
+1.5V 57 1 R103
6 DVOCCLK XCLK DVDD0
12 56_0805
R104 DVDD1
6 DVOBLANK# 2 DE DVDD2 49 1 2 +3V
0
C Q7 46 6 C
6 DVOBCLKINT Pout/DET* DGND0
2

FDV301N 11
DGND1

1
6 DVOCHSYNC 4 H DGND2 64
1 3 5 C79 C110 + C117
6 MI2C_DATA 6 DVOCVSYNC V
DVDDV 45
13 R77 0.1U 0.1U T10U/10V
6,8,14,16,17,18,19,23,25,26 PCIRST#

2
RESET*
2

Q6 23 2 0 1
TVDD0 +3V
FDV301N TV_DAT 14 29
TV_CLK SPD TVDD1 C78
6 MI2C_CLK 1 3 15 SPC TGND0 20
26 0.1U B TEST ADD
GPIO1 TGND1 L3
7 GPIO1 TGND2 32
R358 GPIO0 FBM2125
6 DVOBINTRB#
0
8 GPIO0
18 RAVDD3V
20 MIL 20 mils
+3V AVDD0 +3V
AS 10 44
AS AVDD1
2

AGND0 16

1
R82 R88 ISET 35 17 C604
*10K *10K ISET AGND1 + C89
AGND2 41
R78 TV_VSWING 19 33 VDD3V 0.1U
2.2K VSWING VDD T10U/10V
34
1

2
GND0

XI/FIN
Place close to R76 3 40
140/F VREF GND1

XO
Pin35

42

43
LQFP64S

R362 R90 C597 C112 C102 TVXI TVXO


330 330 0.1U *0.1U *0.1U +1.5V R100 1K R94 1K
Y5
6 VREF

1
C124 0.1U

1
C601 *14.318MHZ C598
*20P

2
*20P

2
20P
R361 0 20P (+-20PPM)
B AS: Address set to 0X76 2 14M_TV B

GPIO0:0:NTSC (DEAFULT)
1: PAL FOOTPRINT

A A

PROJECT : KT2
Quanta Computer Inc.
Size Document Number Rev
C 13---TV ENCODER (CH7011) 1A

Date: Friday, May 14, 2004 Sheet 13 of 33


5 4 3 2 1
5 4 3 2 1

RF _LINK

2
Q32
DTC144EUA

1 3 -WIRELESS_LED -WIRELESS_LED 18 +3V

CN25
D D
1 TIP RING 2
R368 3 4 +3V

D18
10K 5
7
9
LAN1
LAN3
LAN5
LAN7
LAN2
LAN4
LAN6
LAN8
6
8
10
MINI PCI TYPE III SLOT
RF _LINK 11 12
LED_GP LED_YP
25 RF_OFF# 1 2 13 LED_GN LED_YN 14
SW1010C 15 16
NC1 NC2
8 INTD# 17 -INTB +5V 18 +5V
19 +3V -INTA 20 INTC# 8
21 R(IRQ3) R(IRQ4) 22
23 GND +3VAUX 24 3VSUS
2 PCLK_MINI 25 PCICLK -RST 26 PCIRST# 6,8,13,16,17,18,19,23,25,26
27 GND +3V 28

2
8 REQ1# 29 -REQ -GNT 30 GNT1# 8
R371 31 32
+3V GND
*33 8,16,17,19,23 AD31 33 AD31 -PME 34 PCI_PME# 8,16,17,23
35 36 T8
8,16,17,19,23 AD29 AD29 (V)
37 38 AD30 8,16,17,19,23

1
GND AD30
rev.d 8,16,17,19,23 AD27 39 AD27 +3V 40
8,16,17,19,23 AD25 41 AD25 AD28 42 AD28 8,16,17,19,23
C607 43 44
T7 (V) AD26 AD26 8,16,17,19,23
*18P 8,16,17,19,23 C/BE3# 45 -CBE3 AD24 46 AD24 8,16,17,19,23
47 48 R44 100 AD22
8,16,17,19,23 AD23 AD23 IDSEL
49 GND GND 50
51 52 AD22
8,16,17,19,23 AD21 AD21 AD22 AD22 8,16,17,19,23
8,16,17,19,23 AD19 53 AD19 AD20 54 AD20 8,16,17,19,23
55 GND PAR 56 PAR 8,16,17,19,23
8,16,17,19,23 AD17 57 AD17 AD18 58 AD18 8,16,17,19,23
8,16,17,19,23 C/BE2# 59 -CBE2 AD16 60 AD16 8,16,17,19,23
8,16,17,19,23 IRDY# 61 -IRDY GND 62
63 +3V -FRAME 64 FRAME# 8,16,17,19,23
65 -CLKRUN -TRDY 66 TRDY# 8,16,17,19,23
8,16,17,19,23 SERR# 67 -SERR -STOP 68 STOP# 8,16,17,19,23
69 GND +3V 70
8,16,17,19,23 PERR# 71 -PERR -DEVSEL 72 DEVSEL# 8,16,17,19,23
8,16,17,19,23 C/BE1# 73 -CBE1 GND 74
C
8,16,17,19,23 AD14 75 AD14 AD15 76 AD15 8,16,17,19,23 C
77 GND AD13 78 AD13 8,16,17,19,23
8,16,17,19,23 AD12 79 AD12 AD11 80 AD11 8,16,17,19,23
8,16,17,19,23 AD10 81 AD10 GND 82
83 GND AD9 84 AD9 8,16,17,19,23
8,16,17,19,23 AD8 85 AD8 -CBE0 86 C/BE0# 8,16,17,19,23
8,16,17,19,23 AD7 87 AD7 +3V 88
+3V 89 90 +3V
+3V AD6 AD6 8,16,17,19,23
8,16,17,19,23 AD5 91 AD5 AD4 92 AD4 8,16,17,19,23
93 (V) AD2 94 AD2 8,16,17,19,23
8,16,17,19,23 AD3 95 AD3 AD0 96 AD0 8,16,17,19,23
97 98 R25
R19 +5V +5V (V)
8,16,17,19,23 AD1 99 AD1 SERIRQ 100 SERIRQ 8,16,17,18,25 *10K
*10K 101 GND GND 102
9,20 SYNC1 103 SYNC M66EN 104
R27 33 SD IN1 105 106 SDOUT1 9,20
9 SDINB SDIN0 SDOUT
107 BITCLK SDIN1 108
109 -AC_PRIMARY -RESET 110
111 112 -CODEC_RST 9,20
BEEP -MPCICACK
113 AGND AGND 114
115 116 R24
R407 SD IN1 +MIC +SPK
117 -MIC -SPK 118 1K
*10K 119 AGND AGND 120
121 122 R16 2 1 10K
-RI NC4
2

+5V 123 +5VA +3VAUX 124 3VSUS


R26

GND

GND
10K
1

125

126
MINIPCI_TYPE_III

rev.d
+5V +5V +5V

C75 C648 C16


B B
.1U/16V/0402 .1U/16V/0402 .1U/16V/0402

rev.d

A A

PROJECT : KT2
Quanta Computer Inc.
Size Document Number Rev
C 14---MINIPCI 1A

Date: Friday, May 14, 2004 Sheet 14 of 33


5 4 3 2 1
5 4 3 2 1

KT7
LCD INTERFACE 3VSUS
S-VEDIO CONNECTOR
R356
10K
L30
CN6 FBMH2016HM251 D15
TXUCLKOUT- LCD VCC2 1 2 R344 1K BLON 2 1 R355 1K
6 TXUCLKOUT- 20 40 LCDVCC 6 BKLON MXLID#_ICH4 25 13 S-YD1
TXUCLKOUT+
6 TXUCLKOUT+ 19 39 CN17

5
L32 SW1010C L20 L18
TXUOUT2- 18 38 PBY201209T-4A C595 S-CD1 TV-CHROMA TV-LUMA S- YD1
6 4

5
6 TXUOUT2- 17 37 13 S-CD1 6 4
TXUOUT2+ .1U/16V/0402 1.8UH 1.8UH
6 TXUOUT2+ 16 36 LCDVIN
D
TXUOUT0- 15 35 C391 C390 C386 C387 R241 D
6 TXUOUT0- 14 34
TXUOUT0+ +3V R243 9 8
6 TXUOUT0+ 13 33 82P 82P 9 8 82P 82P
+5V 75 75
TXUOUT1- 12 32 VA DJ
6 TXUOUT1- 11 31 VADJ 25

3
TXUOUT1+ BLON
6 TXUOUT1+ 10 30 LID# 27
LCDI D0 Q30
9 29 LCDID0 9 DTC144EUA
TXLOUT1- LCDI D1 2 3
6 TXLOUT1- 8 28 LCDID1 9 8 FPBACK# 3 1

2
TXLOUT1+ LCDI D2
6 TXLOUT1+ 7 27 LCDID2 9

2
TXLOUT2- 6 26 TXLCLKOUT+
6 TXLOUT2- TXLCLKOUT+ 6 13 S-CVBS1

1
TXLOUT2+ 5 25 TXLCLKOUT- L19
6 TXLOUT2+ 4 24 TXLCLKOUT- 6
TV-COMP S-CVBS1
TXLOUT0- 3 23 EDIDDATA 1.8UH
6 TXLOUT0- 2 22 EDIDDATA 6
TXLOUT0+ ED IDCLK C388 C389 R242
6 TXLOUT0+ 1 21 EDIDCLK 6
S-VIDEO(35138S-07T1)
82P 82P 75
ACS_88324-4000

+3V

R304 10K D13


LCDI D2 EDIDDATA VA DJ +3V
LCDI D1 ED IDCLK BLON 1
LCDI D0 TV-LUMA
3
Q22
3 G S 4 +3V 2
C578 C580 C586 C121 C122 C577 C569 R308
220P 220P 220P 100P 100P .1U/16V .1U/16V 2 5 *DA204U
D2 D4

3
10K 1 6 LCDVCC
D1 D3 D11
2 SI3443DV
24mil
6 DISP_ON 1
Q14 TV-CHROMA
DTC144EU 3
C539 C543

1
10U/10V 0.1U 2
C C
*DA204U
D12
1
TV-COMP
3

R330 100K 2
+3V
*DA204U

Q26 +3V LCDVIN LCDVCC


3 4 VIN
R311 G S
2 D2 D4 5
3

1
10K 1 D1 D3 6
30mil

1
2 SI3443DV C557 C565 C564 C527 C547
LCDVIN .1U/16V/0402 10U/25V .1U_0603_25V 1U .01U

2
Q25
DTC144EU
1

REV:B from SMT change to DIP


CRT PORT +5V_CRT2
C156 .1U_0603_25V

+3V FAN CONTROL


F2
FUSE1A6V_POLY
+5V 2 1 2 1
20 MIL +5V_CRT2 20 MIL
B B
16

D8 CH501H-40 R357
10K +5V
REV:B pin1 and pin3 spwap
R116
6 CN5
CRT_R L5 BK1608HM121 CRT_R1 1 11 CRT_SENSE# +5VFAN
6 CRT_R CRT_SENSE# 9
7 85204-0300
CRT_G L7 BK1608HM121 CRT_G1 2 12 4.7K
6 CRT_G

1
8

3
2
1
CRT_B L8 BK1608HM121 CRT_B1 3 13
6 CRT_B R111
+5V T120 9

3
2
1
4 14 2 Q11
R98 R109 R129 10 +3V IRLML5103
AHCT1G125DCH C154 C143 C132 5 15 D5 1K

3
C593 .1U_0603_25V 15p 15p 15p
75 75 75 Q9
30 MIL

3
1
5

2 DTC144EUA F AN_PWR1 +3V


17

U23 CRT_R1 25 VFAN_1


3

1
2 4 CN3 + C155
6 VSYNC

1
FOX-DZ11A9-WL7 2 R119
DZ11A9-WL7-15P T10U/10V 10K
DA204U

2
VSYN C1 D16
R322 0 CR TDDCCLK
1 FANSIG 25
R335 39 CRT VSYNC
R345 39 CRTHSY NC CRT_G1
3
5

C148
U24 100P
R353 0 CRTDDCDAT 2
2 4 HSY NC1 DA204U
6 HSYNC
D14

AHCT1G125DCH Q24 2N7002E C562 C572 C581 C587 1


DDCCLK 1 3 CRT_B1
6 DDCCLK 22P 33P 33P 22P 3
R309 2.2K
2
A A
2

DA204U
R360 2.2K
2

+3V
D DCDAT 1 3
6 DDCDAT
Q31 2N7002E

R324 R354

2.2K 2.2K PROJECT : KT2


Quanta Computer Inc.
Size Document Number Rev
C 15---LCD, CRT, S-VIDEO, FAN 1A
+5V
Date: Friday, May 14, 2004 Sheet 15 of 33
5 4 3 2 1
5 4 3 2 1

PCLK_PCMCIA R397 PCLK_1520


2,17 PCLK_PCMCIA
0
R9022 FOR 1520 INSTALL
PLACEMENT CLOSE TO 1410
+3V +3V
8,17 GNT0#
GNT0#
REQ0#
TPSDATA
TPSCLOCK
TPSDATA 17 40 MILS 40 MILS
8,17 REQ0# TPSCLOCK 17
C/BE3# TPSLATCH
8,14,17,19,23 C/BE3# TPSLATCH 17
C/BE2#
D 8,14,17,19,23 C/BE2# PCMSPK 17,20 D
C/BE1# C613 C617 C634 C612 C611 C620 C633 C647 C637 C616
8,14,17,19,23 C/BE1#
C/BE0#
8,14,17,19,23 C/BE0#
PCLK_1520 .1U_0402 .1U_0402 .1U_0402 .1U_0402 .1U_0402 .1U_0402 .1U_0402 .1U_0402 .1U_0402 10U
FRAME#
8,14,17,19,23 FRAME#
DEVSEL#
8,14,17,19,23 DEVSEL#
PCIRST#
6,8,13,14,17,18,19,23,25,26 PCIRST# +3V
TRD Y#
8,14,17,19,23 TRDY#
I RDY#
8,14,17,19,23 IRDY#
STOP#
8,14,17,19,23 STOP# +3V
PERR# B_VCC A_VCC
8,14,17,19,23 PERR#
SERR# Directly connect VR_EN# to GND (TI FAE).
8,14,17,19,23 SERR# 2004/03/23
PAR
8,14,17,19,23 PAR
PCIRST#
6,8,13,14,17,18,19,23,25,26 PCIRST#

W13
G19
C11

C13

C10

N19
B14

A13

B13

E17

E18

A10

A12

P19
F15

F14
U6

J19
W8
G6

G1
C6

C7

N1

R1
A5

E7
B6
B7

E8

A7
F7

F6
F8

L1
PCLK

DATA
CLOCK

VCCP

VCCB

VCCA
G_RST#

SERR#
PERR#
STOP#
IRDY#
TRDY#
PRST#
DEVSEL#

C/BE0#
C/BE1#
C/BE2#
C/BE3#

REQ#
PAR

FRAME#

GNT#

SPKROUT#

I/O_VCC1
I/O_VCC2
I/O_VCC3
I/O_VCC4
I/O_VCC5
I/O_VCC6
I/O_VCC7
I/O_VCC8
LATCH

CORE_VCC

VR_EN
B_CAD0 H3 P11 A_CAD0 A_CAD0 17
17 B_CAD0 B_CAD0//B_D3 A_CAD0//A_D3
B_CAD1 H1 W12 A_CAD1 A_CAD1 17
17 B_CAD1 B_CAD1//B_D4 A_CAD1//A_D4
B_CAD2 H2 R11 A_CAD2 A_CAD2 17
17 B_CAD2 B_CAD2//B_D11 A_CAD2//A_D11
B_CAD3 J2 U12 A_CAD3 A_CAD3 17
17 B_CAD3 B_CAD3//B_D5 A_CAD3//A_D5
B_CAD4 J1 V12 A_CAD4 A_CAD4 17
17 B_CAD4 B_CAD4//B_D12 A_CAD4//A_D12
B_CAD5 J5 R12 A_CAD5 A_CAD5 17
17 B_CAD5 B_CAD5//D_D6 A_CAD5//A_D6
B_CAD6 J3 P12 A_CAD6 A_CAD6 17
17 B_CAD6 B_CAD6//B_D13 A_CAD6//A_D13
B_CAD7 K2 U13 A_CAD7 A_CAD7 17
17 B_CAD7 B_CAD7//B_D7 A_CAD7//A_D7
B_CAD8 K3 P13 A_CAD8 A_CAD8 17
17 B_CAD8 B_CAD8//B_D15 A_CAD8//A_D15
B_CAD9 K6 R13 A_CAD9
17 B_CAD9 B_CAD9//B_A10 A_CAD9//A_A10 A_CAD9 17
B_CAD10 L2 U14 A_CAD10
17 B_CAD10 B_CAD10//B_CE2# A_CAD10//A_CE2# A_CAD10 17
B_CAD11 L3 W15 A_CAD11
17 B_CAD11 B_CAD11//B_OE# A_CAD11//A_OE# A_CAD11 17
B_CAD12 L6 P14 A_CAD12
17 B_CAD12 B_CAD12//B_A11 A_CAD12//A_A11 A_CAD12 17
B_CAD13 L5 V15 A_CAD13
17 B_CAD13 B_CAD13//B_IORD# A_CAD13//A_IORD# A_CAD13 17
B_CAD14 M2 U15 A_CAD14
17 B_CAD14 B_CAD14//B_A9 A_CAD14//A_A9 A_CAD14 17
B_CAD15 M1 R14 A_CAD15
17 B_CAD15 B_CAD15//B_IOWR# A_CAD15//A_IOWR# A_CAD15 17
C B_CAD16 M3 W16 A_CAD16 C
17 B_CAD16 B_CAD16//B_A17 A_CAD16//A_A17 A_CAD16 17
B_CAD17 W4 M18 A_CAD17
17 B_CAD17 B_CAD17//B_A24 A_CAD17//A_A24 A_CAD17 17
B_CAD18 U5 M19 A_CAD18
17 B_CAD18 B_CAD18//B_A7 A_CAD18//A_A7 A_CAD18 17
B_CAD19 R6 L19 A_CAD19
17 B_CAD19 B_CAD19//B_A25 A_CAD19//A_A25 A_CAD19 17
B_CAD20 V5 L17 A_CAD20
17 B_CAD20 B_CAD20//B_A6 A_CAD20//A_A6 A_CAD20 17
B_CAD21 U6 L14 A_CAD21
17 B_CAD21 B_CAD21//B_A5 A_CAD21//A_A5 A_CAD21 17
B_CAD22 V6 K18 A_CAD22
17 B_CAD22 B_CAD22//B_A4 A_CAD22//A_A4 A_CAD22 17
B_CAD23 P8 K15 A_CAD23
17 B_CAD23 B_CAD23//B_A3 A_CAD23//A_A3 A_CAD23 17

SOCKET A
B_CAD24 V7 J18 A_CAD24

PCI1520/GHK
17 B_CAD24 B_CAD24//B_A2 A_CAD24//A_A2 A_CAD24 17
B_CAD25 W7 J17 A_CAD25
SOCKET B

17 B_CAD25 B_CAD25//B_A1 A_CAD25//A_A1 A_CAD25 17


B_CAD26 R8 J14 A_CAD26
17 B_CAD26 B_CAD26//B_A0 A_CAD26//A_A0 A_CAD26 17
B_CAD27 W10 G17 A_CAD27
17 B_CAD27 B_CAD27//B_D0 A_CAD27//A_D0 A_CAD27 17
B_CAD28 V10 G14 A_CAD28
17 B_CAD28 B_CAD28//B_D8 A_CAD28//A_D8 A_CAD28 17
B_CAD29 U10 F18 A_CAD29
17 B_CAD29 B_CAD29//B_D1 A_CAD29//A_D1 A_CAD29 17
B_CAD30 R10 G15 A_CAD30
17 B_CAD30 B_CAD30//B_D9 A_CAD30//A_D9 A_CAD30 17
B_CAD31 V11 E19 A_CAD31
17 B_CAD31 B_CAD31//B_D10 A_CAD31//A_D10 A_CAD31 17
B_CC/BE0 K5 V14 A_CC/BE0 A_CC/BE0 17
17 B_CC/BE0 B_CC/BE0#/B_CE1# A_CC/BE0#/A_CE1#
B_CC/BE1 M6 T19 A_CC/BE1
17 B_CC/BE1 B_CC/BE1#/B_A8 A_CC/BE1#/A_A8 A_CC/BE1 17
B_CC/BE2 T1 M17 A_CC/BE2
17 B_CC/BE2 B_CC/BE2#/B_A12 A_CC/BE2#/A_A12 A_CC/BE2 17
B_CC/BE3 U7 K14 A_CC/BE3
17 B_CC/BE3 B_CC/BE3#/B_REQ# A_CC/BE3#/A_REQ# A_CC/BE3 17
B_CRST W5 L15 A_CRST
17 B_CRST B_CRST#//B_RESET A_CRST#//A_RESET A_CRST 17
B_CFRAME R3 M15 A_CFRAME
17 B_CFRAME B_CFRAME#//B_A23 A_CFRAME#//A_A23 A_CFRAME 17
B_ CIRDY P5 N18 A_ CIRDY
17 B_CIRDY B_CIRDY#//B_A15 A_CIRDY#//A_A15 A_CIRDY 17
B_ CTRDY R2 N17 A_ CTRDY
17 B_CTRDY B_CTRDY#//B_A22 A_CTRDY#//A_A22 A_CTRDY 17
B_CDEVSEL P3 N15 A_CDEVSEL
17 B_CDEVSEL B_CDEVSEL#//B_A21 A_CDEVSEL#//A_A21 A_CDEVSEL 17
B_CSTOP P2 P17 A_CSTOP
17 B_CSTOP B_CSTOP#//B_A20 A_CSTOP#//A_A20 A_CSTOP 17
B_CPERR N6 R18 A_CPERR
17 B_CPERR B_CPERR#B_A14 A_CPERR#//A_A14 A_CPERR 17
B_CSERR W9 H18 A_CSERR
17 B_CSERR B_CSERR#B_WAIT# A_CSERR#//A_WAIT A_CSERR 17
B_CPAR N2 P15 A_CPAR
17 B_CPAR B_CPAR//B_A13 A_CPAR//A_A13 A_CPAR 17
B_CREQ R7 K17 A_CREQ
17 B_CREQ B_CREQ#//B_INPACK# A_CREQ#//A_INPACK A_CREQ 17
B_CGNT N5 P18 A_CGNT
17 B_CGNT B_CGNT#//B_WE# A_CGNT#//A_WE# A_CGNT 17
B_CCLK P6 M14 A_CCLK
17 B_CCLK B_CCLK//B_A16 A_CCLK//A_A16 A_CCLK 17
B_CSTSCHNGU9 H14 A_CSTSCHNG
17 B_CSTSCHNG B_CSTSCHG//B_BVD1 A_CSTSCHG//A_BVD1 A_CSTSCHNG 17
B_ CCLKRUN R9 H15 A_ CCLKRUN
17 B_CCLKRUN B_CLKRUN#//B_WP A_CLKRUN#//A_WP A_CCLKRUN 17
B_CBLOCK N3 N14 A_CBLOCK
17 B_CBLOCK B_CBLOCK#//B_A19 A_CBLOCK#//A_A19 A_CBLOCK 17
B_CINT V8 H19 A_CINT
B 17 B_CINT B_CINT#//B_READY A_CINT#//A_READY A_CINT 17 B
B_CAUDIO V9 H17 A_CAUDIO
17 B_CAUDIO B_CAUDIO//B_BVD2 A_CAUDIO//A_BVD2 A_CAUDIO 17
B_RSVD/A18 M5 F17 A_RSVD/D2
17 B_RSVD/A18 B_RSVD/A18 A_RSVD/D2 A_RSVD/D2 17
B_RSVD/D14 J6 V13 A_RSVD/D14 A_RSVD/D14 17
17 B_RSVD/D14 B_RSVD/D14 A_RSVD/D14
B_RSVD/D2 P10 R17 A_RSVD/A18
17 B_RSVD/D2 B_RSVD/D2 A_RSVD/A18 A_RSVD/A18 17

PCI
MFUNC6/CLKRUN#

B_ CCD1 H5 U11 A_ CCD1 A_CCD1 17


17 B_CCD1 B_CCD1#//B_CD1# A_CCD1#//A_CD1#
PME#/RI_OUT#

B_ CCD2 P9 G18 A_ CCD2


17 B_CCD2 B_CCD2#//B_CD2# A_CCD2#//A_CD2# A_CCD2 17
B_CVS1 U8 J15 A_CVS1
SUSPEND#

17 B_CVS1 B_CVS1//B_VS1# A_CVS1//A_VS1# A_CVS1 17


B_CVS2 P7 L18 A_CVS2
17 B_CVS2 A_CVS2 17
MFUNC0
MFUNC1

MFUNC2
MFUNC3
MFUNC4
MFUNC5

B_CVS2//B_VS2# A_CVS2//a_VS2#
VR_OUT
IDSEL
AD10
AD11
AD12
AD13
AD14
AD15
AD16
AD17
AD18
AD19
AD20
AD21
AD22
AD23
AD24
AD25
AD26
AD27
AD28
AD29
AD30
AD31

1212
GND
GND
GND
GND
GND
GND

GND
GND
GND
GND
GND
AD0
AD1
AD2
AD3
AD4
AD5
AD6
AD7
AD8
AD9

Correct module name: BCVS1#, BCVS2#


G2
G3
H6
F1
G5
F2
F3
E2
F5
E3
D1
B12
A4
C5
E6
B5
C8
B8
A8
E9
F9
C9
B9
F10
B10
F12
F11
E11
B11
A11
C12
E12

E10

D19
A16
C15
E14
F13
B15
A15
C14

E13

A6
A9
A14
E1
F19
K1
K19
P1
R19
W6
W11
W14

PCI1520GHK CLKRUN_PCM# R396 0


CLKRUN# 8,17,18,19,23
R395 *10K
AD10
AD11
AD12
AD13
AD14
AD15
AD16
AD17
AD18
AD19
AD20
AD21
AD22
AD23
AD24
AD25
AD26
AD27
AD28
AD29
AD30
AD31
AD0
AD1
AD2
AD3
AD4
AD5
AD6
AD7
AD8
AD9

C619 1U
R394
*0 PCI_PME# 8,14,17,23
8,14,17,19,23 AD[0..31]
AD17 R399 100 CLKRUN_PCM#
T6
8,17 INTE# PCI_LOCK# 17
8 INTB# SERIRQ 8,14,17,18,25
-CB_RI
-CB_RI 17
SUSPEND#
SUSPEND# 17

R391
10K
A A

+3V

PROJECT : KT2
Quanta Computer Inc.
Size Document Number Rev
C 16---CARDBUS (PCI1520) 1A

Date: Friday, May 14, 2004 Sheet 16 of 33


5 4 3 2 1
5 4 3 2 1

CARDBUS PCMCIA SOCKET

6,8,13,14,16,18,19,23,25,26 PCIRST#
A_VCC

D
C
U7
*TPS2211 A1 B1

GND28
GND27
SSOP16 GND1 GND14
A2 GND2 GND15 B2

1
16 A_CAD0 A3 D3 B_D3 B3 B_CAD0 16
+3V R33 C31 C30 13 A4 B4
VCC 16 A_CCD1 CD1# B_CD1# B_CCD1 16
20mil *0.1U *0.01U 12 A5 B5
16 A_CAD1 B_CAD1 16

2
0-0805 VCC A_VCC D4 B_D4
9 12V VCC 11 16 A_CAD2 A6 D11 B_D11 B6 B_CAD2 16

1
16 A_CAD3 A7 D5 B_D5 B7 B_CAD3 16

1
AVCC1 C34 A8 B8
16 A_CAD4 D12 B_D12 B_CAD4 16
C46 C638 CB8 CB12 4.7U/10V-0805 A9 B9

2
1000P 1000P 0.1U 0.1U C33 C48 GND3 GND16
16 A_CAD5 A10 B10 B_CAD5 16

2
D D6 B_D6 D
VPP 10 A_VPP 16 A_CAD6 A11 D13 B_D13 B11 B_CAD6 16

1
0.1U 0.1U +5V A12 B12
16 A_CAD7 D7 B_D7 B_CAD7 16
U5 5 CB7 A13 B13
16 A_RSVD/D14 B_RSVD/D14 16

138
122
102

126
*TI1410 5V 0.1U D14 B_D14

44
18

50
30

86
66
14

63

90
6 16 A_CC/BE0 A14 B14 B_CC/BE0 16

2
5V CE1# B_CE1#

1
PQFP144-22X22-5 A15 B15
AD[0..31] 16 A_CAD8 D15 B_D15 B_CAD8 16
- VCCD0 CB11 - VCCD0
VCCD0# 73 1 A16 B16

VCCCB
VCCCB
GRST#
PCIVCC
PCIVCC

PCIVCC
PCIVCC

COREVCC
COREVCC
COREVCC
COREVCC

COREVCC

AUXVCC
8,14,16,19,23 AD[0..31] VCCD0 GND4 GND17
- VCCD1 0.1U - VCCD1
VCCD1# 74 2 16 A_CAD9 A17 B17 B_CAD9 16

2
VPPD0 VCCD1 VPPD0 A10 B_A10
VPPD0 71 VPPD1 VPPD0 15
VPPD1
16 A_CAD10 A18 CE2# B_CE2# B18 B_CAD10 16
AD31 VPPD1 72 +3V VPPD1 14 16 A_CAD11 A19 OE# B_OE# B19 B_CAD11 16
3 AD31 16 A_CVS1 A20 VS1# B_VS1# B20 B_CVS1 16
AD30 4 139 A_CAD27 3 A21 B21
AD30 CAD27/D0 3.3V 16 A_CAD12 A11 B_A11 B_CAD12 16
AD29 5 141 A_CAD29 4 8 A22 B22
AD29 CAD29/D1 3.3V OC GND5 GND18

SHDN
AD28 7 143 A_RSVD/D2 A23 B23

GND
AD28 RSVD/D2 16 A_CAD13 IORD# B_IORD# B_CAD13 16
AD27 8 76 A_CAD0 CB14 A24 B24
AD27 CAD0/D3 16 A_CAD14 A9 B_A9 B_CAD14 16
AD26 9 79 A_CAD1 0.1U A25 B25
16 A_CAD15 B_CAD15 16

2
AD25 AD26 CAD1/D4 A_CAD3 IOWR# B_IOWR#
10 81 16 A_CC/BE1 A26 B26 B_CC/BE1 16

16
AD24 AD25 CAD3/D5 A_CAD5 A8 B_A8
11 AD24 CAD5/D6 83 16 A_CAD16 A27 A17 B_A17 B27 B_CAD16 16
AD23 15 85 A_CAD7 A28 B28
AD22 AD23 CAD7/D7 A_CAD28 R35 *10K GND6 GND19
16 AD22 CAD28/D8 140 +3V 16 A_CPAR A29 A13 B_A13 B29 B_CPAR 16
AD21 17 142 A_CAD30 A30 B30
AD21 CAD30/D9 16 A_RSVD/A18 A18 B_A18 B_RSVD/A18 16
AD20 19 144 A_CAD31 A31 B31
AD20 CAD31/D10 16 A_CPERR A14 B_A14 B_CPERR 16
A_VCC AD19 A_CAD2
AD18
23 AD19 CAD2/D11 77
A_CAD4
16 A_CBLOCK A32 A19 B_A19 B32 B_CBLOCK 16 REV:C from 0603 size
AD17
24
25
AD18 CAD4/D12 80
82 A_CAD6
REV:C from 0603 size 16 A_CGNT A33
A34
WE# B_WE# B33
B34
B_CGNT 16
change to 0402 size
AD17 CAD6/D13 16 A_CSTOP A20 B_A20 B_CSTOP 16
AD16 26 AD16 RSVD/D14 84 A_RSVD/D14 change to 0402 size 16 A_CINT A35 RDY B_RDY B35 B_CINT 16
1

AD15 38 87 A_CAD8 A36 B36


AD15 CAD8/D15 16 A_CDEVSEL A21 B_A21 B_CDEVSEL 16
CB4 CB3 CB2 AD14 39 PCLK_PCMCIA 30 mil A37 B37
AD14 A_VCC VCC1 VCC3 B_VCC
0.1U 0.1U 0.1U AD13 40 129 A_CAD26 A38 B38
2

AD12 AD13 CAD26/A0 A_CAD25 VCC2 VCC4


41 AD12 CAD25/A1 128 A_VPP 30 mil A39 VPP1 VPP3 B39 B_VPP
AD11 43 127 A_CAD24 A40 B40
AD10 AD11 CAD24/A2 A_CAD23 R393 R372 22 A_CCLK_RA41 VPP2 VPP4 R375 22
45 AD10 CAD23/A3 124 A16 B_A16 B41 B_CCLK 16
Used for TI1410 A_VCC A D9 46 121 A_CAD22 *0 16 A_CCLK A42 B42
A D8 AD9 CAD22/A4 A_CAD21 +5V +3V GND7 GND20 C618 *22P
47 AD8 CAD21/A5 120 16 A_CTRDY A43 A22 B_A22 B43 B_CTRDY 16
(Pin126,90) A D7 49 118 A_CAD20
16 A_CIRDY A44 B44 B_CIRDY 16
A D6 AD7 CAD20/A6 A_CAD18 PCLKPCIC-1 A15 B_A15
51 AD6 CAD18/A7 115 16 A_CFRAME A45 A23 B_A23 B45 B_CFRAME 16

1
A D5 52 99 A_CC/BE1 A46 B46
AD5 CC/BE1#/A8 16 A_CC/BE2 A12 B_A12 B_CC/BE2 16

1
A D4 53 97 A_CAD14 C66 C68 A47 B47
AD4 CAD14/A9 16 A_CAD17 A24 B_A24 B_CAD17 16
C A D3 54 89 A_CAD9 4.7U/10V-0805 4.7U/10V-0805 C641 A48 B48 C
16 A_CAD18 B_CAD18 16

2
A D2 AD3 CAD9/A10 A_CAD12 *5P A7 B_A7
55 95 A49 B49

2
A D1 AD2 CAD12/A11 A_CC/BE2 GND8 GND21 A_CCLK_R C609 *22P
56 AD1 CC/BE2#/A12 112 16 A_CAD19 A50 A25 B_A25 B50 B_CAD19 16
A D0 57 101 A_CPAR A51 B51
AD0 CPAR/A13 16 A_CAD20 A6 B_A6 B_CAD20 16
104 A_CPERR A52 B52
CPERR#/A14 16 A_CVS2 VS2# B_VS2# B_CVS2 16
12 110 A_ CIRDY A53 B53
8,14,16,19,23 C/BE3# C/BE3# CIRDY#/A15 16 A_CAD21 A5 B_A5 B_CAD21 16
27 108 A_CCLK A54 B54
8,14,16,19,23 C/BE2# C/BE2# CCLK/A16 16 A_CRST RESET B_RESET B_CRST 16
37 98 A_CAD16 A55 B55
8,14,16,19,23 C/BE1# C/BE1# CAD16/A17 16 A_CAD22 A4 B_A4 B_CAD22 16
48 100 A_RSVD/A18 A56 B56
8,14,16,19,23 C/BE0# C/BE0# RSVD/A18 16 A_CSERR WAIT# B_WAIT# B_CSERR 16
103 A_CBLOCK A57 B57
PCIRST# CBLOCK#/A19 A_CSTOP GND9 GND22
6,8,13,14,16,18,19,23,25,26 PCIRST# 20 PCIRST# CSTOP#/A20 105 16 A_CAD23 A58 A3 B_A3 B58 B_CAD23 16
28 107 A_CDEVSEL A59 B59
8,14,16,19,23 FRAME# PCIFRAME# CDEVSEL#/A21 16 A_CREQ INPACK# B_INPACK# B_CREQ 16
29 109 A_ CTRDY A60 B60
8,14,16,19,23 IRDY# PCIIRDY# CTRDY#/A22 16 A_CAD24 A2 B_A2 B_CAD24 16
31 111 A_CFRAME A61 B61
8,14,16,19,23 TRDY# PCITRDY# CFRAME#/A23 16 A_CC/BE3 REG# B_REG# B_CC/BE3 16
32 113 A_CAD17 A62 B62
8,14,16,19,23 DEVSEL# PCIDEVSEL# CAD17/A24 16 A_CAD25 A1 B_A1 B_CAD25 16
33 116 A_CAD19 A63 B63
8,14,16,19,23 STOP# PCISTOP# CAD19/A25 16 A_CAUDIO BVD2 B_BVD2 B_CAUDIO 16
8,14,16,19,23 PERR# 34 PCIPERR# 16 A_CAD26 A64 A0 B_A0 B64 B_CAD26 16
35 135 A_CSTSCHNG A65 B65
8,14,16,19,23 SERR# PCISERR# CSTSCHNG/BVD1/STSCHG#/RI# A_CAUDIO GND10 GND23
8,14,16,19,23 PAR 36 PCIPAR CAUDIO#/BVD2/SPKR# 134 16 A_CSTSCHNG A66 BVD1 B_BVD1 B66 B_CSTSCHNG 16
1 75 A_ CCD1 A67 B67
8,16 REQ0# PCIREQ# CCD1# 16 A_CAD27 D0 B_D0 B_CAD27 16
2 137 A_ CCD2 A68 B68
8,16 GNT0# PCIGNT# CCD2# 16 A_CAD28 D8 B_D8 B_CAD28 16
PCLK_PCMCIA 21 132 A_CINT A69 B69
2,16 PCLK_PCMCIA PCIPCLK CINT#/READY/IREQ# 16 A_CAD29 D1 B_D1 B_CAD29 16
133 A_CSERR A70 B70
CSERR#/WAIT# 16 A_CAD30 D9 B_D9 B_CAD30 16
AD21
R392 1 2 *33 PCMID 13 136 A_ CCLKRUN A71 B71
PCIIDSEL CCLKRUN#/WP/IOIS16# 16 A_RSVD/D2 D2 B_D2 B_RSVD/D2 16
AD22
R398 1 2 *33 123 A_CREQ A72 B72
CREQ#/INPACK# 16 A_CAD31 D10 B_D10 B_CAD31 16
R42 59 A73 B73
14,16,23 PCI_PME# *0 RI_OUT#/PME# A_CC/BE0 GND11 GND24
16 SUSPEND# 70 SUSPEND# CC/BE0#/CE1# 88 16 A_CCLKRUN A74 WP B_WP B74 B_CCLKRUN 16
91 A_CAD10 A75 B75

GND30
GND29
CAD10/CE2# 16 A_CCD2 CD2# B_CD2# B_CCD2 16
INTE# 60 106 A_CGNT A76 B76
8,16 INTE# MF0/INTA CGNT#/WE# A_VCC GND12 GND25
61 93 A_CAD13 A77 B77
MF1 CAD13/IORD# GND13 GND26

1
64 96 A_CAD15
MF2/REQ CAD15/IOWR#

1
65 92 A_CAD11 C627 + C590

E
F
8,14,16,18,25 SERIRQ PCI_LOCK# MF3/IRQSER CAD11/OE# A_CVS1 C626 C625 10U/16V-1206
16 PCI_LOCK# 67 MF4 CVS1 131
-CB_RI 68 117 A_CVS2 0.1U 1000P 0.1U CN4
2

2
MF5/GNT CVS2 A_CC/BE3
8,16,18,19,23 CLKRUN# 69 MF6/CLKRUN CC/BE3#/REG# 125
119 A_CRST BREGCARDBUS A_CVS1 C632 *1000P
CRST#/RESET

B A_VPP B
62 A_ CCD1 C65 *1000P
SPKROUT PCMSPK 16,20 B_VCC
GND
GND
GND
GND
GND
GND
GND
GND

1
R51 PCI_LOCK#
8 PLOCK#
1

1
0 C127 + C118 C35 C38
C133 C139 10U/16V-1206 0.1U 0.1U A_ CCD2 C635 *1000P
6
22
42
58
78
94
114
130

2
0.1U 1000P 0.1U
IDSEL = AD21
2

2
MASTER = REQ0# A_CVS2 C621 *1000P

+3V CB_RI# move from Func5 to Func4. (TI FAE) GNT0# B_CVS1 C610 *1000P
2004/03/23
2

B_ CCD1 C630 *1000P


B_VPP TPS2206 A_VPP
Q5 +3V U10
*DTC144EUA 15 8
+3V 3V AVPP
1

16 3V. AVCC 9 A_VCC


3 1 -CB_RI CB6 CB10 CB13 CB16 CB9 CB5 CB15 C140 C134 B_ CCD2 C628 *1000P 17 10
9 RI# -CB_RI 16 3V, .AVCC
0.1U 0.1U 0.1U 0.1U 0.1U 0.1U 0.1U 0.1U 0.1U 11 C614
2

,AVCC C615 10U


+5V 1 5V
B_CVS2 C629 *1000P 2 13 10U
5V. NC
Used for TI1410 PCIVCC COREVCC AUXVCC 30 5V, NC 25
NC 26
(Pin44,18,50,30,138,122,102,86,66,14,63) 7 27
12V NC
24 12V. NC 28
R75 B_VPP
*0 23
BVPP
6 RESET BVCC 20 B_VCC
6,8,13,14,16,18,19,23,25,26 PCIRST# 14 RESET .BVCC 21
,BVCC 22

3 29 C69
16 TPSDATA DATA MODE 10U
4 19 C67
NOT SUPPORT TI1410: 16 TPSCLOCK
16 TPSLATCH 5
CLOCK
LATCH
STBY R373 10U

REMOVE R392,R35,U5,U7 R387 R388 18 OC GND 12


10K

43K *2.7K
A A

+3V

PROJECT : KT2
Quanta Computer Inc.
Size Document Number Rev
C 17---CARDBUS (PCI1410) 1A

Date: Friday, May 14, 2004 Sheet 17 of 33


5 4 3 2 1
5 4 3 2 1

+5V

LPC SUPER I/O PIN1,3,5 60 mils


+3V +3V FDD CONN R168
10K

R191 CN7

1
10K C203 C205

14
39
63
88
U17 52 1 INDEX# 0.1U 0.1U
51 2

VDD
VDD
VDD
VDD
PD[0..7]

2
50 3 FDDD RV0#
LA D0/FWH0 P D0 49 4
9,25 LAD0/FWH0 15 LAD0 PD0/INDEX# 52 48 5
LA D1/FWH1 16 50 P D1 DSKCHG#
9,25 LAD1/FWH1 LAD1 PD1/TRK0# 47 6
LA D2/FWH2 17 48 P D2
9,25 LAD2/FWH2 LAD2 PD2/WP# 46 7
LA D3/FWH3 18 46 P D3
9,25 LAD3/FWH3 LAD3 PD3/RDATA# 45 8
45 P D4
PCLK_SIO PD4/DSKCHG# P D5 44 9 MTR0#
D 2 PCLK_SIO 8 LCLK PD5/MSEN0 44 4310 D
PCIRST# 9 43 P D6
6,8,13,14,16,17,19,23,25,26 PCIRST# LRESET# PD6/DRATE0 4211
9,25 LFRAME#/FWH4 LFRAME#/FWH4 12 42 P D7 DI R#
LPC_DRQ0# LFRAME# PD7/MSEN1 4112 3MODE#
11
9,25 LPC_DRQ0#
9 SUS_STAT# 1 D9 2 LPC_PD# 7
LDRQ#
LPCPD#
PC87391 PNF/XRDY 35 R205 *10K 4013
3914
STEP#
CLK RUN# 6 36 SLCT
8,16,17,19,23 CLKRUN# CLKRUN#/GPIO36 SLCT/WGATE# 3815
SERIRQ SW1010C 10 37 PE WDATA#
8,14,16,17,25 SERIRQ SERIRQ PE/WDATA# 3716
T61 19 40 B USY
SMI#/GPIO35 BUSY_WAIT#/MTR1# ACK# 3617 WGATE#
ACK#/DR1# 41 3518
14M_SIO 20 47 SLIN#
2 14M_SIO CLKIN SLIN#_ASTRB#/SETP# 3419
49 INIT# TRK0#
INIT#/DIR# ER ROR# 3320
ERR#/HDSEL# 51 3221
DSKCHG# 21 53 AF D# WP#
HEAD# DSKCHG# AFD#_DSTRB#/DENSEL STRB# 3122
22 HDSEL# STB#_WRITE# 54 3023
RDATA# 23 RDATA#
WP# RDATA# 2924 R135 56 FDD_PRESENT#
24 WP# 2825 FDD_PRESENT# 9
TRK0# 25 55 DCD1# HEAD#
WGATE# TRK0# DCD1# DSR1# 2726
26 56 For PC87393 Use
WDATA# WGATE# DSR1# RXD1
27 57 FDD_26P(88203-2660)
STEP# WDATA# SIN1 RTS1#
28 SETP# RTS1#/TEST 58
DI R# 29 59 TXD1 R215 *10K
DIR# SOUT1/XCNF0 +3V
FDDD RV0# 30 60 CTS1#
MTR0# DR0# CTS1# DTR1# R214 INDEX#
31 MTR0# DTR1#_BOUT1/BADDR 61 +3V
INDEX# 32 62 RI 1# 10K FDDD RV0#
ICH_GPIO28 R200 3MODE# R202 DENSEL INDEX# RI1# DSKCHG#
ICH_GPIO28 33 DENSEL
*0 0 34 MTR0#
DRATE0/IRSL3 IR_TX DI R#
IRTX 70
69 IR_RX 3MODE#
IRRX1 IR_SEL STEP#
95 XA0/GPIO20 IRRX2_IRSL0 68
94 67 WDATA#
XA1/GPIO21 IRSL1 WGATE#
For PC87393 Use 93 66
XA2/GPIO22 IRSL2/PWUREQ# TRK0#
92 XA3/GPIO23
91 WP#
R204 *10K XA4/GPIO24/XSTB0# RDATA#
+3V 90 XA5/GPIO25/XSTB1#/XCNF2 XD0/GPIO00/JOYABTN1 3
87 2 HEAD#
XA6/GPIO26/PRIQA/XSTB2# XD1/GPIO01/JOYBBTN1
86 XA7/GPIO27/PIRQB XD2/GPIO02/JOYAY 1
85 XA8/GPIO30/PIRQC XD3/GPIO03/JOYBY 100
84 99 C192 C193 C194 C195 C196 C197 C198 C199 C200 C201 C202 C204 C190
XA9/GPIO31/MTR1#/PIRQD XD4/GPIO04/JOYBX *47P *47P *47P *47P *47P *47P *47P *47P *47P *47P *47P *47P *47P
C 83 XA10/GPIO32/XIORD#/MDRX XD5/GPIO05/JOYAX 98 C
+3V 82 97
XA11/GPIO33/XIOWR#/MDTX XD6/GPIO06/JOYBBTN0
81 XA12/GPIO10/JOYABTN1/RI2# XD7/GPIO07/JOYABTN0 96
80 XA13/GPIO11/JOYBBTN1/DTR2#_BOUT2
79 XA14/GPIO12/JOYAY/CTS2# XER#/XCNF1 4
78 XA15/GPIO13/JOYBY/SOUT2 XRD#/GPIO34/WDO# 5
C296 C298 C293 C317 C295 C268 77 73
10U
CC0805
10U
CC0805
0.1U
CC0402
0.1U
CC0402
0.1U
CC0402
0.1U
CC0402
76
75
XA16/GPIO14/JOYBX/RTS2#
XA17/GPIO15/JOYAX/SIN2
XA18/GPIO16/JOYBBTN0/DSR2#
XIOWR#/XCS1#/MTR1#/DRATE0
XIORD#/GPIO37/IRSL2/DR1#
XCS0#/DR1#/XDRY
71
72
IR BOARD CONN
74 XA19/DCD2#/JOYABTN0/GPIO17

VSS
VSS
VSS
VSS
PC87391-VJG CN15

13
38
64
89
-WIRELESS_LED +3V
14 -WIRELESS_LED 1
RF _SW#
25 RF_SW# 2

1
C417
C416
9 FIR_PRESENT# 4 4.7U/10V-0805 0.1U
IR_TX

2
5
IR_RX
6
IR_SEL
7

10
+3V 8

9
85205-0800

B B

SERIAL PORT PARALLEL PORT


CN16
dz11391-h7-25p-l

+5V
26
RP7 10X4 5 STRB# R218 33 R_STRB# 1

2
MRI1 1 2 9 AF D# R220 33 R _AFD# 14
MDTR1# 3 4 4 11 P D0 R222 33 R_PD0 2
MCTS1# 5 6 8 ER ROR#R224 33 R _ERROR# 15 D10
MTXD1 7 8 3 P D1 R226 33 R_PD1 3 SW1010C
MRTS1# 1 2 7 INIT# R227 33 R_INIT# 16

1
MRXD1 3 4 2 10 P D2 R228 33 R_PD2 4
MDSR1# 5 6 6 SLIN# R229 33 R_SLIN# 17
MDCD1# 7 8 1 P D3 R230 33 R_PD3 5 R_SLCT R240
18 4.7K
RP8 10X4 CN9 P D4 R231 33 R_PD4 6 RN58
D-SUB(FOX DIP) 19 R_PD3 1 2
C458 C454 C450 C441 C439 C438 C436 C433 non P D5 R233 33 R_PD5 7 R_PD2 3 4
100P 100P 100P 100P 100P 100P 100P 100P 20 R_PD1 5 6 RP9
P D6 R234 33 R_PD6 8 R_PD0 7 8
21 R _ERROR# 6 5
P D7 R235 33 R_PD7 9 1KX4 R_INIT# 7 4 R_SLIN#
22 C377 C374 C372 C370 R_STRB# 8 3 R_ACK#
U16 ACK# R236 33 R_ACK# 10 330P 330P 330P 330P R _AFD# 9 2 R_BU SY
23 10 1 R_PE
+5V RTS1# 14 9 MRTS1# B USY R237 33 R_BU SY 11
DTR1# T1I T1O MDTR1# C371 C373 C368 C369 C385 C384 C383 C375
13 T2I T2O 10 24 4.7KX8
TXD1 12 11 MTXD1 PE R238 33 R_PE 12 RN59 680P 680P 330P 330P 680P 680P 680P 330P
T3I T3O +5V R_PD7
25 1 2
R211 DSR1# 19 4 MDSR1# SLCT R239 33 R_SLCT 13 R_PD6 3 4
10K RXD1 RIO R1I MRXD1 R_PD5
18 R2O R2I 5 27 5 6
CTS1# 17 6 MCTS1# R_PD4 7 8
DCD1# R3O R3I MDCD1#
A 16 R4O R4I 7 A
RI 1# 15 8 MRI1 C308 C314 C311 DSUB-25P 1KX4
R5O R5I 10U 10U 0.1U C382 C381 C380 C378
23 CC0805 CC0805 CC0402 330P 330P 330P 330P
FORCEON R196
22 FORCEOFF#
21 +5V *1K
INVAILD#
20 R2OUTB
C419 0.1U 28 26
CC0805 C1+ VCC
24 C1- V+ 27

C275 0.47U
1
2
C2+ V- 3
25
PROJECT : KT2
CC0805 C2- GND
MAX3243 C272 C306 C313 Quanta Computer Inc.
<1ST PART FIELD> 0.47U 0.47U 0.47U
CC0805 CC0805 CC0805 Size Document Number Rev
C 18---SUPER IO (FDD, PIO, SIO, IR) 1A

Date: Friday, May 14, 2004 Sheet 18 of 33


5 4 3 2 1
5 4 3 2 1

+3V

+3V +3V +3V


+3V
D IEEE-1394 +3V L4
D
BK1608HS600 C74 C61 C95 C44 C53 C63 C58 C55 C96 C54 C94 C45 C43 C76 C56 C57 C99 C98
1394_PLLVCC 0.1U 0.1U .01U .01U 1000P 0.1U 0.1U 0.1U .01U .01U 1000P 1000P 1000P 0.1U 0.1U .01U 1000P 1000P
C104 C92

1000P 0.1U
Used for TSB43AB21 VCCP Used for TSB43AB21 VCC3 Used for TSB43AB21 AVCC

100

108
120
107
U11

20
35
48
62
78

15
27
39
51
59
72
88
(Pin20,35,48,62,78) (Pin15,27,39,51,59,72,88,100) (Pin1,2,107,108,120)

1
2

7
TSB43AB21PDT

VCCP
VCCP
VCCP
VCCP
VCCP

VCC3
VCC3
VCC3
VCC3
VCC3
VCC3
VCC3
VCC3
AVCC
AVCC
AVCC
AVCC
AVCC

PLLVCC
8,14,16,17,23 AD[0..31]
A D0 84 +3V
A D1 AD0
82 AD1
A D2 81 96 R43 4.7K
A D3 AD2 TEST7 R85 4.7K
80 AD3 TEST16 11
A D4 R92 4.7K
A D5
79
77
AD4
AD5
TEST17
CYCLEIN
10
87 R38 4.7K 12 mils
A D6 76 86 R39 10K C70 1U
AD6 CYCLEOUT AGND_1394
A D7 74
A D8 AD7 CPS_G R52 1K R67 R68
71 AD8 CPS 106
A D9 70
AD10 AD9 CN28
69 AD10
AD11 67 116 TPBIAS_1394 56/F 56/F CML5 DLW21SN261SQ2L 5
AD11
AD12 66 AD12 PHY PORT 0TPBIAS0
TPA0+ 115 1394_TPA0+ 1 2 L1394_TPB0- 1
AD13 65 114 1394_TPA0- 4 3 L1394_TPA0- 3
AD14 AD13 TPA0- 1394_TPB0+ L1394_TPA0+
63 AD14 TPB0+ 113 1 2 4
AD15 61 112 1394_TPB0- 4 3 L1394_TPB0+ 2 6
AD16 AD15 TPB0-
46 AD16
AD17 45 118 BIAS_R0 R72 R58 56/F CML4 DLW21SN261SQ2L
AD18 AD17 R0 6.34K R62 56/F FOX UV31413-G6
43 AD18 BIAS CURRENT
AD19 42 119 BIAS_R1 C60
PCLK_1394 AD20 AD19 R1 R63 220P AGND_1394
41 AD20
AD21 40 6 1394_XOUT C126 15P 5.11K/F L1394_TPB0- 38M030006-00
AD22 AD21 X0 L1394_TPA0- <MOUNTED>
38 AD22

1
R84 AD23 37 Y3 L1394_TPA0+ IEEE 1394 CONN 6POLE R/A 787956-1 DIP AMP
*4.7K AD24 AD23 24.576MHz/30PPM L1394_TPB0+
32 AD24 CRYSTAL
C AD25 31 AGND_1394 C
AD26 AD25
29 5

2
C111 AD27 AD26 X1 1394_XIN C114 15P
28 AD27
*10P AD28 26 3 C683 C681 C682 C684
INTERFACE

AD29 AD28 F0 1394_F0 C97 0.1U


25 AD29
AD30 24 FILTER 22P 22P 22P 22P
AD31 AD30 1394_F1
22 AD31 F1 4
PCI

8,14,16,17,23 C/BE0# 73 CBE0-


60 EEPROM BUS 92 1394_SDATA
8,14,16,17,23 C/BE1# CBE1- SDATA 1394_SCLK
8,14,16,17,23 C/BE2# 47 CBE2- SCLK 91
8,14,16,17,23 C/BE3# 34 CBE3-
PCLK_1394 16
2 PCLK_1394 PCI_CLK
8 GNT3# 18 GNT- PHY PORT 1TPBIAS1 125
19 124 +3V
AD23 8 REQ3# R69 100 36 REQ- TPA1+
IDSEL TPA1- 123
8,14,16,17,23 FRAME# 49 FRAME- TPB1+ 122
8,14,16,17,23 IRDY# 50 IRDY- TPB1- 121
8,14,16,17,23 TRDY# 52 TRDY-
53 99 R34 R36
8,14,16,17,23 DEVSEL# DEVSEL- PC0 2.7K 2.7K
8,14,16,17,23 STOP# 54 STOP- POWER CLASS PC1 98
8,14,16,17,23 PERR# 56 PERR- PC2 97
U4
8,14,16,17,23 SERR# 57 SERR-
58 94 1394_SCLK 6 1 +3V
8,14,16,17,23 PAR PAR TEST9 1394_SDATA SCL A0
21 PCI_PME- TEST8 95 5 SDA A1 2
8 INTF# 13 INTA- TEST3 101 A2 3
8,16,17,18,23 CLKRUN# 12 CLKRUN- TEST2 102
6,8,13,14,16,17,18,23,25,26 PCIRST# 85 RST- TEST1 104 7 WP VCC 8
TEST0 105 GND 4
14 C32
G_RST- NM24C02 0.1U
PLLGND1
PLLGND2

90 GPIO2
AGND
AGND
AGND
AGND
AGND
AGND
AGND

89
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND

GPIO3
R41 R40 R3 0-0805
220 220
17
23
30
33
44
55
64
75
83
93
103
68
109
110
111
117
126
127
128

8
9

R2 *0
B B

1394_DGND1
1394_DGND2
C106 C93 R91 AGND_1394
*0 AGND_1394
0.1U 0.01U C41 C47 R37
*0
0.1U .01U IDSEL = AD23
MASTER = REQ3#
GNT3#

A A

PROJECT : KT2
Quanta Computer Inc.
Size Document Number Rev
C 19---IEEE1394a (43AB21A) 1A

Date: Friday, May 14, 2004 Sheet 19 of 33


5 4 3 2 1
1 2 3 4 5 6 7 8

For Layout:
3VSUS Place decoupling caps near the
MC16 power pins of SmartAMC
0.1U
device.
AMCVDD

GND
MC19 MC15
0.1U 0.1U
MC14 MC25 MC39 MC27
MC35
10U 0.1U 10U 0.1U
GND GND 0.1U

A A
AGND
GND MC17 AGND
0.1U

MC26 MC30 MC24

GND 0.1U 10U 1U


AMCVDD

18
10

23

33

44
5
For Layout: MR28 MIC_BIAS

VDD_CLK
VDD5

VDDC18
VDDC10

AVDD33

AVDD44
Place these resistors close 249K AGND
to SmartAMC device. RC0603 R413
RC _OSC 1 MR26 100K
GND 15:20:15 to other signal 50 RCOSC1
3K
1

MR27 1K RC0603 DIB_DATAN_A 3 MC21 10U


15:5:15 to other signal MC23 22 DIB_DATAN DIB_DATAN
29 MIC 21 D24
50 150P MR24 1K RC0603 DIB_DATAP_A MIC_IN SOFTEQSEN
4 2 1 HPSENSE 21
2

22 DIB_DATAP DIB_DATAP CDA UDR


CD_IN_R 32 CDAUDR 26
MR20 0 D IB_PWRP 7 31 CDG ND SW1010C
22 PWRCLKP PWRCLKP CD_IN_GND CDGND 26
30 CDAUDL
CD_IN_L CDAUDL 26
MR18 0 DIB_ PWRN 8
22 PWRCLKN PWRCLKN
27 LINEINL_PR
LINE_IN_L T141
9,14 SDOUT1 15 28 LI NEINR_PR
SDATA_OUT LINE_IN_R T142
1

9,14 SYNC1 16 SYNC


MC20 9,14 -CODEC_RST 17 39
AC_RESET# LINE_OUT_L AMPL 21
150P 40 AMPR 21
2

MODEM_PRES LINE_OUT_R
20 AC_ONLY HP_OUT_L 42 T4
HP_OUT_R 43 T2
MR14 33 RC0603 SDATA_IN0 21
9 SDINA SDATA_IN0
GND MR13 38 REF_FLT
MR9 33 RC0603 BIT_CLK0 REF_FLT VC_SCA
*0 9 BITCLK 22 BIT_CLK MU3 VC_SCA 37
VREF_SCA
MR17 *0 VREF_SCA 36
I D0 11 CX20468-31 For Layout:
ID0# MIC_BIAS
MBIAS/AVDD 34
GND MR16 *0 I D1 12 ID1# Place CX132, CX133, CX135,
MR10 MR11 46 CX136 near SmartAMC
MR12 330 S_PDIF T3
B GND *10K *10K 27 MUTE_LED EAPD_1 14 B
RC0603 RC0603 EAPD
47 GPIO_4 MR30 10K device
PC_BEEP GPIO_4 MC33 MC38 MC36 MC40
45 PC_BEEP
48 SOFTEQSEN
MR8 GPIO_5
13 DSPKOUT 0.1U 0.1U 0.1U 1U

AVSS_CLK
Populate RX152 in order *0 R20 24 XTLO MR31 *10K
XTLO

GNDC19

AGND35
AGND41
*10K

GNDC2

GNDC9
to enable the audio codec XTLI 25

GND8
GND
only feature of the AGND
SmartAMC device 25 EC_MUTELED AGND

2
6
9
19
26

35
41
MR15 33 XTLO_1

GND MC13
33P

1
MY2

GND AGND MC18 24.576MHZ


33P XTL8X4_5

GND

2
Ground Tie XTLI
BIT_CLK0
MR7
0 For Layout:
C657 Place crystal and associated
circuitry very near
22P
SmartAMC Device.
GND AGND
For EMI request

C
PC SPEAKER C

AMCVDD
+3V

R11
2.2K

C673
C6
R9 PC_BEEP CX20468-21: ADD R20, MR8
.1U_0603_25V *4.7K
REMOVE MR12, R413,
3

R5
1U
Q33 1K D24, MR30, MR31
5

16,17 PCMSPK 1 PHI2N7002E

2
4 2 REV:B SETTING
9 SPK
U33 R6
CX20468-31: ADD MR12, R413, D24, MR30
3

TC7SH86FU 100K
R423 AGND REMOVE R20, MR31, MR8
1

10K

AGND
CX20468-31 without software EQ:
ADD MR12, MR31, MR30
REMOVE R413, D24, MR8, R20

D PROJECT : KT2 D

Quanta Computer Inc.


Size Document Number Rev
C 20---AC97 CTRL_CONEXANT20468-31 1A

Date: Friday, May 14, 2004 Sheet 20 of 33

1 2 3 4 5 6 7 8
5 4 3 2 1

AUDIO AMPLIFIER +5VAMP


INTERNAL SPK

C667

C661

C671

C678
R_SPK+ L38 BK1608HS241
INT_SPKR+ 27

1
R_SPK- L39 BK1608HS241
INT_SPKR- 27

2
+

AGND

.1U_0603_25V

.1U_0603_25V

.01U/50V

10U_1206_10V
C674 C672

2
180P 180P

R424 U32
15K 19 21 R_SPK+ AGND
VDD ROUT+ R_SPK-
D ROUT- 16 D
7 L_SPK+ L36 BK1608HS241
PVDD1 INT_SPKL+ 27
C666 .047U 18 4 L_SPK+ L_SPK- L37 BK1608HS241
PVDD2 LOUT+ INT_SPKL- 27
AGND 9 L_SPK-
RRI N-2 LOUT-
23 RLINEIN
R412 1K RI N-1 C668 .47U RRI N-1 20 14 C665 .1U_0402 AGND C656 C655
20 AMPR C659 1 RHPIN PC-BEEP
2 .47U 8 RIN+
180P 180P
AGND SE/BTL 15
C660 1 2 .47U 10 17 R414 100K HPSENSE R426 10K +5VAMP
R18 1K LIN-1 C18 .47U RLIN-1 LIN+ HP/LINE
20 AMPL 6 LHPIN
RLIN-2 5 22 R415 10K +5VAMP AGND
LLINEIN SHUTDOWN
C658 .047U AGND C663 2 1 4.7U/6.3V/CC0603 11 1 2 1
BYPASS GND4 VOLMUTE# 25
GND3 24
R410 *1K 2 13 D23 CH501H-40
+5VAMP R409 1K GAIN0 GND2
3 GAIN1 GND1 12
R17 R411 10K 25
15K R408 *10K GND5
GND6 26
GND7 27
GND8 28
AGND 29 +5V L35 BK2125HS220_0805 R425 0
GND9 +5VAMP
AGND 30
GND10 R404 0
GND11 31

1
GND12 32
33 R433 C645 C653 R435 *0
GND13 15K 4.7U/10V 22U_1206_16V

2
R431 *0
AGND
R432 *0
TPA0212 AGND
PWP24
0212Gain Table AGND

Gain0 Gain1 SE/BTL Av


+5V
0 0 0 6dB U30 AMCVDD
V 0 1 0 15.6dB V GMT_G910T21U 800mA (30MIL)
C 3 Vin Vout 1 C
1 0 0 21.6dB

GND
2
1 1 0 27.6dB

1
C644

2
.47U + C652 C646 C642 C651
X X 1 4.1dB

1
T10U/10V .01U/50V .1U_0603_25V 4.7U/10V

2
2
Priority :
1. Port-replicator headphone is 1st priority
2. Notebook headphone is 2nd priority AGND AGND AGND AGND AGND
3. Notebook internal speaker is 3rd priority
2004/03/20

HEADPHONE OUT D25 +5V MICROPHONE


1 4

2 3 C685
0.1U

*PACDN004 CN27
1 7
CN26 MIC L40 FCM1608K221 MIC_IN 2
20 MIC C680 220P_0603_50V
1 7 6
L_SPK+ C670 100U/6.3V LSPK+30-0805R427 LSPK+_1
+

2 3
6 C679 220P_0603_50V 4
R_SPK+ C677 100U/6.3V RSPK+30-0805R428 RSPK+_1
+

B
3 5 8 B
4
5 8 MIC-JACK-PINK
AGND AGND AGND
HP-JACK-GREEN

R429 R430 C675 C676


1K 1K 180P 180 HPSENSE
HPSENSE 20

AGND AGND

A A

PROJECT : KT2
Quanta Computer Inc.
Size Document Number Rev
C 21---JACK, AMP_TPA0312 1A

Date: Friday, May 14, 2004 Sheet 21 of 33


5 4 3 2 1
5 4 3 2 1

Vdd
Revision History REV:B MODIFY FOR USE
MC978 0.1uF
REV Description Date NEW MODEM MODULE
00 Initial Release February 14, 2002 MTP58 1
MTP59 1 1 MTP36 1 MTP37
DGND_LSD 1 MTP39
01 27mmx27mm form factor. July 5, 2002 1 MTP35 1 MTP38
RI NG_2 MFB902 RI NG_1 MTP41

24
1

AC
02 6 pins J1 connector-T/R traces for specific uses-100V C902/C904 September 24, 2002 MMZ1608D301B

DVdd
21 RAC1 MR902 1M RAC1/R ING MC902 0.033uF/100V
RAC1 MBR904
D D

MRV902
03 add J1B - remove T903 October 9, 2002 8 MC906
NC1 TAC1 MR904 1M TAC1/TIP MC904 0.033uF/100V MMBD3004S *470pF MJ2
TAC1 20
22 NC2
04 Change J1 & J1B. Change R938 size. Add TP60 to TP71. November 12, 2002

A
1
25 NC3 RAC2 19 2
Removed J1B. Change size for C978, C984, R902, R904, R906, R908,
05 R910 and R978. Changed BR904 and BR906 to different manufacture. November 26, 2002

KU10S31N
29 18 AGND_LSD GND *FI-S2P-HF(JAE)
PADDLE TAC2
06 Corrected error in Q904 PCB footprint. January 3, 2003 MC908
*470pF
AGND_LSD
07 Added DIB data transformer footprint, added MC966, deleted ring September 24, 2003 MU902 MBR906

AC
impedance circuit. Added the letter "M" prefix to all reference MTP34 1 MMBD3004S
designators.
Changed value for MC966 from 3.3nF to 10nF, 100V, +/-20%, Y5V. By 12 TRDC MR906 6.8M TIP_2 MFB904 TIP_1 MTP42
08 November 06, 2003 TRDC MTP40 1 1
default, MC966 will be populated. Also, changed CX20493 revision from
11 to 21. MMZ1608D301B
MC918 1 2 MC966
1 MTP33 0.01U
0.1uF
REV:C MODIFY C906 and C908 must be Y3 type
11 EIC MC958 15nF Capacitors for Nordic
EIC Countries only
AGND_LSD
MTP28 1 MTP70
1
AGND_LSD

MTP29 1 RXI MR910 RXI-1


RXI 9 1
237K MTP71
MTP52 C926 must be placed
C MR932 near pin 26 (CLK). R910 must be placed C
1 15K near pin 9 (RXI).
CLK2 C LK 26 1
MTP26 MC926 10pF CLK GPIO1 RI NG_1
RING_1 24
5 RBias TIP_1
1 RBias TIP_1 24
BR908_CC MFB906 P WR+ 7 PWR+ MR954
MMZ1608D301B 1 MTP32
20 PWRCLKN 1 AGND_LSD
Vdd MTP69 59.0K
MTP30 1
AC1

MC970 2 AVdd 1 MTP68


MTP22 C1 A1 0.1uF MC930 MC928
PWRCL KN 1 MT9024 BR908_AC1 C2 A2
AC2

1 MC962 2.2uF 0.1uF VZ MR908 MC910 BRID GE_CC


+ -
VZ 10
47pF 6 348K 0.047uF/100V
MBR908 AGnd R908 must be placed
20 PWRCLKP C970 must be C928, C930 must be near pin 10 (VZ).
MJ1 PW RCLKP 2 3 PCLK BAV99DW
1 MID82154 placed near pins 7 placed near pins 2 17 E IO MQ902
1 (PWR+) and 6 (AVdd) and 6 EIO MMBTA42
2 2
MTP23 MTP27 (AGnd). (AGnd). AGND_LSD
3 3
4 MQ904
4 1 1 1 MTP67 MTP31 1 SB29003
5 5
6 6
MTP72 MTP60 EIF MTP66
7 7 EIF 16 1
MTP24
8 8 20 DIB_DATAP 1 1
*HEADER8 1 TXO MQ906 MR928
TXO 14
DIB_DATAP MC922 10pF DIB_P1 MR922 0 DIB_P2 27 MMBTA42 27
DIB_P
13 TXF
B TXF 1 MTP64 B
MJ3
1 DIB_DATAN MC924 10pF DI B_N1 MR924 0 DI B_N2 28
1 DIB_N 1 MTP49
2 2 DC_GND 15 1
MTP25 MTP73 MTP61 MTP65
3

VRef
3 MR938
4 23

Vc
4 1 1 1 DGnd 110
5 5
MT922 20493-21
6 GND 1 4

4
6 20 DIB_DATAN
7 7 AGND_LSD
8 C944, C974, and C976 DGND_LSD AGND_LSD

V ref_LSD
8 must be placed near
*HEADER8 (omit) 2 3 pins 3 (Vc) and 4

Vc_LSD
*MID82157(omit) (VRef).
MTP62 MTP63
1 1 AGND_LSD
Depending of the design target and DIB length,
DIB components can be: REV:B MODIFY
-C922/C924 10pF
-C922/C924 47pF (Validation in progress) DEL L9 / L10 / RV1 / C458
MC974 MC944 MC940 MC976

*0.001uF (omit) 0.1uF 1uF .001uF

C922, C924, C906, and C908, must be Y3 type Capacitors in order


to comply with Nordic Countries deviations of IEC60950 2nd and 3rd ed. C940 is X5R ceramic.
Y3 type capacitors must also be certified for a 2.5KV impulse test. AGND_LSD
This must be checked in vendors' specifications (see AVL).

Circuit traces for C922 and C924 should be less


than 2 inches.
A A

PROJECT : KT2
Quanta Computer Inc.
Size Document Number Rev
Custom 22---MODEM (DAA) 1A

Date: Friday, May 14, 2004 Sheet 22 of 33


5 4 3 2 1
5 4 3 2 1

8100CL(10/100M) 8110SB(1G)

DVDD33 3.3VD 3.3VD


26,41,56,71,84,94,107 26,41,56,71,84,94,107
AVDDL 3.3VA 2.5VA
3,7,20 3,7,20,16
DVDD 2.5VD 1.8VD
32,54,78,99 32,54,78,99,24,45,64,110,116,126
D D
AVDD25 2.5VA NC
12
AVDDH NC 3.3VA
10,120

LANVCC
R28 10K R15 U2
LANVCC
3.6K
EECS 1 8
24 LAN_LINK# CS VCC
EESK 2 7
EEDI/AUX SK NC R4 *0 C2
Q3 24 LAN_SPEED_LED# 3 6
EEDO DI NC .1U/16V/0402
3 G S 4 3VPCU 4 DO GND 5
GND
2 5
D2 D4 AT93C46-10SC-2.7
3

1 D1 D3 6
XTAL1 C8 27P
2 SI3443DV T144 AD[0..31]

EEDI/AUX
25 3VAUXON LANVCC

LANVCC
T143

AVD DH
2

DV DD

DV DD
Q4 Y2

EEDO
XTAL2
XTAL1

EECS
EESK
RSET

GND

GND
GND

GND

GND

GND

AD0
AD1
DTC144EU 25.0000MHz R14
1

2
XTAL2 C5 27P 5.6K

1
GND

128
127
126
125
124
123
122
121
120
119
118
117
116
115
114
113
112
111
110
109
108
107
106
105
104
103
U3
12/5 FROM FAE
for B TEST

VSS

EESK

EEDI

EECS
LWAKE
RSET

VSSPST
VDD18
CTRL18
LG2
HG
XTAL2
XTAL1

LED0
VDD18
LED1
LED2
LED3

VDD18

EEDO
VDD33

AD0
AD1
AVDDH

GND

GND
LANVCC
TX0P 1 102 A D2
24 TX0P MDI0+ AD2
C17

C20

C39

C36

C29

C11

C21

C23

C27
C TX0N 2 101 GND C
24 TX0N MDI0- VSSPST
AVDDL 3 100 GND
GND AVDDL GND DV DD
4 VSS VDD18 99
TX1P 5 98 A D3
24 TX1P MDI1+ AD3
10U/10V

10U/10V

.1U/16V/0402

.1U/16V/0402

.1U/16V/0402

.1U/16V/0402

.1U/16V/0402

.1U/16V/0402

.1U/16V/0402
TX1N 6 97 A D4
24 TX1N MDI1- AD4
AVDDL 7 96 A D5
CTRL25 AVDDL AD5 A D6
8 CTRL25 AD6 95
12/11 FROM FAE for GND 9 94 L ANVCC
AVD DH VSS VDD33 A D7
8110SB 10 AVDDH AD7 93
R23 *0 11 92 C/BE0#
HSDAC+ CBE0B C/BE0# 8,14,16,17,19

RTL8110S(B)/8100C
V_12P 12 91 GND
GND HSDAC- VSSPST A D8
13 VSS AD8 90
L2 T140 14 89 A D9
PBY201209T-4A T139 MDI2+ AD9 M66EN R21 *15K
15 MDI2- M66EN 88
AVDDL 16 87 AD10
GND AVDDL AD10 AD11
17 VSS AD11 86
T136 18 85 AD12
MDI3+ AD12
C24

C25

C14

C15

T137 19 84 L ANVCC
AVDDL AVDDL MDI3- VDD33 AD13
20 AVDDL AD13 83
+3V R30 1K GND 21 82 AD14
GND VSSPST AD14 GND
22 GND VSSPST 81
.1U/16V/0402

.1U/16V/0402

.1U/16V/0402

.1U/16V/0402

15K R29 ISOLATEB 23 80 GND


DV DD ISOLATEB GND AD15
24 VDD18 AD15 79
INTA# 25 78 DV DD
8 INTA# INTAB VDD18
L ANVCC 26 77 C/BE1#
VDD33 CBE1B C/BE1# 8,14,16,17,19
PCIRST# 27 76 PAR
6,8,13,14,16,17,18,19,25,26 PCIRST# RSTB PAR PAR 8,14,16,17,19
PCLK_LAN 28 75 SERR#
LANVCC 2 PCLK_LAN CLK SERRB SERR# 8,14,16,17,19
GNT2# 29 74
8 GNT2# GNTB SMBDATA T135
REQ2# 30 73
8 REQ2# REQB GND
Q2 PCI_PME# 31 72
8,14,16,17 PCI_PME# PMEB SMBCLK T5
3

2SB1197K DV DD 32 71 L ANVCC
AD31 VDD18 VDD33 PERR#
33 AD31 PERRB 70 PERR# 8,14,16,17,19
1 CTRL25 8,14,16,17,19 AD[0..31]
AD30 34 AD30 STOPB 69 STOP#
STOP# 8,14,16,17,19
GND 35 68 DEVSEL#
GND DEVSELB DEVSEL# 8,14,16,17,19
AD29 36 67 TRD Y#
AD29 TRDYB TRDY# 8,14,16,17,19
AD28 37 66 GND
2

AD28 VSSPST
C19

C13

C22

C40

C12

C26

GND 38 65 CLKRUN# 8,16,17,18,19

FRAMEB
VSSPST CLKRUNB

VSSPST
DV DD

CBE3B

CBE2B
VDD33

VDD18

VDD18

VDD33

VDD18
IRDYB
B B

IDSEL
C42

C37

R32

AD27
AD26

AD25
AD24

AD23

AD22
AD21

AD20

AD19

AD18
AD17
AD16
GND

GND

GND
1

10U/10V/0805

0
.1U/16V/0402

.1U/16V/0402

.1U/16V/0402

.1U/16V/0402

.1U/16V/0402
22U

0.1U
2

39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64DV DD
LANVCC

LANVCC

FRAME#
AD27
AD26

AD25
AD24

AD23

AD22
AD21

AD20

AD19

AD18
AD17
AD16

GND
C/BE3#

C/BE2#
GND

GND
GND

IRDY#
DV DD

DV DD
PCLK_LAN

AD16
R31
8,14,16,17,19 C/BE3# IRDY# 8,14,16,17,19
*22
8,14,16,17,19 AD16 FRAME# 8,14,16,17,19
R22
C/BE2# 8,14,16,17,19
PCLK_LAN-1
0
C28
*22P AD[0..31]
V_12P

A A

PROJECT : KT2
Quanta Computer Inc.
Size Document Number Rev
C 23---LAN (RTL8100CL) 1A

Date: Friday, May 14, 2004 Sheet 23 of 33


5 4 3 2 1
5 4 3 2 1

Close to Chip
23 LAN_LINK#
CN18
C394 C396
.1U/0402 .1U/0402 LANVCC LAN_LINK# 10
R244 470 L ANVCC_R 9
D D
X-TX0P 1
X-TX0N 2
X-TX1P 3
R246 R245 R252 R251 X-TX1N 4
54.9 54.9 49.9/F 49.9/F LANCT4 5
6
TX1N 7
TX1N 23 LANCT5 8
TX1P
TX1P 23 LAN_SPEED_LED# 12 G1 13
23 LAN_SPEED_LED#
TX0N LANVCC_P 11
TX0N 23 LANVCC
R253 470 G2 14
TX0P
TX0P 23 FOX_JM36113-L1H7
Rev: C from 49.9 change to 54.9 ohm C393 C392 C397 C395 JM36113-L1H-12P-V
0.1U 0.1U 0.1U 0.1U

U21

6 11 LANCT1
TX0P CT CT X-TX0P
7 10
TX0N 8
TD+
TD-
TX+
TX- 9 X-TX0N LANCT4 RJ11 CONNECTOR
LANCT5
TX1P 1 16 X-TX1P
TX1N RD+ RX+ X-TX1N CN2
2 RD- RX- 15
CT2 3 14 LANCT2
CT CT TIP_1
1 TIP_1 22
C414 3
ATPL-119 R250 R247 R248 R249
0.1U 75 75 75 75
4 R ING_1
C C
10/100M 2 RING_1 22

C4 C3
FOX=JM34613-L002
1000P/3KV-1808 1000P/3KV-1808 SWAP
C415
1000P

HOLE6 HOLE15 HOLE21 HOLE18


*SCREW *SCREW *SCREW *SCREW

+3V 2.5VSUS

EC3 0.1U
1

EC2 0.1U
P20 P53 P27 P52 P47 P24 P11 P57 P62 P50 P38 P40 P30 P48 P22
*EMI *EMI *EMI EMI *EMI *EMI *EMI *EMI *EMI EMI EMI P43 *EMI EMI P34 *EMI_6011 P19
*EMI_6011 P32 P21 P46 P64
HOLE14 HOLE8 HOLE11 *EMI *EMI_6011
*SCREW *SCREW *SCREW *EMI *EMI_6011 EMI_6011
*EMI_6011
*EMI_6011

1
+3V +5V

1
EC4 0.1U
1

B B
P49 P6 P12 P13 P4 P36 P59 P56 P54 P61 P26 P63 P33 P37 P29 P28 P58 P65
EMI *EMI *EMI *EMI *EMI *EMI EMI *EMI *EMI *EMI *EMI *EMI *EMI EMI EMI *EMI *EMI_6011 P35 P23 P17 P15
*EMI_6011 *EMI_6011
EC5 0.1U *EMI_6011 *EMI_6011
HOLE12 HOLE20 HOLE5 HOLE2 HOLE3 HOLE7 HOLE10 HOLE9 HOLE16 HOLE19 HOLE24 *EMI_6011
*SCREW *SCREW *SCREW *SCREW *SCREW *SCREW *SCREW *SCREW *SCREW *SCREW *SCREW

1
REV:B ADD FOR USB CROSS PLANE
P60 P42 P55 P5 P45 P8 P7 P14 P18 P51 P10 P16 P41 P25 P44 P31
1

*EMI EMI EMI *EMI EMI *EMI *EMI *EMI *EMI EMI *EMI *EMI EMI *EMI *EMI P39 *EMI P2 *EMI EMI_2016 P3
P9
*EMI
*EMI
1

1
HOLE13 HOLE22 HOLE4
*SCREW *SCREW *SCREW
AGND_1394 AGND
1

HOLE23
*SCREW
1

A A

HOLE17
*SCREW
1

PROJECT : KT2
Quanta Computer Inc.
Size Document Number Rev
C 24---LAN (RJ11 & RJ45)&Hole&EMI 1A

Date: Friday, May 14, 2004 Sheet 24 of 33


5 4 3 2 1
5 4 3 2 1

3VPCU 3VPCU

3VPCU

3VPCU VCCRTC ENV1 10K R419


+3V
C624 C654 C643 C664 I/O Address
KBC-NS87551L C622
C662 C639
.1U/16V/0402
C669 R402 *0
VCCRTC 9
.1U/16V/0402 .1U/16V/0402 .1U/16V/0402 .1U/16V/0402
B ADDR0 *10K R418
BADDR1-0
0 0
Index
2E
Data
2F

.1U/16V/0402
.1U/16V/0402 10U/10V/V 0 1 4E 4F
R403 0 Should have a 0.1uF capacitor close to every B ADDR1 *10K R417 1 0 (HCFGBAH, HCFGBAL) (HCFGBAH, HCFGBAL)+1
GND-VCC pair + one larger cap on the 1 1 Reserved
RESERCE FOR 97551 supply.
LDRQ#(pin 8) internal is no use MUSIC# 10K R386

123
136
157
166

161
16

34
45

95
LPC_DRQ0# R385 *0 D RQ0#
U31 SHBM 10K R416

VBAT
VCC1
VCC2
VCC3
VCC4
VCC5
VCC6
VDD

AVCC
D 9,18 LPC_DRQ0# D
SHBM=1: Enable shared memory with host BIOS

SERIRQ 7 81 TEMP_MBAT
8,14,16,17,18 SERIRQ SERIRQ AD0 TEMP_MBAT 29
D RQ0# 8 82 MBATV
LDRQ AD1 MBATV 29
LFRAME#/FWH4 9 83
9,18 LFRAME#/FWH4 LFRAME AD2 AD_AIR 29
LA D0/FWH0 15 84 SY S_I
9,18 LAD0/FWH0 LAD0 AD3 SYS_I 29
LA D1/FWH1 14 87 SWI D1
9,18 LAD1/FWH1 LAD1 IOPE0AD4 T146
LA D2/FWH2 13 AD Input 88 SWI D2
9,18 LAD2/FWH2 LAD2 IOPE1/AD5 T145
LA D3/FWH3 10 89
9,18 LAD3/FWH3 LAD3 IOPE2/AD6
PCLK_591 18 90
2 PCLK_591 LCLK IOPE3/AD7
D19 591RESET# 19 93
LREST DP/AD8 T149
2 1 KBSMI#591 22 Host interface 94
9 KBSMI# SMI DN/AD9 T150
23 PWUREQ
SWI#2
SW1010C
T130 DA0 99
100
CC-SET 29 REV:B u29 remove from BOM 5VPCU
DA1 T148
S CI# 31 DA output 101
9 SCI# IOPD3/ECSCI DA2 VADJ 15
102 5VPCU
DA3

8 GATEA20 5 GA20/IOPB5 IOPA0/PWM0 32 T124


RC IN# 6 33 R389 R390
8 RCIN# KBRST/IOPB6 IOPA1/PWM1 CELL-SET 29 U29
PWM or 36 4.7K 4.7K
IOPA2/PWM2 VFAN_1 15
PORT-A IOPA3/PWM3 37 3VAUXON 23 8 VCC A0 1
MX0 71 38 7 2
27 MX0 KBSIN0 IOPA4/PWM4 T132 NC A1
MX1 72 39 MBCLK 6 3 IRDATA
27 MX1 KBSIN1 IOPA5/PWM5 T128 SCL A3

1
MX2 73 40 MBDATA 5 4 C631 IR CLK
27 MX2 KBSIN2 IOPA6/PWM6 T129 SDA GND
MX3 74 43 *0.1U
27 MX3 KBSIN3 IOPA7/PWM7 T127
MX4 77
27 MX4

2
MX5 KBSIN4 *24LC08
27 MX5 78 KBSIN5 IOPB0/URXD 153 MBATLED1 27
MX6 79 154 C636 C640
27 MX6 KBSIN6 IOPB1/UTXD MBATLED0 27
MX7 80 162 *27PF *27PF
27 MX7 KBSIN7 IOPB2/USCLK PWRLED 27
PORT-B 163 MBCLK
IOPB3/SCL1 MBCLK 3,29
M Y0 MBDATA
27 MY0
M Y1
49
50
KBSOUT0 IOPB4/SDA1 164
165
MBDATA 3,29 REV:B change net name from scroled to padled
27 MY1 KBSOUT1 IOPB7/RING/PFAIL PCIRST# 6,8,13,14,16,17,18,19,23,26
M Y2 51 Key matrix scan
27 MY2 KBSOUT2
M Y3 52 168
27 MY3 KBSOUT3 IOPC0 PADLED 27
M Y4 53 169 IR CLK
27 MY4 KBSOUT4 IOPC1/SCL2
C M Y5 56 170 IRDATA C
27 MY5 KBSOUT5 IOPC2/SDA2
M Y6 57 171
27 MY6 KBSOUT6 IOPC3/TA1 RSMRST_# 9
M Y7 58 PORT-C 172 FANSIG
27 MY7 KBSOUT7 IOPC4/TB1/EXWINT22 FANSIG 15
M Y8 59 175 RF _OFF#
27 MY8 KBSOUT8 IOPC5/TA2 RF_OFF# 14
M Y9 60 176 EC_MUTELED 20
27 MY9 KBSOUT9 IOPC6/TB2/EXWINT23
MY10 61 1
27 MY10 KBSOUT10 IOPC7/CLKOUT THERMTRIP_SIO 3
MY11 64
27 MY11 KBSOUT11
MY12 65 26 MUSIC#
27 MY12 KBSOUT12 IOPD0/RI1/EXWINT20 T125
MY13 66 PORT-D-1 29
27 MY13 KBSOUT13 IOPD1/RI2/EXWINT21 T131
MY14 67 30 DV D#
27 MY14 KBSOUT14 IOPD2/EXWINT24 T126
MY15 68
27 MY15 KBSOUT15
2 N BSWON#
IOPE4/SWIN NBSWON# 27
R422 10K TINT- 105 44 A CIN Pin 24 if no pull-high,
3VPCU TINT IOPE5/EXWINT40 ACIN 29
T153 106 PORT-E 24 SUSB#
TCK IOPE6/LPCPD/EXWIN45 SUSB# 8,9 will can't reboot.
T154 107 25
TDO IOPE7/CLKRUN/EXWINT46 MXLID#_ICH4 15
T147 108 JTAG debug port
T152 TDI ENV0
109 TMS IOPH0/A0/ENV0 124
125 ENV1
PSCLK1 IOPH1/A1/ENV1 B ADDR0
27 PSCLK1 110 PSCLK1/IOPF0 IOPH2/A2/BADDR0 126
PSDAT1 111 127 B ADDR1
27 PSDAT1 PSDAT1/IOPF1 IOPH3/A3/BADDR1
KB_CLK 114 128 TRIS
27 KB_CLK
27 KB_DAT
27 TPCLK
KB_DAT
TPCLK
TPDATA
115
116
PSCLK2/IOPF2
PSDAT2/IOPF3
PSCLK3/IOPF4
PORT-H
IOPH4/A4/TRIS
IOPH5/A5/SHBM
IOPH6/A6
131
132
SHBM
A6
A7
BIOS ROM
27 TPDATA 117 PSDAT3/IOPF5 PS2 interface IOPH7/A7 133
118 U27
27 CAPSLED PSCLK4/IOPF6
NUMLED 119 138 D0 ENV0 20 21 D0
27 NUMLED PSDAT4/IOPF7 IOPI0/D0 A0 D0
139 D1 ENV1 19 22 D1
IOPI1/D1 D2 B ADDR0 A1 D1 D2
IOPI2/D2 140 18 A2 D2 23
141 D3 B ADDR1 17 25 D3
591_32KX1 IOPI3/D3 D4 TRIS A3 D3 D4
158 32KX1/32KCLKOUT PORT-I IOPI4/D4 144 16 A4 D4 26
145 D5 SHBM 15 27 D5
R406 20M 591_32KX2 IOPI5/D5 D6 A6 A5 D5 D6
160 32KX2 IOPI6/D6 146 14 A6 D6 28
147 D7 A7 13 29 D7
IOPI7/D7 A8 A7 D7
3 A8
150 RD# A9 2
IOPJ0/RD W R# A10 A9 A18
Y7 PORT-J-1 IOPJ1/WR0 151 31 A10 A18 9
591_32KX3 R405 120K A11 1
SELIO# A12 A11
B SELIO 152 T138 12 A12 B
32.768KHZ A13 4
MY16 A14 A13
27 MY16 62 IOPJ2/BST0 IOPD4 41 TP_LED 27 5 A14
C649 C650 63 42 A15 11
31,32 HWPG IOPJ3/BST1 IOPD5 CPU66M# 2 A15
20P 5.6P 69 PORT-D-2 54 D/ C# A16 10
8,9 SUSC# IOPJ4/BST2 IOPD6 D/C# 29 A16
TP_SW# 70 PORTJ-2 55 A17 6 8 3VPCU
27 TP_SW# IOPJ5/PFS IOPD7 BL/C# 29 A17 VCC
RF _SW# 75
18 RF_SW# IOPJ6/PLI
76 143 A8 C S# 30
21 VOLMUTE# IOPJ7/BRKL_RSTO IOPK0/A8 CE#
142 A9 RD# 32 C51
IOPK1/A9 A10 W R# OE# .1U/16V/0402
30,32 S5_ON 148 IOPM0/D8 IOPK2/A10 135 7 WE# GND 24
149 134 A11
30,32 SUSON IOPM1/D9 IOPK3/A11
155 PORT-K 130 A12 39VF040
30,33 MAINON IOPM2/D10 IOPK4/A12
156 129 A13
9 SWI# IOPM3/D11 IOPK5/A13/BE0
D22 3 PORT-M 121 A14
28,30,33 VRON IOPM4/D12 IOPK6/A14/BE1
2 1 DNBSWON#591 4 120 A15
9 DNBSWON# IOPM5/D13 IOPK7/A15/CBRD
BATLOW# 27
9 BATLOW# IOPM6/D14
SW1010C PWROK_1 28 113 A16
6,9,28 PWROK IOPM7/D15 IOPL0/A16
112 A17 U28
C S# IOPL1/A17 A18 ENV0 D0
173 SEL0 PORT-L IOPL2/A18 104 12 A0 D0 13
174 103 A19 ENV1 11 14 D1
SEL1 IOPL3/A19 T151 A1 D1
T133 47 48 WR1# B ADDR0 10 15 D2
CLK IOPL4/WR1 T134 A2 D2
Pin 103 internal is B ADDR1 9 17 D3
5VSUS TRIS A3 D3 D4
8 18
"A19",Can't use to A4 D4
AGND
GND1
GND2
GND3
GND4
GND5
GND6
GND7

NC10

SHBM 7 19 D5
NC1
NC2
NC3
NC4
NC5
NC6
NC7
NC8
NC9

A6 A5 D5 D6
TPCLK
GPIO A7
6 A6 D6 20
D7
R421 4.7K 5 21
R420 4.7K TPDATA A8 A7 D7
PC97551 27
17
35
46
122
159
167
137

96

11
12
20
21
85
86
91
92
97
98

A9 A8
26 A9
A10 23 1 A18
A11 A10 VPP
25 A11
+5V RN64 A12 4
PSCLK1 C623 A13 A12
1 2 28 A13
3 4 PSDAT1 .1U/16V/0402 A14 29
KB_CLK A15 A14
5 6 3 A15
7 8 KB_DAT A16 2
A17 A16
30 A17 VCC 32 3VPCU
8P4R-10K
C S# 22
5VPCU RD# CE#
A 24 OE#
A
W R# 31 16
WE# GND
R400 4.7K MBCLK *PLCC32
R401 4.7K MBDATA
REV:B
SW2
1 3
3VPCU R370 470K 591RESET# 1999_RST# 2 4
3VPCU
+3V RN63
N BSWON# C606
1
3
2
4 DV D# .1U/16V/0402 PROJECT : KT2
5 6 RF _SW#
7 8 TP_SW#
1999_RST# 3,31
Quanta Computer Inc.
8P4R-10K Size Document Number Rev
C 27---KBC PCU-97551 1A

Date: Friday, May 14, 2004 Sheet 25 of 33


5 4 3 2 1
5 4 3 2 1

20 CDAUDL CDAUDL C7 1U/16V CDIN L2 R265 6.8K CDA UD_L


CD-ROM
CDA UDR C10 1U/16V CD INR2 R267 6.8K CDAUD_R
D 20 CDAUDR
CN13 CDVCC L17 60MIL D
CDA UD_L CDAUD_R PBY201209T-4A
CDG ND C9 1U/16V CDGND1 R266 3.4K CD_GND CD_GND 1 2
20 CDGND 3 4 +5V
PCIRST# SD D8
SD D7 5 6 SD D9
SD D6 7 8 SDD10
R12 R13 R10 SD D5 9 10 SDD11 C364 C365 C367 C366 C363
10K 20K SD D4 11 12 SDD12 .1U/16V/0402
.1U/16V/0402
.1U/16V/0402
.1U/16V/0402 10U/10V/V
20K 13 14
SD D3 SDD13
SD D2 15 16 SDD14
SD D1 17 18 SDD15
SD D0 19 20 S DDREQ
AGND AGND AGND 21 22 S DIOR#
SD IOW# 23 24
SI ORDY 25 26 SDDACK# +5V
IRQ15 27 28 IOCS16#
29 30 T68
SDA1 DIAG#
31 32 T67
SDA0 SDA2
SDCS1# 33 34 SDCS3# S DIOR# R262 *4.7K
35 36
SDA[0..2] 37 38 CDVCC
SD IOW# R261 *4.7K
8 SDA[0..2] CDVCC 39 40
S DD[0..15] 41 42
8 SDD[0..15] 43 44 CD. 46 S DDREQ R263 *4.7K
45 46 T71
R260 470 CSEL
SD IOW# 47 48 CD. 50 SD D7 R264 *10K
8 SDIOW# T69 49 50 T70
S DDREQ
8 SDDREQ
SI ORDY
8 SIORDY S DIOR# 51
8 SDIOR# 52
IRQ15
8 IRQ15 SDDACK#
8 SDDACK#
SDCS1# SUY-80185A-050G1T-AP
8 SDCS1#
SDCS3# CDR-C12468-25001-50P
8 SDCS3#

C C

HDD CONNECTOR
CN10
PCIRST#
PD D7 44 43 PD D8
PD D6 42 41 PD D9
PD D5 40 39 PDD10
PD D4 38 37 PDD11
PDA[0..2] PD D3 36 35 PDD12
8 PDA[0..2] PD D2 34 33 PDD13
P DD[0..15] PD D1 32 31 PDD14
8 PDD[0..15] PD D0 30 29 PDD15
28 27 P DIOR# R201 *4.7K
26 25 +5V
PD IOW# P DDREQ PD IOW# R203 *4.7K
8 PDIOW# 24 23
P DDREQ PD IOW#
B 8 PDDREQ 22 21 B
PI ORDY P DIOR#
8 PIORDY P DIOR# PI ORDY 20 19 PCSEL R199 470 P DDREQ R206 *4.7K
8 PDIOR# 18 17
IRQ14 PDDACK# PD D7 R217 *10K
8 IRQ14 PDDACK# IRQ14 16 15
8 PDDACK# 14 13
PDCS1# PDA1 PDIAG R190 *10K
8 PDCS1# 12 11
PDCS3# PDA0 PDA2
8 PDCS3# 10 9 HDD_VDD
PCIRST# PDCS1# PDCS3# L14
6,8,13,14,16,17,18,19,23,25 PCIRST# 8 7
HDD LED# PBY201209T-4A
HDD_VDD
6
4
5
3
60MIL +5V
2 1
C250 SUYIN-HDD
*100P C234 C240 C241 C235
1000P 10U/10V/V
+5V
.1U/16V/0402 .1U/16V/0402

R436
510
1

Q34

2 HDD LED#

IDELED# DTA124EU
27 IDELED#
3

REV:B ADD

A A

PROJECT : KT2
Quanta Computer Inc.
Size Document Number Rev
C 28---HDD, ODD 1A

Date: Friday, May 14, 2004 Sheet 26 of 33


5 4 3 2 1
5 4 3 2 1

TOUCH PAD CONNECTOR REV:B FROM +5V CHANGE TO 5VSUS USB PORT
MBATLED1 12 MIL L16 C252
25 MBATLED1 5VSUS BK1608HS800-T .1U/16V/0402

2
5VSUS
Q15 U20
DTC144EUA CN8 5 1 USB1PWR
TP_SW# IN OUT
25 TP_SW# 1

1
1 3 -BATLED1 -TP_LED R186 33 4 3 C405
5VTP 2 ON# SET C404
5VTP 3
L15 1 02 TPD_1 C403 22U/CC1206
25 TPDATA 4 *100U/10V
25 MBATLED0 MBATLED0 L27 1 02 TPD_2 C402 2 R255 470P
25 TPCLK

2
5 GND 6.8K
6

2
.1U/16V/0402 AAT4610A
5VPCU 7
Q17 PW RLED R166 0
D DTC144EUA R170 200/F 8 D
26 IDELED# 9
-BATLED1 R165 1K
-BATLED0 -BATLED0 R167 680 10
1 3 11 13
C254 C471
*10P *10P 12 14
ACES-87153-1201

USBP00-
REV:B remove Q16 and -pwrled change to pwrled USBP00+ USB 1

9
5VPCU CN19
1 12
TP_SW#

GND_1
REV:C Remove Choke 1
2
PORT1-VCC
TP_LED C213 C452 PORT1-D-
25 TP_LED 3 PORT1-D+
0.1U 0.1U 4 PORT1-GND
2

GND 11
Q18
DTC144EUA UP CONNECT
5VSUS 12
-TP_LED GND
1 3 U19 5
USB0PWR PORT0-VCC
5 IN OUT 1 6 PORT0-D-
7

GND_2
PORT0-D+

1
4 3 C401 8
ON# SET C398 PORT0-GND
C399 C400 22U/CC1206
PS/2 PORT .1U/16V/0402 2 R254 470P *100U/10V USB_2522A-8G1T

10
F3 L21 CN21 GND 6.8K
+5V 2 1 Z1504 2 1 PS2_VCC PS2_MD
1
AAT4610A
*MINI_PS *FBMJ2125HM330 L25 PS2_KD
PS2_KC 2
25 KB_CLK 3
L24 *BK1608HS241 PS2_VCC
25 KB_DAT
*BK1608HS241 L22
PS2_KD PS2_MC
PS2_KC
4
5 USBP01-
USB 2
6

7
8
PS2_MC USBP01+
25 PSCLK1
L23 *BK1608HS241 *FOX_MH21761-WRF6
PS2_MD CN?
25 PSDAT1
C *BK1608HS241 USBP1- R268 *0 USBP01- C
9 USBP1- 1
USBP1+ R269 *0 USBP01+
9 USBP1+ 2
1

2
7/17 EMI C411 C412 C408 C406 C407 USBP0- R434 *0 USBP00-
9 USBP0- 3 6
USBP0+ R437 *0 USBP00+
9 USBP0+ 4 5
*.01U *470P *470P *470P *470P +5V
2

EIC 3802-04
PS2_VCC
REV:C ADD

1
C409 C413 C410
*0.1U *0.1U *4.7U/10V-0805 5VSUS

2
U18
5 1 USB2PWR
IN OUT

1
4 3 C337
ON# SET C339
C322 C329 *22U/CC1206
KEYBOARD CONNECTOR *.1U/16V/0402 2 R216 *470P *100U/10V
USB 3

2
GND *6.8K
REV:B from +3v change to 3V pcu *AAT4610A

CN11
CA4 220PX4 CA5 220PX4 3VPCU 20 CN12
MX0 MX0 25
1 2 M Y9 1 2 M Y5 RP10
MX1 23 MX1 25 1
3 4 M Y8 3 4 M Y3 10 1 M Y5
MX2 25 MX2 25 9 USBP2-
USBP2-
2
5 6 M Y7 5 6 M Y1 M Y6 9 2 M Y3
MX3 21 MX3 25 9 USBP2+
USBP2+
3 GND 6
7 8 M Y6 7 8 M Y4 M Y7 8 3 M Y1
MX4 24 MX4 25 4 GND 5
M Y8 7 4 M Y4 18 25 1
CA3 MX5 MX5 25
220PX4 CA7 220PX4 M Y9 6 5 19 90ohm@100Mhz *UB11193-C1301-TR
MX6 MX6 25
7 8 MY10 1 2 MX7 MX7 22 MX7 25
5 6 MY14 3 4 MX1 10KX8
3 4 MY11 5 6 MX4 MY0 4 MY0 25
1 2 M Y2 7 8 MX2 RP11
MY1 15 MY1 25 REV:B remove CHOKE
10 1 MY10 5
MY2 MY2 25
CA2 220PX4 CA6 220PX4 M Y0 9 2 M Y2 14 UP CONNECT
MY3 MY3 25
7 8 M Y0 1 2 MX5 MY12 8 3 MY11
MY4 16 MY4 25
5 6 MY12 3 4 MX6 MY13 7 4 MY14
MY5 13 MY5 25
B
3 4 MY13 5 6 MX0 MY15 6 5 MY6 12 MY6 25 B
1 2 MY15 7 8 MX3 MY7 11 MY7 25
10KX8 10 MY8 25
MY8
MY9 9 MY9 25
C379 220P 8 REV:B R219 remove from BOM
MY16
MY16 25
R232 10K
MY16
MY10
MY11
MY12
6
3
MY10 25
MY11 25
MY12 25
LED BOARD CON CN14
MY13 2 MY13 25
MY14 7 MY14 25
1 25 PWRLED PW RLED R219 *0 1 2
MY15 MY15 25 1 2
17 N BSWON# 3 4
NC 25 NBSWON# 3 4 INT_SPKL+ 21
-CAPSLED R221 470 5 6
5 6 INT_SPKL- 21
KEYBOARD_CONN. -NUMLED R223 470 7 8
7 8 +5V
-PADLED R225 470 9 10
MX0 9 10
11 11 12 12 INT_SPKR- 21
MX1 13 14
13 14 INT_SPKR+ 21
MX2 15 16
15 16 5VPCU
VOL UP MX3 17 18 LI D#
VOLUME CONTROL MX5 M Y2
MX4 19
17
19
18
20 20 MY16
C376
LID# 15

2 1
3 127183 *0.1U
SW4 IT-1188E 4

VOL DN 25 CAPSLED CAPSLED

2
SW6
MX6 2 1 M Y2
REV:B LED function reverse with connexant driver Q19 N BSWON# 1 2
3 DTC144EUA 3 4
SW5 IT-1188E 4
1 3 -CAPSLED

MUTE NUMLED
LED2 25 NUMLED REV:B ONLY TEST

2
1 2 MUTE_LED 20
A MX7 2 1 M Y2 Q20 A
3 DTC144EUA
SW3 IT-1188E 4 LED(0603package)-AMBER
1 3 -NUMLED

25 PADLED PADLED
2

Q21
MX0 MX1 MX2 MX3 MX4 MX5 MX6 MX7 DTC144EUA
PROJECT : KT2
MY2 RF TouchPad VOL UP VOL DN MUTE 1 3 -PADLED
MY7
Quanta Computer Inc.
REV:B change net name from scroled to padled Size Document Number Rev
MY16 QS1 QS2 QS3 QS4 QS5 C 1A
32----TP, KB, PS2, LED/B, KEY, USB
Date: Friday, May 14, 2004 Sheet 27 of 33
5 4 3 2 1
5 4 3 2 1

D VIN 15,29,30,31,32 D
VIN_1907

1907VCC 5VPCU
PC89 PC84
PC101 PR95 1U/10V/X7R PC29 PC30 PC19 PC31 PC25 PC22 PL6 PC33
.22/10V/0603
VIN
10-0402 .1U/50V FBMJ3216HS800

2200P/50V

.1U/50V
1907AGND

10U/25V

10U/25V

10U/25V

10U/25V

10U/25V
VIN_1907 PD14

CH501H-40

10

30
PU5
36 34

VCC

VDD
6,9,25 PWROK

1
SYSPOK V+ PR68 PC81
4ms delay to ICH4-M. 37 31 1907_BST
9 IMVPOK IMVPOK BST

5
6
7
8

5
6
7
8
38 0 .22U/25V
2 CLK_EN# CLKEN PQ16
DH 33 1907DH 4 4 PQ17 1.356V
4 CPU_VID5 21 D5
22
4
4
CPU_VID4
CPU_VID3 23
D4
D3
25A
24 IR7821 IR7821
4 CPU_VID2 D2 VCC_CORE 4
25 32 1907LX
4 CPU_VID1 D1 LX
4 CPU_VID0 26

3
2
1

3
2
1
D0 PR11 VCC_CORE
1907S2 6 PL2 0.001/2W/5%
0.748V for suspend mode T66 S2 1907DL PC4 PC3 PC9 PC6 PC5
1907VCC 5 S1 DL 29 2 2 1 1
(Deeper sleep) 4 S0

5
6
7
8

5
6
7
8

5
6
7
8
1907AGND 0.62UH/30A 2P 1P
2P 1P

1
C 1907B0 1 C
1907B1 B0 PQ15 PQ13 PQ14 PD3
2 B1 GND 11

CM+

330U/2.5V/ESR-9/SP

330U/2.5V/ESR-9/SP

*330U/2.5V/ESR-9/SP

330U/2.5V/ESR-9/SP

330U/2.5V/ESR-9/SP
1907B2 1907AGND

CM-
3 28 4 4 4 + + + + +
B2 PGND 1907VCC

EC31QS04
2
DPRSLPVR 35
9 DPRSLPVR SUS P335 PR71 *10K IR7832 IR7832 *IR7832 PR107 PR108
TON 40
STP_CPU# 20
2,9 STP_CPU# DPSLP 1.5K 2K/F

3
2
1

3
2
1

3
2
1
PR19 0 VRON-1 7
25,30,33 VRON SHDN

DPRSLPVR 56K/F/0603 1907_OA+


1907AGND PR70 P331 39
OA+ 17
16
SP-CAP H:2.8mm
TIME OA- PC104
270P/50V 15 1907FB PR99 3.01K/F 1907_OA- 470P/50V/0603
PR69 1907AGND PC107 P332 FB
12 CC
19 PC111 100P/50V
2.7K .22/10V/0603 CSN
1

1907REF PC91 8 CM-1 PR102 200 CM-


REF PC103 CM+1 PR103 200 CM+
1907REF 1000P/50V D5 D4 D3 D2 D1 D0 Output D5 D4 D3 D2 D1 D0 Output
2

18 1 0 0 0 0 0 1.196V 0 0 0 0 0 0 1.708V
P333 CSP 1 0 0 0 0 1 1.180V 0 0 0 0 0 1 1.692V
9 ILIM 1907FB 1 0 0 0 1 0 1.164V 0 0 0 0 1 0 1.676V
PR90 1907DD 27 14 1 0 0 0 1 1 1.148V 0 0 0 0 1 1 1.660V
GND

POS

232K/F T72 DDO NEG 1 0 0 1 0 0 1.132V 0 0 0 1 0 0 1.644V


PR100 1 0 0 1 0 1 1.116V 0 0 0 1 0 1 1.628V
MAX1907 1.24K/F VCC_BOOT 1 0 0 1 1 0 1.100V 0 0 0 1 1 0 1.612V
41

13

1 0 0 1 1 1 1.084V 0 0 0 1 1 1 1.596V
1 0 1 0 0 0 1.068V 0 0 1 0 0 0 1.580V
P334 B2 B1 B0 Output 1 0 1 0 0 1 1.052V 0 0 1 0 0 1 1.564V
B PR92 PC96 1 0 1 0 1 0 1.036V 0 0 1 0 1 0 1.548V B
34.8K/F/0603 100P/50V PR98 1 0 1 0 1 1 1.020V 0 0 1 0 1 1 1.532V
1907AGND 100K/F SUSPEND MODE (SUS=HIGH) GND GND GND 1.708V 1 0 1 1 0 0 1.004V 0 0 1 1 0 0 1.516V
1 0 1 1 0 1 0.988V 0 0 1 1 0 1 1.500V
REF REF REF 1.372V 1 0 1 1 1 0 0.972V 0 0 1 1 1 0 1.484V
1907AGND 1907AGND S2 S1 S0 Output 1 0 1 1 1 1 0.956V 0 0 1 1 1 1 1.468V
OPEN OPEN OPEN 1.036V 1 1 0 0 0 0 0.940V 0 1 0 0 0 0 1.452V
1907AGND 1 1 0 0 0 1 0.924V 0 1 0 0 0 1 1.436V
OPEN VCC GND 0.748V VCC VCC VCC 0.700V 1 1 0 0 1 0 0.908V 0 1 0 0 1 0 1.420V
J2 *SHORT 1 1 0 0 1 1 0.892V 0 1 0 0 1 1 1.404V
REF VCC VCC 1.212V 1 1 0 1 0 0 0.876V 0 1 0 1 0 0 1.388V
1 1 0 1 0 1 0.860V 0 1 0 1 0 1 1.372V
1 1 0 1 1 0 0.844V 0 1 0 1 1 0 1.356V
1 1 0 1 1 1 0.828V 0 1 0 1 1 1 1.340V
1 1 1 0 0 0 0.812V 0 1 1 0 0 0 1.324V
1907AGND 1 1 1 0 0 1 0.796V 0 1 1 0 0 1 1.308V
1 1 1 0 1 0 0.780V 0 1 1 0 1 0 1.292V
1 1 1 0 1 1 0.764V 0 1 1 0 1 1 1.276V
1 1 1 1 0 0 0.748V 0 1 1 1 0 0 1.260V
1907VCC 1907REF 1907VCC 1907REF 1907VCC 1907REF 1 1 1 1 0 1 0.732V 0 1 1 1 0 1 1.244V
1 1 1 1 1 0 0.716V 0 1 1 1 1 0 1.228V
1 1 1 1 1 1 0.700V 0 1 1 1 1 1 1.212V

PR88 PR86 PR84 PR75 PR67 PR72


*0 0 0 *0 0 *0

1907B2 1907B1 1907B0

PR85 PR80 PR73


*0 *0 *0
A A

PROJECT : KT2
Quanta Computer Inc.
Size Document Number Rev
Custom 28---CPU CORE (MAX1907) 1A

Date: Friday, May 14, 2004 Sheet 28 of 33


5 4 3 2 1
5 4 3 2 1

PD10 PD5
2 2
3 3
BATTERY 1
SBM1040 ADAPTER 18.5V 65W 3.51A SBM1040
1

CHARGER VA VAD VIN PQ19


D PQ32 D
PL10 PQ35 AO4411
CN20 12/15 FBMJ3216HS800 *AO4411 PR54 AO4411 1 8
2 J1-1 8 1 2 1 1 8 2 7
2 1
1 7 2 2 7 3 6
3 6 3 2P 1P 3 6 PR46 4 5
2P 1P
5 4 4 5 200K
POWER_JACK PC56
4
5

PC55 .1U/50V 0.04/1W/3720


PR55

4
.1U/50V PR27
PQ30 PL8 PC36 PC35
PL11 PQ28 MBAT+

S2
G2
DTA124EUA 2 2 1 1

D1
FBMJ3216HS800 10K 1 3P348 PR45

1
P347 100K 15uH/4.4A/CDRH104R 2P 1P
2P 1P

10U/25V

10U/25V
PC54 PC50 SI4814DY + PC32
PR56 PR57 .1U/50V PC34
*.22U/25V PL9 0.05/1W/3720 100U/25V .1U/50V

2
7 S1D2
4.7 PC53

G1
2
PR53 4.7 PR37 PR35
PR52
4.7 4.7

5
ACOK P345 10K PC57 PC58 .1U/50V FBMJ3216HS800 PC51
PC52

2
P346 .1U/50V
100K

10U/25V
PD9 .1U/50V

10U/25V
CH501H-40
PC42 PC40 1772_5.4V
2 PQ34 PC47 .1U/50V .1U/50V

1
1772_5.4V
5VPCU VIN 3VPCU 5VPCU 3VPCU P344 2N7002E PR39 33 PD6 .1U/50V
3
P349 2 1 P3410 PC44 CSIP
1U/10V/X7R PR30

1
1

PD8 PR47 C SIN 100K


C PR49 2 D/C# SW2020C C
D/C# 25
PR50
47.5K/F 0 PU4 47K PQ33 CSSN
5

SW2020C
2

P341
1 + 2N7002E CSSP 10K/F
1

4 P343 P3411
REF4.096
P342 3 - 25 SYS_I PR32 PR29

28

27

26

25

24

23

22

21

20

19

18

17

16

15
LMV331 100K
2

PR51 PU3 PR33

CSSN

PGND

CSIN
BST

DHI

DLO

BATT
INP

CSSP

LX

DLOV

CSIP

CELLS

VCTL
3

100K PR42
12.4K 2.61K/F

3
PR48 2 BL/C# 3VPCU
BL/C# 25 VA PQ27
33.2K/F PQ31 MAX1772EEI

2
2N7002E 2

REFIN
ACOK
PD7

ICHG
DCIN

ACIN
GND

GND

ICTL
CCS

CCV
LDO

REF
1

CLS

CCI
Battery Low 8V 2N7002E
SW2020C

10

11

12

13

14

1
P3416
3VPCU
CELL-SET 25
PC49 1772_5.4V
ACOK 3 1 1772_5.4V PR38
.1U/50V CELL-SET
1K

PC46

PC45

PC43

PC41
PQ29 3VPCU 9,23,25,27,31 LOW = LDO/2 = 3 CELL
PC48 REF4.096
PR43 1U/10V/X7R PC39 HI = LDO = 4 CELL
2

.1U/50V
DTA124EUA .1U/50V
PR41 10K/F CC-SET = 1.05V/A
B 10K P3412 PR31 B
REF4.096 CC-SET CC-SET 25
25 ACIN

1U/10V/X7R

.01U/50V

.01U/50V
PR44 10K

15K PR40

3VPCU 22K/F Charge Current=VICTL*1.24


I source_Max=Vcls/0.8
P3414
PR60
PC60
10K/F PRE_B
.01U/50V PL7
CN22 FBMJ3216HS480NT(6A) VA VA
MBAT+
1
2 P3417 TEMP_MBAT 25 PR36 PR118
7 3 P3418 PC59 PR61
6 4 75K/F 75K/F
5 .01U/50V 100K/F
PR58
PR59 100
Main battery conn. 100 25 AD_AIR
20175A-05G1-5P-D MBATV
MBATV 25
PR34 PR119
MBDATA MBCLK PC61
MBCLK 3,25
3,25 MBDATA 12.4K/F 12.4K/F
.01U/50V
1

A PR62 A

PD12 PD11 14K/F


ZD5.6V ZD5.6V
2

PROJECT : KT2
Quanta Computer Inc.
Size Document Number Rev
Custom 29---CHARGER MAX1772 1A

Date: Friday, May 14, 2004 Sheet 29 of 33

5 4 3 2 1
5 4 3 2 1

D D

PRE_B
VIN +15V
+1.2V SMDDR_VTERM +1.5V +5V +3V
VIN

VCCP

PR117 PR9 PR5 PR113 PR3 PR28 PR26


1M 22B 22B 22B 22B 22B 1M

MAINON_G MAIND PR21 PR20


P351 P352 P353 P354 P355 MAIND 31,32
1M 22B

3
3

3
VRON_G P356
MAINON2
25,33 MAINON
2

3
PR116 2 2 2 2 2 PC38

3
1M 2200P/50V
1

PQ51 PQ25
DTC144EUA PQ8 PQ4 PQ49 PQ2 PQ26 2N7002E 2 PR22 2
25,28,33 VRON

1
2N7002E 2N7002E 2N7002E 2N7002E 2N7002E 1M

1
PQ20 PQ21

1
DTC144EUA 2N7002E

1
C C

PRE_B
PRE_B
VIN VIN
2.5VSUS 3VSUS 5VSUS +15V 1.5V_S5 +15V

PR115 PR4 PR23 PR24 PR25 PR8 PR6 PR2


1M 22B 22B 22B 1M 1M 22B 1M

B SUSON_G SUSD S5_ON_G S5_OND B


P357 P358 SUSD 31 P3510 S5_OND 31
3
P359
3

3
3
SUSON 2 2
25,32 SUSON PR114 PC37 S5_ON 2 PR7
2 2 2 25,32 S5_ON 2 2
1M 2200P/50V 1M PC2
PQ50 PQ24 2200P/50V
1

DTC144EUA PQ3 PQ22 PQ23 2N7002E PQ7 PQ6 PQ5


1

1
2N7002E 2N7002E 2N7002E DTC144EUA 2N7002E 2N7002E
1

1
A A

PROJECT : KT2
Quanta Computer Inc.
Size Document Number Rev
Custom 30---DISCHARGE 1A

Date: Friday, May 14, 2004 Sheet 30 of 33


5 4 3 2 1
5 4 3 2 1

3VPCU

VIN_1999

PL12
PR17 PR110 PC63 PC62

5
6
7
8
3,25 1999_RST# 2 1 P363 1 2
VIN
4.7 FBMJ3216HS800
S0-S5

2200P/50V

.1U/50V
PC64 PC66 S5_OND 4 PQ40
D 30 S5_OND D
PC88 PR82 390K PC115
1.5A

5
100K SI4800DY

1
.1U/50V

10U/25V
.1U/50V

10U/25V
2
PQ18 3V_S5

3
2
1
SI4834
3V_S5
3V_S5 8,9,10

4
PC68
.1U/10V

3VPCU 9,23,25,27,29
1999_DH3
PL3 PC20 PC21 PC24 PC23
1999_LX3 3VPCU 3VPCU
3V_AL ALWAYS
+3V 5.8UH/6A/CDRH104R

5
2.2U/25V

.1U/50V
PC114
5A

330U/6.3V/ESR-25
PC109 +
1

2
PR81 2 1 PQ41

*220U/4V/ESR-15
2

2
.1U/50V AO4812

1
100K PU6 4.7U/10V
1 28
2

N.C BST3

25,32 HWPG 2 PGOOD LX3 27


C C

4
3 ON3 DH3 26
+3V
5V_AL 4 25
S0-S1 SUSD
ON5 LDO3 SUSD 30
VIN_1999
ILIM3 5 24 1999_DL3 3VSUS
ILIM3 DL3 2.5A
6 23 PC77
SHDN- GND 3VSUS 3,14,15,20,30
REF2V_1999 .1U/10V
REF2V_1999 1999_BST3
7 FB3 OUT3 22 3VPCU PC26 PC27 PC65 PC28
PC86 1U/10V PC76
2 1 8 21 5VPCU MAIND .1U/10V
S0-S3
REF OUT5 30,32 MAIND

5
6
7
8
PC108 .1U/50V
+

9 20 1 2
FB5 V+ 1A

2200P/50V

.1U/50V

10U/25V

10U/25V
PR76 100K
2 1 P361 10 PRO- DL5 19 1999_DL5 4 PQ38

ILIM5 11 18
ILIM5 LDO5 PC113 1U/10V/X7R
12 17 SI4800DY
SKIP- VCC
5VPCU 9,25,27,28,29,32,33
PR77 13 16 1999_DH5

3
2
1
TON DH5 PL4 PC85 PC78
0 1999_LX5 PC15 PC17 5VPCU
14 BST5 LX5 15 5VPCU ALWAYS

5
6
7
8
MAX1999 5.8UH/6A/CDRH104R

5
2.2U/25V

.1U/50V
P362
6.7A

1
PC87

*330U/6.3V/ESR-25

330U/6.3V/ESR-25
1999_BST5 1 2 4 PQ36 PQ44

2
.1U/50V

2
2

PR18 AO4812
B PD4 SI4810DY B
51
CHP202U

3
2
1

4
3

+5V SUSD
5V_AL SUSD 30

10,14,15,17,18,21,24,25,26,27,30,33 +5V
1

5VSUS
+ PC112 PC93
4.7U/10V
5VSUS 10,25,27,30
REF2V_1999 .1U/10V
S0-S1
2

PC90

.1U/10V
3.5A S0-S3
MAIND
PRE_B 30,32 MAIND
PR65
PR66 3.1A
60.4K/F
60.4K/F
PD2 Only for power
ILIM3 5VPCU
ALWAYS
PC110 2
PD16
1999_DL3 1 2 P364
ILIM5 3 +10V
.01U/50V +10V PC121 2
1
1

1999_DL3 1 2 3
PR64 PR63 CHN217 .01U/50V +15V
1
1

PC10

1
A 82.5K/F 47.5K/F PC8 A
+10V
2

.1U/50V CHN217
2

2.2U/25V
2
PROJECT : KT2
J3
SHORT PAD Quanta Computer Inc.
+10V 2 1 +15V
Size Document Number Rev
Custom 31---MAX1999(3V/5V) 1A

Date: Friday, May 14, 2004 Sheet 31 of 33


5 4 3 2 1
5 4 3 2 1

D D

PC100

.1U/50V
VIN_1845_1.5V

VIN_1845_1.5V
PC72 PC70 PC73

1
PC71 PC69 PL13
PC102 PD15 VIN

8
7
6
5
CHP202U

2200P/50V

.1U/50V
FBMJ3216HS800

2200P/50V

.1U/50V
1U/10V/X7R PC75 PC74
5.8A

5
6
7
8
PQ43 4 PQ42 PC67

3
10U/25V 5VPCU
VIN_1845_1.5V PR93 IRF7821 10U/25V 10U/25V
S0-S3 SI4800DY 1845VCC 4 10U/25V

PC92 .1U/50V 10-0402 PC97 8.5A

22
4
PU7

1
2
3
2.5VSUS 1845BST2 19 21 4.7U/10V

VCC
V+
BST2 VDD PC106
20 25 1845BST1 1.5V_S5
S0-S5

3
2
1
PL14 2.5UH/7.5A/CDRH104R DL2 BST1
1845LX2 17 26 1845DH1
6,7,12,24,30,33 2.5VSUS LX2 DH1 .1U/50V PL5 PC83 PC79 PC16 PC18

8
7
6
5
1845DH2 18 27 1845LX1
DH2 LX1 1.5V_S5 10,30,33
C PC98 PC99 PC80 PC82 16 24 1845DL1 2.5UH/7.5A/CDRH104R C
CS2 DL1
1

1
2.2U/25V

.1U/50V

220U/2.5V/ESR-18

220U/2.5V/ESR-18
PR79 PQ39 4
+ + 15 28 + +
OUT2 CS1

5
6
7
8
2.2U/25V

.1U/50V
*220U/4V/ESR-15

470U/4V/ESR-15/NEO

SI4810DY 1845FB2 14 1
2

2
FB2 OUT1

1
* PQ37
2 1845FB1 4 PD13
PR91 0 1845_PWG FB1
1 7
2
3
PGOOD

EC31QS04
Rc 5 PR109
MAX1845 TON

5
6
7
8
PR89 0 P371 11 1845REF2V IRF7832TR

2
25,30 S5_ON ON1 *5.23K/F/0603 PQ45
PR87 0 P372 12
25,30 SUSON ON2 SI4800DY
Vout=(1+Rc/Rd)*1 10 30,31 MAIND 4

3
2
1
REF
1845ILIM2 13 6 Rc
ILIM2 SKIP PC95
1845ILIM1 3 .22/10V/0603
ILIM1
Rd 23

OVP
UVP
GND
Vout=(1+Rc/Rd)*1

3
2
1
+1.5V
PR78

8
1845VCC
0 Rd +1.5V
PR101
PC94
0 PR106 .1U/10V
25,31 HWPG
1845VCC
*10K/F
+1.5V 4,5,6,7,9,10,13,30

B B

Fix 2.5V Output 1.5A


1845REF2V

S0-S1
PR83 PR94
60.4K/F 60.4K/F

1845ILIM2 1845ILIM1

PR74 PR96
47.5K/F
14K/F

A A

PROJECT : KT2
Quanta Computer Inc.
Size Document Number Rev
Custom 32---MAX1845(2.5VSUS/1.5V_S5) 1A

Date: Friday, May 14, 2004 Sheet 32 of 33


5 4 3 2 1
5 4 3 2 1

D D

1845REF2V +5V

1845REF2V
1.5V_S5 1845REF2V
1.5V_S5
PR10
PR104
20K/F PC14
.1U/50V 20K/F

5
6
7
8
8

5
6
7
8
P382 PR14 PQ9
3 +
1 P383 4 P386 5 + PQ46
5VPCU

PC12
PR15 2 - 7 P387 4

PC105
SI4800DY PR97 6 -
PU2A 100 SI4800DY

4
PR16 23.2K/F LMV358/TSSOP8 PU2B
32.4K/F LMV358/TSSOP8

2200P
100K

3
2
1
3

5VPCU

*2200P

3
2
1
P384 VCCP
PC13 47P/50V PC7 P388 +1.2V
P381 2 PR105 PC11 47P/50V PC116
PR12
VCCP 3,4,5,7,8,10,30
100K PR13
+1.2V 5,7,30
3

3
PQ10 10K/F

1
220U/4V/ESR-40
2N7002E 10K/F
1

220U/4V/ESR-40
C 2 C
25,28,30 VRON
2 S0-S1

2
PQ12
1.05V

2
1

3
DTC144EUA PQ47

2.5A 2N7002E 2A

1
25,30 MAINON 2
3

PQ48

1
2 DTC144EUA

PQ11
2N7002E
1

B B

SMDDR_VREF
1.25V (0.2A)
S0-S3
1.25V (2.4A)

2
PC118
6,12 SMDDR_VREF
220U/4V/ESR-40
S0-S1

1
SMDDR_VTERM

PR112 1 8
GND VTT SMDDR_VTERM 11,30
MAINON P3811 2 7
25,30 MAINON SD PVIN 2.5VSUS
3 VSENSE AVIN 6
100K 4 5
PC120 PC119 VREF VDDQ PC117
PU8 LP2996
2.5VSUS 6,7,12,24,30,32
.1U/16V

.1U/16V

10U/6.3V

Footprint/PSOP8-8P
A A

PROJECT : KT2
Quanta Computer Inc.
Size Document Number Rev
Custom 33---VCCP/1.2V/DDR_VTERM 1A

Date: Friday, May 14, 2004 Sheet 33 of 33

5 4 3 2 1

S-ar putea să vă placă și