Sunteți pe pagina 1din 52

Universidad Nacional Autnoma de Mxico

Facultad de Estudios Superiores Cuautitln

Departamento: Ingeniera

Seccin: Electrnica

Laboratorio de Sistemas Digitales

Asignatura: Sistemas Digitales

Clave Carrera: 130

Clave de Asignatura : 1525

Autores : M. en TI. Jorge Buenda Gmez


Ing. Jose Luis Barbosa Pacheco

Fecha de Elaboracin: 2015


Fecha de modificacin: Enero 2017
Universidad Nacional Autnoma de Mxico Facultad de Estudios Superiores Cuautitln

Laboratorio de Sistemas Digitales


ndice

ndice I

Prologo II

Reglamento V

Prctica 1 Herramientas de prueba para 1


1.1. Sistemas digitales y analgicos.

Prctica 2 Compuertas lgicas con elementos discretos 6


2.2. Compuertas lgicas bsicas, sus tablas de verdad y sus expresiones de Boole.

Prctica 3 Compuertas lgicas integradas 10


2.2. Compuertas lgicas bsicas, sus tablas de verdad y sus expresiones de Boole.

Prctica 4 Circuitos combinacionales por lgebra de Boole 16


2.4. Descripcin algebraica de circuitos lgicos.

Prctica 5 Circuitos combinacionales con mapas de Karnaugh 19


3.6. Mtodo de simplificacin a travs del mapa de Karnaugh.

Prctica 6 Caractersticas de las familias lgicas 22


4.2. La familia Lgica Transistor Transistor (TTL).
4.4. La familia Lgica Metal Oxido Semiconductor (MOS).

Prctica 7 Multiplexores y demultiplexores 27


5.3. Multiplexores y Demultiplexores.

Prctica 8 Unidad aritmtico lgica (ALU) 30


5.4. Circuitos digitales para operaciones aritmticas binarias.
5.7. Unidades Aritmtico Lgicas (ALUs).

Prctica 9 Contador sncrono para control de motor de pasos 34


6.7. Aplicaciones de circuitos secuenciales.

Prctica 10 Autmatas finitos con mquinas de Mealy y Moore 38


6.8. Autmatas finitos.
6.8.1. Mquina de Mealy.
6.8.2. Mquina de Moore.

Prctica 11 Memorias ROM 44


7.5. Caractersticas de las memorias ROM.

Laboratorio de Sistemas Digitales I


Universidad Nacional Autnoma de Mxico Facultad de Estudios Superiores Cuautitln

Laboratorio de Sistemas Digitales


Prlogo

Objetivos generales de la asignatura

Al finalizar el curso el alumno ser capaz de comprender y analizar sistemas electrnicos digitales
combinacionales y secuenciales, as mismo ser capaz de disear e implementar circuitos electrnicos
digitales para resolver problemas prcticos.

Objetivos del curso experimental

El alumno aprender a manejar las herramientas y equipos utilizados en el laboratorio de Sistemas


Digitales.
El alumno analizar y comprender el funcionamiento de los circuitos electrnicos digitales y sus
aplicaciones a circuitos con diseos prcticos.
El alumno adquirir las habilidades y las competencias necesarias para el anlisis, diseo e
implementacin de circuitos electrnicos digitales.

Introduccin

Un sistema digital es aquel dispositivo destinado a la manipulacin, transmisin, procesamiento o


almacenamiento de seales digitales. Los sistemas digitales son una combinacin de dispositivos
electrnicos diseados para procesar informacin representada en forma digital; es decir, que slo puedan
tomar 2 valores discretos o binarios.

Para el analizar y disear los sistemas digitales binarios es necesario utilizar herramientas tales como:

Algebra de Boole.
Mapas de Karnaugh
Mtodo tabular de Quine McKluskey y otros

Las cuales nos permiten llevar a la prctica a los sistemas digitales e implementarlos de la forma ms adecuada,
optimizando los parmetros de costo, potencia, espacio, velocidad, etc.

Los sistemas digitales pueden ser de dos tipos:

Sistemas digitales combinacionales: Son aquellos en los que la salida del sistema slo depende de la
combinacin de entrada actual.
Sistemas digitales secuenciales: La salida depende de la entrada actual y de las entradas anteriores.
Esta clase de sistemas necesitan elementos de memoria que recojan la informacin de los estados
anteriores del sistema.

Para la implementacin de los circuitos digitales, se utilizan compuertas lgicas bsicas y combinadas tales
como: NOT, AND, OR, NAND, NOR, XOR y XNOR, con las cuales se puede disear toda la estructura avanzada
de los sistemas digitales complejos.

La electrnica digital es posiblemente una de las ramas de la electrnica que se ha desarrollado de forma
ms acelerada y debido a eso es muy importante conocer los elementos y conceptos que forman la base de
conocimiento de esta rea.

Laboratorio de Sistemas Digitales II


Universidad Nacional Autnoma de Mxico Facultad de Estudios Superiores Cuautitln

Ventajas de los Circuitos Digitales

Resultados predecibles y repetibles.


Facilidad de diseo.
Flexibilidad.
Funcionalidad.
Programabilidad.
Velocidad
Economa.
Avance tecnolgico constante.
Exactitud.
Facilidad de almacenamiento.
Facilidad para transmisin datos.

En un mundo totalmente analgico los circuitos digitales han tenido un desarrollo exponencial debido a las
facilidades que brindan para realizar el control, el almacenamiento y la transportacin de la informacin
binaria, debido a estas caractersticas, la mayora de los procesamientos de seales se realizan en forma
digital.

En el laboratorio de Sistemas Digitales los alumnos debern realizar la comprobacin de los conceptos
bsicos tericos ms relevantes utilizados dentro de la electrnica digital, aprendiendo con ello a desarrollar
las competencias y habilidades para el anlisis, diseo, investigacin, consulta de manuales,
implementacin de circuitos digitales, etc.

En estas prcticas se presentan los elementos ms importantes y las herramientas que permiten realizar el
diseo de sistemas digitales.

Tambin se ha incluido la actividad de simulacin de los circuitos, pues en la actualidad es muy conveniente
tener un anlisis previo que permita asegurar con un gran porcentaje de veracidad el comportamiento de
los circuitos antes de implementarlos fsicamente.

Aunque el alumno debe considerar que las simulaciones dependen del grado de precisin que se logre con el
software con que se implementan y por lo tanto no son totalmente apegadas a la realidad.

Instrucciones para la elaboracin del reporte

Para la presentacin del reporte se deber cumplir con los requisitos indicados en el desarrollo de cada una
de las prcticas, incluyendo:

Nombre de la prctica.
Objetivos.
Introduccin.
Procedimiento experimental (grficas, tablas, comentarios, etc.).
Cuestionario.
Conclusiones.
Bibliografa.

Laboratorio de Sistemas Digitales III


Universidad Nacional Autnoma de Mxico Facultad de Estudios Superiores Cuautitln

Los criterios de evaluacin para el laboratorio son los siguientes:

C1 (Criterio de evaluacin 1): Actividades previas (40%)


C2 (Criterio de evaluacin 2): Habilidad en el armado de circuitos (10%)
C3 (Criterio de evaluacin 3): Desarrollo y funcionalidad de la prctica (10%)
C4 (Criterio de evaluacin 4): Reporte entregado con todos los puntos indicados (40%)

Los reportes debern incluir una portada obligatoria de formato libre con la siguiente informacin, sin omitir
ninguno de los datos.

U. N. A. M.
F. E. S. C.

Laboratorio de : __________________________________________________________________
Grupo: _____________________________ No. de Prctica: _______________________________
Nombre de Prctica: ______________________________________________________________
Profesor: ________________________________________________________________________
Alumno: _____________________________________________________________________
Fecha de realizacin: _______________________ Fecha de entrega: _______________________
Semestre: ______________________

Bibliografa

1. M. Morris Mano, Charles R. Kime, Fundamentos de Diseo Lgico y Computadoras, 3 Edicin, Espaa,
Pearson Education de Mxico, 2007.
2. Ronald J. Tocci, Sistemas Digitales, Principios y Aplicaciones, 10 Edicin Prentice Hall Hispanoamericana
S.A., Mxico, 2007.
3. John F. Wakerly, Diseo Digital, Principios y Prcticas, 3 Edicin, Pearson Education de Mxico, Mxico,
2007.
4. Floyd Thomas L., Fundamentos de Sistemas Digitales, 9 Edicin, Prentice Hall, Madrid, 2006.
5. Balabanian Norman, Principios de Diseo Lgico Digital, CECSA, 1 Edicin, Mxico, 2002.
6. Garza, Garza Juan ngel, Sistemas Digitales Y Electrnica Digital, Prcticas De Laboratorio (Incluye Cd-
Rom), Pearson Educacin de Mxico, 1 Edicin, Mxico, 2006.

Laboratorio de Sistemas Digitales IV


Universidad Nacional Autnoma de Mxico Facultad de Estudios Superiores Cuautitln

Laboratorio de Sistemas Digitales


Reglamento interno de los laboratorios de Comunicaciones,
Control, Sistemas Analgicos y Sistemas Digitales

1. Dentro del laboratorio queda estrictamente prohibido.

a. Correr, jugar, gritar o hacer cualquier otra clase de desorden.


b. Dejar basura en las mesas de trabajo y/o pisos.
c. Sentarse sobre las mesas
d. Fumar, consumir alimentos y/o bebidas.
e. Realizar y recibir llamadas telefnicas y/o el envo de cualquier tipo de mensajera.
f. La presencia de personas ajenas en los horarios de laboratorio.
g. Dejar los bancos en desorden.
h. Mover equipos o quitar accesorios de una mesa de trabajo a otra sin el consentimiento previo del profesor de
laboratorio en turno.
i. Usar o manipular el equipo sin el conocimiento previo del profesor.
j. Rayar las mesas del laboratorio.
k. Energizar algn circuito sin antes verificar que las conexiones sean las correctas (polaridad de las fuentes de
voltaje, multmetros, etc.).
l. Hacer cambios en las conexiones o desconectar equipo estando ste energizado.
m. Hacer trabajos pesados (taladrar, martillar, etc.) en las mesas de las prcticas.

2. Se permite el uso de medios electrnicos y equipo de sonido (celulares, tabletas, computadoras, etc.) nicamente
para la realizacin de las prcticas.

3. El alumno verificar las caractersticas de los dispositivos electrnicos con el manual.

4. Es responsabilidad del profesor y de los alumnos revisar las condiciones del equipo del laboratorio al inicio de cada
prctica. El profesor reportar cualquier anomala que pudiera existir (prendido, daado, sin funcionar, maltratado,
etc.) al encargado de rea correspondiente o al jefe de seccin.

5. Es requisito indispensable para la realizacin de las prcticas, que el alumno cuente con su manual completo y
actualizado al semestre en curso, en formato digital o impreso, el cual podr obtener en:
http://olimpia.cuautitlan2.unam.mx/pagina_ingenieria.

6. El alumno deber traer su circuito armado para poder realizar la prctica, de no ser as no podr realizar dicha
prctica (donde aplique) y tendr una evaluacin de cero.

7. Para desarrollar trabajos, o proyectos en las instalaciones de los laboratorios, es requisito indispensable que est
presente el profesor responsable, en caso contrario no podrn hacer uso de las instalaciones.

8. Correo electrnico del buzn para quejas y sugerencias para cualquier asunto relacionado con los Laboratorios
(electronica@fesc.cuautitlan2.unam.mx)

9. La evaluacin del laboratorio, ser en base a lo siguiente:

A - (Aprobado); Cuando el promedio total de todas las prcticas de laboratorio sea mayor o igual a 6 siempre y
cuando tengan el 90% de asistencia y el 80% de prcticas acreditadas en base a los criterios de evaluacin.
NA - (No Aprobado); No se cumpli con los requisitos mnimos establecidos en el punto anterior.
NP - (No Present); con o sin asistencia pero que no haya entregado reporte alguno.

10. Los casos no previstos en el presente reglamento sern resueltos por el Jefe de Seccin.

NOTA: En caso de incurrir en faltas a las disposiciones anteriores, el alumno ser acreedor a las siguientes sanciones por
parte del profesor de laboratorio segn sea el caso y la gravedad, baja temporal o baja definitiva del grupo de
laboratorio al que est inscrito.

Laboratorio de Sistemas Digitales V


Universidad Nacional Autnoma de Mxico Facultad de Estudios Superiores Cuautitln

Laboratorio de Sistemas Digitales


Prctica 1 Herramientas de prueba para sistemas digitales

Tema

1.1 Sistemas digitales y analgicos.

Objetivos

Implementar un mdulo de visualizacin con diodos luminosos (leds) para probar el estado de los
circuitos digitales implementados en las prcticas.
Implementar un circuito con switch de 1 polo 1 tiro (1P1T) que permita insertar valores digitales a los
circuitos de las prcticas.

Introduccin

Para realizar pruebas de una forma ms eficiente, en los sistemas digitales es recomendable utilizar
herramientas de apoyo que permiten visualizar o insertar las seales requeridas por los circuitos

En los sistemas digitales es necesario verificar el estado de varias seales de forma simultnea y debido a que
los osciloscopios solo tienen 2 canales es preferible utilizar puntas de prueba con LEDs , tal y como se muestra
en las figuras 1.1 y 1.2.

Figura 1.1 Tarjeta de leds Figura 1.2 Vista inferior con soldaduras

Tambin en esta prctica se implementar un circuito que permite insertar seales digitales ( 0 o 1 lgicos) a
los circuitos de las prcticas y para hacerlo de una forma ms rpida se usar un circuito con switch que
permite insertar 0 lgicos o 0 V y 1 lgicos o 5 V, tal y como se muestra en la figura 1.3.

Esta tarjeta de switchs podr alimentarse tambin con voltajes de 10 V para poder proporcionar seales
digitales a los circuitos MOS los cuales requieren mayor voltaje de operacin.

Este tipo de herramientas facilitan la visualizacin rpida de las seales que intervienen en los circuitos
digitales y el alumno debe implementar ambas (circuito de leds y circuito de switchs) en forma permanente
sobre una tarjeta perforada como se muestra en las figuras anteriores ya que estas herramientas se utilizarn
de forma reiterada en las siguientes prcticas.

Laboratorio de Sistemas Digitales 1


Universidad Nacional Autnoma de Mxico Facultad de Estudios Superiores Cuautitln

Figura 1.3 Tarjeta de switchs Figura 1.4 Vista inferior con soldaduras

Esta prctica se realizar en 3 partes:

En la primera el alumno probar ambos circuitos de leds y switch en la tableta de conexiones para
comprender su funcionamiento y realizar las observaciones y mediciones necesarias.
En la segunda parte el profesor mostrar a los alumnos la forma correcta de realizar la soldadura de los
componentes del circuito sobre una tarjeta perforada y los elementos adicionales (cables, thermofit y
terminales), de las herramientas de prueba.
En la tercera parte el alumno construir los 2 circuitos de prueba fuera del horario de laboratorio, sobre
las tarjetas perforadas, con los elementos electrnicos soldados y con los cables correspondientes para
poder emplearlas en la siguiente sesin de laboratorio.

Actividades Previas a la Prctica

1. EI alumno deber realizar la lectura de la prctica de laboratorio.


2. Traer armados los circuitos de las figuras 1.9 y 1.10 en la tableta de conexiones.

Material para la prctica de laboratorio

Hoja tcnica (Datasheet) del diodo LED XLUG50C impresa o en formato electrnico.
8 Resistencias de 0.47 k a W.
10 Resistencias de 1k a W.
8 Diodos LED del color preferido.
1 DIP switch de 10 posiciones o 2 DIP switch de 5 posiciones

Material para la construccin del circuito

18 Alfileres de cabeza redonda.


30 cm. de Cable plano
1 Tarjeta perforada de 10 x 10 cm.
1 m. de Thermofit de 1/16
1 m. de Soldadura
Cautn

Equipo

1 Multmetro
1 Fuente de voltaje de CD
1 Tableta de conexiones

Laboratorio de Sistemas Digitales 2


Universidad Nacional Autnoma de Mxico Facultad de Estudios Superiores Cuautitln

Figura 1.5. Alfileres Figura 1.6. Tarjeta perforada

Figura 1.7. Cable plano Figura 1.8. Thermofit

Procedimiento Experimental

1. Compruebe el comportamiento correcto del circuito, observando el encendido y apagado de cada uno de
los leds, conectando las terminales de entrada al nivel de 5 V o 0 V respectivamente.

Figura 1.9

2. Mida y anote la cada de voltaje en la resistencia y la cada de voltaje en el diodo cuando est encendido.
3. Mida y anote la corriente del diodo cuando est encendido.
4. Compruebe el funcionamiento del circuito de la figura 1.10 tomando en consideracin que las terminales
de salida producen 0 V o 5 V dependiendo de la posicin del switch correspondiente y por lo tanto se
debe tener cuidado de que las terminales de salida no se toquen entre s ya que produciran un corto
circuito. Esta consideracin debe tomarse en cuenta siempre que se utilice esta herramienta dentro de
los circuitos de las prcticas.

Laboratorio de Sistemas Digitales 3


Universidad Nacional Autnoma de Mxico Facultad de Estudios Superiores Cuautitln

Figura 1.10

5. El maestro explicar la tcnica de soldadura de componentes electrnicos y la tcnica de desoldado.

Figura 1.11

6. El maestro mostrar los diferentes tipos de placas empleadas para realizar circuitos impresos

Figura 1.12

7. El maestro demostrar el procedimiento correcto de soldado de componentes sobre una placa perforada.

Laboratorio de Sistemas Digitales 4


Universidad Nacional Autnoma de Mxico Facultad de Estudios Superiores Cuautitln

8. El maestro demostrar el procedimiento correcto para conectar el cable plano a la tarjeta perforada y a
los alfileres que fungirn como terminales del circuito.
9. El maestro demostrar el procedimiento correcto de aplicacin del thermofit para proporcionar
aislamiento elctrico a cada una de las terminales del circuito.
10. El alumno construir el circuito de leds de la figura 1.9 sobre la tarjeta perforada y comprobar que no
exista continuidad entre las diferentes lneas, observe como referencia las figuras 1.1 y 1.2. Los cables
rojos que se ven en ambas figuras solo sirven de soporte para el cable plano y no forman parte del circuito
elctrico.
11. El alumno construir el circuito de switches de la figura 1.10 sobre la tarjeta perforada y comprobar que
no exista continuidad entre las diferentes lneas, observe como referencia las figuras 1.3 y 1.4. Los cables
rojos que se ven en ambas figuras solo sirven de soporte para el cable plano y no forman parte del circuito
elctrico.

Cuestionario

1. De la hoja tcnica del diodo LED XLUG50C, localice los valores de los parmetros siguientes y antelos en
la siguiente tabla

Corriente en directa
Corriente en inversa
Corriente pico
Potencia
Voltaje en directa
Longitud de onda pico
Ancho espectral
Material empleado para la emisin de la luz

Tabla 1.1

2. Explique el significado de cada uno de los parmetros mencionados en la pregunta 1 del cuestionario.
3. Explique porque el circuito de la figura 1.9 debe de tener una resistencia en serie con el diodo.
4. Explique porque los diodos LED no deben conectarse de forma directa, sin resistencia, a la salida de un
circuito digital.
5. Determine el valor mnimo de resistencia que podra emplearse para no exceder los parmetros del diodo
definidos por la hoja de datos.
6. Dibuje el diagrama de los switch: 1 polo 1 tiro (1P1T), 2 polos 1 tiro (2P1T) y 2 polos 2 tiros (2P2T) y
explique a que se refiere el concepto polo y tiro.
7. Compruebe matemticamente los valores de corriente y voltaje analizando la malla del circuito y
tomando en cuenta la hoja tcnica del diodo LED XLUG50C.

Laboratorio de Sistemas Digitales 5


Universidad Nacional Autnoma de Mxico Facultad de Estudios Superiores Cuautitln

Laboratorio de Sistemas Digitales


Prctica 2 Compuertas lgicas con elementos discretos

Tema

2.2. Compuertas lgicas bsicas, sus tablas de verdad y sus expresiones de Boole.

Objetivo

Comprobar las tablas de verdad de las compuertas lgicas bsicas implementadas con elementos
discretos: diodos, transistores y resistencias.
Analizar los niveles de voltaje asociados con los niveles lgicos 0 y 1 generados por los circuitos
implementados.

Introduccin

Las compuertas lgicas bsicas se construyen empleando elementos discretos tales como diodos, resistencias
y transistores, los cuales son encapsulados en un solo circuito integrado de silicio para formar los sistemas
digitales. En cada uno de estos circuitos es posible integrar millones de estos elementos para formar sistemas
desde muy simples como las compuertas, hasta muy complejos como los microprocesadores.

Los circuitos mostrados en esta prctica pertenecen a la familia lgica DTL (Diode Transistor Logic), que fueron
de los primeros circuitos empleados para crear compuertas digitales.

Las compuertas discretas no tienen las condiciones de trabajo ideales que se han alcanzado en los circuitos
actuales, pues no alcanzan la velocidad, consumo de potencia, niveles de voltaje, corriente y algunas otras
caractersticas que son deseables para los circuitos modernos.

Estas compuertas discretas estn diseadas para trabajar con solo 2 valores de operacin, cuyos valores se
asocian a 2 voltajes analgicos (0V y 5V) y a dos valores lgicos (0 y 1) respectivamente, aunque hay que tomar
en cuenta que debido a la naturaleza de los circuitos que componen a las compuertas, dichos valores estarn
realmente dentro de un rango, con la suficiente separacin entre los dos valores para poderlos distinguir de
forma satisfactoria.

Entrada Salida
Figura 2.1

Laboratorio de Sistemas Digitales 6


Universidad Nacional Autnoma de Mxico Facultad de Estudios Superiores Cuautitln

En esta prctica se implementarn las compuertas lgicas bsicas, comprobando sus tablas de verdad a travs
de los voltajes introducidos en sus entradas y los voltajes obtenidos en sus salidas.

Tambin se medirn y analizarn los valores de voltaje analgico obtenidos en las salidas de las compuertas y
su relacin con los valores digitales asociados.

Actividades Previas a la Prctica

1. EI alumno deber realizar la lectura de la prctica de laboratorio.


2. Realizar la simulacin de los circuitos de las figuras 2.2, 2.3, 2.4 y 2.5. y comprobar las tablas de verdad
de cada uno de los circuitos. Entregar al profesor los resultados de la simulacin, impresa o en archivo.
3. Traer armados los circuitos en la tableta de conexiones.

Material

2 Diodos rectificadores IN4001 o equivalente


1 Resistencia de 1 K a W
1 Resistencia de 2.2 K a W
1 Resistencia de 180 K a W
1 Transistor BC547
Hoja tcnica del transistor BC547 impresa o en formato electrnico.

Equipo

1 Fuente de Voltaje de C.D.


1 Multmetro Digital
1 Tableta de Conexiones
Circuito de switch de la prctica 1

Desarrollo

1. Arme el circuito que se muestra en la figura 2.2, considerando un nivel de alimentacin de 5 V.


2. Obtenga la tabla de verdad del circuito insertando en la entrada A, el voltaje correspondiente, de acuerdo
a la tabla 2.1, observe que cada nivel de voltaje de entrada se puede asociar con su correspondiente valor
lgico.
Seal de Nivel
Entrada Lgico
0V 0
5V 1

Tabla 2.1

3. Emplee el circuito de switch para insertar los niveles de voltaje de entrada.


4. Complete la tabla 2.2 anotando los voltajes de la seal de salida (S) medidos con el multmetro.

Laboratorio de Sistemas Digitales 7


Universidad Nacional Autnoma de Mxico Facultad de Estudios Superiores Cuautitln

A S
0V
5V

Figura 2.2 Tabla 2.2

5. Arme los circuitos de las figuras 2.3 y 2.4, obtenga la tabla de verdad en funcin de los niveles de voltaje
analgicos, antelos en las tablas 2.3 y 2.4 respectivamente.

GND

Figura 2.3 Figura 2.4

A B S A B S
0V 0V 0V 0V
0V 5V 0V 5V
5V 0V 5V 0V
5V 5V 5V 5V
Tabla 2.3 Tabla 2.4

6. Arme los circuitos de las figuras 2.4 y 2.5, obtenga la tabla de verdad en funcin de los niveles de voltaje
analgicos, antelos en las tablas 2.5 y 2.6 respectivamente.

5V

5V

Figura 2.5 Figura 2.6

Laboratorio de Sistemas Digitales 8


Universidad Nacional Autnoma de Mxico Facultad de Estudios Superiores Cuautitln

A B S A B S
0V 0V 0V 0V
0V 5V 0V 5V
5V 0V 5V 0V
5V 5V 5V 5V
Tabla 2.5 Tabla 2.6

Cuestionario

1. Escriba las tablas de verdad lgicas y la funcin de Boole para cada uno de los 5 circuitos armados en la
prctica.
2. Investigue a que circuito integrado de la familia 74XXX corresponde cada una de las tablas de verdad de
los circuitos armados en la prctica y haga un resumen del nmero, descripcin de terminales y diagrama.
Utilice el manual de circuitos integrados digitales TTL de la familia lgica 74XXX.
3. Investigue el significado de los trminos VIH, VIL, VOH y VOL para la familia lgica TTL (Transistor
Transistor Logic).
4. Explique las diferencias que hay entre los valores medidos en las salidas de los circuitos implementados
en la prctica, con respecto a los valores tericos esperados para el 0 y 1 lgicos (0 V y 5 V).

Laboratorio de Sistemas Digitales 9


Universidad Nacional Autnoma de Mxico Facultad de Estudios Superiores Cuautitln

Laboratorio de Sistemas Digitales


Prctica 3 Compuertas lgicas integradas

Tema

2.2. Compuertas lgicas bsicas, sus tablas de verdad y sus expresiones de Boole.

Objetivos

El alumno comprobar el funcionamiento los circuitos integrados de las familias lgicas digitales TTL y
CMOS
El alumno comprobar las tablas de verdad de las compuertas bsicas integradas.
El alumno comparar los valores de voltaje de las compuertas TTL y las compuertas CMOS.

Introduccin

En la actualidad existe una gama amplia de familias lgicas digitales, las cuales se implementan en forma de
circuitos integrados. De las cuales las familias TTL y CMOS son las ms ampliamente comercializadas.

Los circuitos integrados de las diferentes familias lgicas se emplean de acuerdo a la aplicacin sobre la que
sern empleados ya que tienen caractersticas muy diversas entre s, como pueden ser: la potencia consumida,
la velocidad de respuesta, su inmunidad al ruido, los voltajes de alimentacin, grados de integracin, costo,
tamao, encapsulamiento, temperatura de trabajo, etc.

La familia TTL es una familia empleada con mucha regularidad en el diseo de sistemas digitales debido a su
versatilidad y facilidad de manejo.

Figura 3.1

Los circuitos integrados que contienen compuertas son considerados como circuitos de baja escala de
integracin (Small Scale Integrated o SSI) puesto que solo contienen de 4 a 10 compuertas y por eso son los
elementos ms bsicos de la electrnica digital, pero fundamentales para la construccin de grandes bloques
funcionales empleados para la construccin de microprocesadores, memorias y todos los sistemas digitales
conocidos.

En comparacin con las familias lgicas bipolares entre las que se encuentran las TTL, las familias lgicas de
tecnologa Metal Oxido Semiconductor o familias MOS, tienen tiempos de respuesta mayores, el consumo de
potencia es menor debido a que son dispositivos que trabajan en base a voltajes y no en base a corrientes
como lo hacen los transistores BJT, el margen de ruido que soportan es mayor debido a que trabajan en estado
de encendido o apagado con altas impedancias de dispositivo, poseen un mayor intervalo de suministro de
voltaje, un factor de carga ms elevado puesto que consumen corrientes muy reducidas y es por eso que una
sola salida puede alimentar a muchas entradas.

Una de sus caractersticas ms importantes es que ocupan un rea mucho menor dentro de un circuito
integrado que sus contrapartes TTL y es por ello que son las compuertas ms ampliamente empleadas en la
construccin de circuitos integrados de escala de integracin muy alta (VLSI), tales como memorias,
microprocesadores, microcontroladores, dispositivos lgicos programables y otros.

Laboratorio de Sistemas Digitales 10


Universidad Nacional Autnoma de Mxico Facultad de Estudios Superiores Cuautitln

Existen diferentes series de la familia CMOS de CI digitales. Donde cada una de ellas cubre una condicin de
diseo para diferentes dispositivos digitales, entre ellas, compatibilidad con TTL, rango de voltaje, potencia
disipada, etc.

La serie 4000, que fue introducida por RCA fue la primera familia CMOS. La serie original es la serie 4000A; la
4000B representa una mejora con respecto a la primera y con una mayor capacidad de corriente.

Figura 3.2

En esta prctica el alumno obtendr las tablas de verdad lgicas y de voltajes de cada una de las compuertas
bsicas; AND, OR, NAND, NOR, NOT y XOR en ambas familias lgicas TTL y CMOS.

Actividades Previas a la Prctica

1. EI alumno deber realizar la lectura de la prctica de laboratorio.


2. Realizar la simulacin de los circuitos de las figuras 3.3, 3.5, 3.6, 3.7, 3.8, 3.9, 3.10, 3.12, 3.13, 3.14 y 3.15.
Entregar al profesor los resultados de las simulaciones en forma impresa o electrnica.
3. Traer los circuitos armados.

Material

1 C.I. 74LS00
1 C.I. 74LS02
1 C.I. 74LS04
1 C.I. 74LS08
1 C.I. 74LS32
1 C.I. 74LS86
1 C.I. 4001
1 C.I. 4011
1 C.I. 4069
1 C.I. 4070
1 C.I. 4071
Circuito de switches de la prctica 1
Circuito de leds de la prctica 1

Equipo

1 Fuente de Voltaje de C.D.


1 Multmetro Digital
1 Tableta de Conexiones

Desarrollo

1. Implemente el circuito de la figura 3.3 empleando el circuito integrado 74LS04, considerando que dicho
circuito contiene 6 compuertas inversoras, de las cuales solo se emplear 1. Todos los circuitos integrados
TTL de la familia 74XX que vamos a emplear en esta prctica, requieren una alimentacin de 5 V en la
terminal 14 y 0 V en la terminal 7 como se muestra en la figura 3.4, los nmeros mostrados en la figura
representan el nmero de terminal del circuito integrado.

Laboratorio de Sistemas Digitales 11


Universidad Nacional Autnoma de Mxico Facultad de Estudios Superiores Cuautitln

14 8
5V V1
1 7

Figura 3.3 Figura 3.4

2. Obtenga la tabla de verdad de la compuerta proporcionando a la entrada el nivel de voltaje adecuado,


considerando que un 1 lgico es igual a 5 V y un cero lgico es igual a 0 V y midiendo con el multmetro
el voltaje correspondiente en la salida.

A S
0V
5V

Tabla 3.1

3. Desconecte la entrada A del circuito, dejando dicha terminal al aire (sin conexin) y analice el
funcionamiento de la salida del circuito, explique el comportamiento.
4. Implemente el circuito de la figura 3.5. utilizando los circuitos de switch y de leds construidos en la
prctica 1 para insertar las seales digitales de entrada y para visualizar el valor lgico de entrada y salida.
5. Obtenga la tabla de verdad lgica de la compuerta en funcin del encendido o apagado de los leds.

A S
0
1

Figura 3.5 Tabla 3.2

6. Obtenga las tablas de verdad para cada uno de los circuitos 3.6, 3.7, 3.8 y 3.9 considerando que ahora el
circuito tiene 2 entradas.
7. Observe que la configuracin de terminales de los siguientes circuitos es idntica y por lo tanto solo hay
que hacer el intercambio de los circuitos integrados.

A B S
0 0
0 1
1 0
1 1

Figura 3.6 Tabla 3.3

Laboratorio de Sistemas Digitales 12


Universidad Nacional Autnoma de Mxico Facultad de Estudios Superiores Cuautitln

A B S
0 0
0 1
1 0
1 1

Figura 3.7 Tabla 3.4

A B S
0 0
0 1
1 0
1 1

Figura 3.8 Tabla 3.5

A B S
0 0
0 1
1 0
1 1

Figura 3.9 Tabla 3.6

8. Obtenga la tabla para el circuito 3.10 considerando que las terminales de este circuito son diferentes a
las de los circuitos anteriores ya que la salida est en la terminal 1. Las alimentaciones se mantienen en
la misma posicin.

A B S
0 0
0 1
1 0
1 1

Figura 3.10 Tabla 3.7

Laboratorio de Sistemas Digitales 13


Universidad Nacional Autnoma de Mxico Facultad de Estudios Superiores Cuautitln

9. Los circuitos que se emplearn a continuacin pertenecen a la familia MOS y deben manipularse con
cuidado ya que son sensibles a descargas estticas introducidas al manipularlos y por lo tanto debern
tomarse por las orillas sin tocar de forma directa las terminales.
10. Implemente el circuito de la figura 3.11 empleando el circuito integrado CD4069, considerando que dicho
circuito contiene 6 compuertas inversoras, de las cuales solo se emplear 1. Todos los circuitos integrados
CMOS de la familia 40XX permiten una alimentacin en un rango de 3V a 15 V en la terminal 14 (VDD) y
0 V en la terminal 7 (VSS).
11. Fije el valor de la fuente a 10 V. como se muestra en la figura 3.12.

14 8
V1
10 V 1 7

Figura 3.11 Figura 3.12

12. Obtenga la tabla de verdad de la compuerta proporcionando a la entrada el nivel de voltaje adecuado,
considerando que un 1 lgico es igual a 10 V y un cero lgico es igual a 0 V y midiendo con el multmetro
el voltaje correspondiente en la salida.
A S
0V
10 V

Tabla 3.8

13. Desconecte la entrada A del circuito, dejando dicha terminal al aire (sin conexin) y analice el
funcionamiento de la salida del circuito, explique el comportamiento.
14. Para los siguientes circuitos no emplee los circuitos de switch y de leds debido a que la relacin de
resistencias producir que las mediciones se alteren y por lo tanto debern hacerse las mediciones solo
con el multmetro.
15. Obtenga las tablas para cada uno de los circuitos 3.12, 3.13, 3.14 y 3.16, considerando que ahora se tienen
2 entradas.
16. Observe que la configuracin de terminales no cambia y solo es necesario intercambiar el circuito
integrado.

A B S
0 0
0 1
1 0
1 1

Figura 3.12 Tabla 3.9

Laboratorio de Sistemas Digitales 14


Universidad Nacional Autnoma de Mxico Facultad de Estudios Superiores Cuautitln

A B S
0 0
0 1
1 0
1 1

Figura 3.13 Tabla 3.10

A B S
0 0
0 1
1 0
1 1

Figura 3.14 Figura 3.11

A B S
0 0
0 1
1 0
1 1

Figura 3.15 Tabla 3.12

Cuestionario

1. Investigue el significado de las siglas correspondientes a cada una de las familias lgicas: RTL, DTL, TTL,
IIL, ECL, NMOS, CMOS y dibuje el circuito discreto bsico (resistencias, transistores, etc.) para cada una
de ellas.
2. Describa el significado de las letras intermedias presentes en los integrados de la familia TTL, tales como
74L00, 74H00, 74S00, 74LS00, 74AS00, 74ALS00, 74C00, 74HC00 y 74HCT00.
3. Calcule el porcentaje que representa el voltaje de salida en estado alto con respecto a la fuente de
alimentacin de las compuertas de los incisos 2 y 12.

Laboratorio de Sistemas Digitales 15


Universidad Nacional Autnoma de Mxico Facultad de Estudios Superiores Cuautitln

Laboratorio de Sistemas Digitales


Prctica 4 Circuitos combinacionales por lgebra de Boole

Tema

2.4. Descripcin algebraica de circuitos lgicos.

Objetivos

El alumno disear un circuito digital para la de deteccin de errores en el encendido de un semforo.


El alumno implementar el circuito lgico combinacional diseado empleando compuertas integradas.
El alumno comprobar la validez de la solucin obtenida a travs de algebra de Boole.
El alumno comprobar la tabla de verdad del circuito.

Introduccin

Los circuitos digitales combinacionales son aquellos en los cuales el nivel lgico de la salida depende solo de
la combinacin de los niveles lgicos de las entradas presentes en ese instante. Un circuito combinacional no
tiene las caractersticas de memoria o realimentacin que poseen los circuitos secuenciales.

Los circuitos combinacionales tienen n entradas lgicas con las cuales se puede obtener un conjunto de 2 n
combinaciones posibles las cuales pueden ser expresadas en una tabla de verdad como lo muestra la figura
4.1.
2 entradas (A y B) A B S
Tabla de verdad
1 salida (S) 0 0
0 1
22 = 4 combinaciones 1 0
1 1
Figura 4.1

Un circuito combinacional puede tener m salidas que dependen de un solo conjunto de entradas pero cada
una de las salidas es totalmente independiente de las otras y pueden tratarse de forma separada.

A S1
B S2
Entradas Salidas
Circuito Combinacional C S3
D S4

Figura 4.2

Cada una de las salidas S1, S2, S3, etc, de un circuito combinacional se debe definir a travs de su tabla de
verdad, de ecuaciones en algebra de boole, de su mapa de Karnaugh o travs de afirmaciones y negaciones
que definan el comportamiento del circuito para cada una de las posibles combinaciones de entrada.

Tambin es posible que para algunas de las combinaciones de entrada no estn definidas las salidas
correspondientes y es por ello que se pueden establecer las salidas correspondientes con un valor especial
denominado no importa (Dont Care).

Laboratorio de Sistemas Digitales 16


Universidad Nacional Autnoma de Mxico Facultad de Estudios Superiores Cuautitln

Estos valores pueden ser considerados para el anlisis del circuito de acuerdo a la conveniencia del diseador,
como 1 o 0 lgico ya que al no ser importante su valor para la definicin de la salida, este comportamiento
no afecta a los trminos que son primordiales.

En la presente prctica se disear un circuito que detecta el funcionamiento incorrecto de un semforo a


partir del comportamiento de los 3 focos que conforman el dispositivo. Si el funcionamiento es incorrecto
esto se indicar a travs de un LED, el cual se encender indicando la condicin de error.

Rojo (R)

Amarillo (A)

Verde (V)

Figura 4.3

El circuito debe contar con 3 entradas (Rojo, Amarillo y Verde) y una sola salida (deteccin de error) como se
muestra en la figura 4.4.

R
A Detector de Error S
V

Figura 4.4

La salida S deber estar conectada a un LED que deber encender s:

Dos o ms luminarias estn encendidos en forma simultnea.


Cuando ninguna de las luminarias este encendida.

Con lo cual se deduce que solo hay 3 posibilidades correctas del total de las posibilidades de la tabla de verdad
que tiene 3 entradas y una sola salida. El comportamiento correcto es cuando solo una luminaria est
encendida.

Actividades previas

1. Escriba la tabla de verdad del sistema.


2. Escriba la funcin de Boole de la tabla de verdad empleando el mtodo de minitrminos.
3. Reduzca la funcin empleando lgebra de Boole e incluya todo el desarrollo realizado para obtener la
funcin final.
4. Dibuje el circuito resultante empleando compuertas bsicas (NOT) o (AND, OR, NAND, NOR o XOR) de 2
entradas.
5. Realice la simulacin del circuito e imprima el diagrama correspondiente indicando sobre de l la
numeracin de las terminales para cada compuerta.
6. Arme el circuito en la tableta de conexiones.

Material

Circuitos integrados necesarios para la implementacin del diseo


Circuito de switches de la prctica 1.
Circuito de leds de la prctica 1.
Laboratorio de Sistemas Digitales 17
Universidad Nacional Autnoma de Mxico Facultad de Estudios Superiores Cuautitln

Equipo

1 Fuente de Voltaje de C.D.


1 Multmetro Digital

Desarrollo

1. Arme el circuito diseado en las actividades previas, adicionando las conexiones necesarias para
proporcionar las 3 entradas a partir de los switches y la visualizacn de los valores de las 3 entradas (R, A
y V) y la salida (S) con el circuito de leds.
2. Compruebe la tabla de verdad considerando que un foco del semforo en condicin de apagado
proporciona un 0 lgico y un foco del semforo en condicin de encendido proporciona un 1 lgico.

Cuestionario

1. Disee un circuito que detecte si un nmero expresado en cdigo AIKEN2421 de 4 bits enviado a travs de
un sistema de comunicaciones, es un nmero vlido para este cdigo, si no est dentro de este rango
indicarlo con el encendido de un led.
2. Realice todos los pasos del diseo e inclyalos en su reporte.
3. Simule el circuito diseado y entregue la simulacin en su reporte, ya sea impreso o en formato
electrnico.

Laboratorio de Sistemas Digitales 18


Universidad Nacional Autnoma de Mxico Facultad de Estudios Superiores Cuautitln

Laboratorio de Sistemas Digitales


Prctica 5 Circuitos combinacionales con mapas de Karnaugh

Tema

3.6. Mtodo de simplificacin a travs del mapa de Karnaugh.

Objetivo

El alumno disear un circuito lgico combinacional a partir de un problema especfico.


El alumno obtendr la tabla de verdad del circuito lgico.
El alumno reducir la tabla a travs de Mapas de Karnaugh
El alumno implementar el circuito a travs de circuitos lgicos de la familia TTL.

Introduccin

En esta prctica el alumno plantear la solucin de un problema prctico y lo resolver empleando el mtodo
de mapas de Karnaugh, ya que al ser un problema con mayor nmero de variables se complica la realizacin
de su solucin por medio de algebra de Boole.

En sistemas de 4, 5 y 6 variables la solucin se realiza a travs de los mapas bidimensionales que permiten una
solucin ms rpida y confiable. En la figura 5.1 se muestra un mapa de Karnaugh de 5 variables.

Figura 5.1

Para sistemas con un mayor nmero de variables se utiliza la separacin del problema en elementos ms
pequeos o mtodos tabulares como el de Quine Mc Cluskey.

El sistema que se desea disear tiene las siguientes caractersticas:

En un concurso, la calificacin la realiza un conjunto de 4 jueces en donde cada uno de ellos tiene acceso a un
switch que permite establecer solo 2 calificaciones como se muestra en la tabla 5.1.

Calificacin Significado Lgico


A favor 1
En contra 0

Tabla 5.1

Laboratorio de Sistemas Digitales 19


Universidad Nacional Autnoma de Mxico Facultad de Estudios Superiores Cuautitln

Estas 4 calificaciones se insertan en un circuito combinacional a travs de 4 seales digitales denominadas A,


B, C y D como se muestra en la figura 5.2.
A
B Circuito de Control de X
C Votaciones Y
D

Figura 5.2

El sistema debe tener 2 salidas denominadas X e Y que se definen en funcin de las siguientes consideraciones.

X Y Resultado de la Calificacin
0 0 Concursante Fuera
1 0 Sigue concursando
1 1 Gana concurso

Figura 5.3

El concursante queda fuera si el nmero de votos en contra es mayor que el nmero de votos a favor con
una diferencia mnima de 2. Ejemplo (4-0 o 3-1)
El concursante sigue participando si el nmero de votos en contra y los votos a favor es igual (2 2).
El concursante gana si el nmero de votos en contra es menor al nmero de votos a favor con una
diferencia mnima de 2. Ejemplo (1-3 o 0-4).

Actividades previas

1. Escriba la tabla de verdad del sistema indicando todas las combinaciones posibles de las 4 entradas (A, B,
C y D) y los valores de la salidas (X e Y)
2. Reduzca la tabla de verdad de cada una de las 2 salidas empleando Mapas de Karnaugh, incluya las
reducciones de ambos mapas dentro del reporte.
3. Dibuje los circuitos resultantes empleando compuertas TTL o CMOS con cualquier nmero de entradas.
Considere el empleo de trminos repetidos para poder usar las compuertas en forma compartida.
4. Realice la simulacin del circuito e imprima el circuito resultante incluyendo los nmeros de terminal.
5. Arme el circuito en la tableta de conexiones.

Material

Circuito de switches de la prctica 1


Circuito de leds de la prctica 1
Circuitos Integrados de la familia TTL o de la familia CMOS necesarios para implementar el diseo

Equipo

1 Fuente de Voltaje de C.D.


1 Multmetro Digital

Desarrollo

1. Conecte el circuito de switches a las 4 entradas del circuito diseado.


2. Conecte las 4 entradas y las 2 salidas al circuito de leds.

Laboratorio de Sistemas Digitales 20


Universidad Nacional Autnoma de Mxico Facultad de Estudios Superiores Cuautitln

3. Compruebe la tabla de verdad a travs del estado lgico de los 4 leds correspondientes a las 4 seales de
entrada (A, B, C y D) y de las 2 salidas (X e Y).

Cuestionario

1. Realice el diseo de un circuito de control de votaciones como el visto en esta prctica, pero
incrementando el nmero de jueces a 5 y considerando lo siguiente:

El concursante queda fuera si el nmero de votos en contra es mayor que el nmero de votos a favor con
una diferencia mnima de 3. Ejemplo (5-0 o 4-1)
El concursante sigue participando si la diferencia de votos en contra y los votos a favor es igual a 1.
Ejemplo (3-2 o 2-3).
El concursante gana si el nmero de votos en contra es menor al nmero de votos a favor con una
diferencia mnima de 3. Ejemplo (1-4 o 0-5).

2. Reduzca la tabla de verdad de su diseo empleando la herramienta tabular de Quine Mc Cluskey.


3. Simule el circuito resultante y entregue los resultados impresos o en formato electrnico junto con su
reporte.

Laboratorio de Sistemas Digitales 21


Universidad Nacional Autnoma de Mxico Facultad de Estudios Superiores Cuautitln

Laboratorio de Sistemas Digitales


Prctica 6 Caractersticas de las familias lgicas

Tema

4.2. La familia Lgica Transistor Transistor (TTL).


4.4. La familia Lgica Metal Oxido Semiconductor (MOS).

Objetivos

Obtener los valores de los parmetros caractersticos, de las familias lgicas TTL y CMOS.
Diferenciar entre las configuraciones de salida del tipo ttem, colector abierto y tercer estado.
Comprobar los valores prcticos de los parmetros de un circuito integrado.

Introduccin

Los circuitos integrados (CI) digitales, tambin llamados chip o microchip, se componen internamente de
muchos transistores para formar compuertas lgicas que, a su vez, realizarn una funcin. La escala de
integracin, es decir, la cantidad de transistores de la cual se compone el CI, determina la complejidad de
dicho componente.

Figura 6.1 Compuerta NAND 74LS00.

Existen escalas de integracin que van desde cientos (pequea escala de integracin SSI, por sus siglas en
ingls), miles (LSI) hasta millones (VLSI) o billones de transistores (VVLSI). Estn fabricados de obleas
compuestas por un material semiconductor que conduce electricidad bajo ciertas condiciones y no lo hace en
otras.

Figura 6.2

Laboratorio de Sistemas Digitales 22


Universidad Nacional Autnoma de Mxico Facultad de Estudios Superiores Cuautitln

Las familias lgicas tuvieron su origen en el ao de 1970 y continuaron su desarrollo y mejoramiento durante
los siguientes aos. Las familias lgicas ms comunes son TTL (Lgica de Transistor y Transistor) y CMOS (Metal
xido Semiconductor Complementario).

Las compuertas pertenecientes a TTL estn construidas con transistores bipolares de una sola juntura (BJT),
varios transistores forman una compuerta bsica (NAND, NOR, NOT) y varias compuertas estn integradas en
un slo circuito, ya sea para formar una funcin o para usarlas de manera independiente.

Por otro lado, las compuertas pertenecientes a la familia CMOS, estn construidas con transistores de efecto
de campo (FET) hechos de una placa metlica con otro material xido y semiconductor. Los MOS pueden ser
de canal N (N-MOS), en dnde el canal de conduccin de la corriente elctrica est formado por electrones, o
de canal P (P-MOS) en los cules el canal est formado por los protones.

Dentro de las mismas familias lgicas existe otra diferencia en cuanto a la configuracin de salida de las
compuertas de que se compone. De acuerdo con esta configuracin de salida, las compuertas pueden ser de
tipo ttem, de colector abierto o de tercer estado.

Figura 6.3 Compuerta NAND con Figura 6.4 Compuerta NAND con
salida Totem Pole. salida de Colector Abierto.

Figura 6.5 Compuerta NAND con salida de tercer estado.

Adems, si la salida posee amplificadores de corriente, se dice que su salida es del tipo buffer. Otra
caracterstica que puede poseer la compuerta es que cuente con un circuito comparador con histresis para
acondicionamiento de la seal.

Aunque estas familias lgicas tienen muchos aos de haberse inventado, la justificacin principal para
seguirlas usando es que resultan provechosas desde un punto de vista didctico para reforzar los
conocimientos tericos con la prctica.

Laboratorio de Sistemas Digitales 23


Universidad Nacional Autnoma de Mxico Facultad de Estudios Superiores Cuautitln

Por lo tanto, en sta prctica abordaremos el uso de las familias lgicas TTL y CMOS, para conocer sus
caractersticas principales en cuanto a corrientes, voltajes, factor de carga, tiempo de respuesta y consumo
de corriente, implementaremos circuitos combinando ambas familias lgicas.

Usaremos circuitos integrados con compuertas de diferente configuracin, de los transistores en su salida
(ttem pole, colector abierto, tercer estado y salida con buffer). Finalmente resaltaremos la importancia del
uso de las hojas tcnicas para nuestros clculos durante la etapa del diseo.

Actividades previas a la prctica

1. El alumno realizar la lectura de la prctica y traer los circuitos armados en la tableta de conexiones.
2. Realizar la simulacin de los circuitos de las figuras 6.6, 6.7 y 6.8 y entregar los resultados a su profesor
en forma impresa o formato electrnico
3. Para el circuito de la figura 6.6, calcular el valor de voltaje en el punto marcado como A y el valor de
corriente en el punto marcado como Salida, tomando los datos de la hoja tcnica del CI 4011.
4. Para el circuito de la figura 6.7, obtener el valor de voltaje de conduccin (V df) del LED1 a utilizar, tomar
los datos necesarios de la hoja tcnica del CI 74LS05 y calcular el valor de R1.

Equipo

1 Fuente de voltaje de CD.


1 Multmetro.

Material

1 CI 4011
1 CI 74LS125
1 CI 74LS05
1 Resistencia (R1) del valor calculado.
1 Diodo emisor de luz (LED1).
Hojas tcnicas de los circuitos empleados, impresas o en formato electrnico.

Procedimiento experimental

1. Arme el circuito mostrado en la figura 6.6, haciendo uso de la herramienta de prueba de la prctica 1.

Figura 6.6 Compuerta CMOS.

2. Cambie la posicin de los conmutadores y llene la tabla 6.1, midiendo el voltaje en las entradas A y B, y
el valor de corriente en el punto marcado como Salida.

Laboratorio de Sistemas Digitales 24


Universidad Nacional Autnoma de Mxico Facultad de Estudios Superiores Cuautitln

Conmutadores Voltaje Corriente


SW1 SW2 A B Salida
Cerrado Cerrado
Cerrado Abierto
Abierto Cerrado
Abierto Abierto

Tabla 6.1 Valores de corriente y voltaje.

3. Arme el circuito mostrado en la figura 6.7, haciendo uso (en la entrada) de la herramienta de prueba de
la prctica 1, colocar la resistencia (R1) del valor calculado y el LED1 seleccionado.

Figura 6.7 Compuerta TTL de colector abierto.

4. Cambie la posicin del conmutador y llene la tabla 6.2 con los valores de voltaje y corriente medidos en
el punto marcado como Salida.

Entrada Salida
SW1 Voltaje Corriente
Abierto
Cerrado

Tabla 6.2 Valores de corriente y voltaje.

5. Arme el circuito mostrado en la figura 6.8, haciendo uso de la herramienta de prueba de la prctica 1.

Figura 6.8 Compuerta TTL de tercer estado.

Laboratorio de Sistemas Digitales 25


Universidad Nacional Autnoma de Mxico Facultad de Estudios Superiores Cuautitln

6. Cambie la posicin de los conmutadores y llene la tabla 6.3, midiendo el voltaje y la corriente en la
entrada C, y en el punto marcado como "Salida".

Entradas Entrada C Salida


SW1 SW2 Voltaje Corriente Voltaje Corriente
Cerrado Cerrado
Cerrado Abierto
Abierto Cerrado
Abierto Abierto

Tabla 6.3 Valores de corriente y voltaje.

Cuestionario

1. Para el circuito de la figura 6.6, comparar los datos obtenidos tericamente con los resultados de la tabla
6.1 y anote sus observaciones.
2. Calcular la potencia mxima disipada por los circuitos mostrados en las figuras 6.6 y 6.8
3. Con los datos de la hoja tcnica, calcular el margen de ruido de una compuerta NAND del CI 4011, primero
al alimentarlo con una fuente de +5v y luego con una fuente de +15v.
4. Con los datos de la hoja tcnica, calcular el margen de ruido de una compuerta de tercer estado del CI
74LS125, compare sus resultados con los obtenidos en la respuesta a la pregunta 2 y anote sus
observaciones.
5. Con base en los datos de la hoja tcnica, determine el tiempo de retardo mximo de una compuerta del
CI 4011 y de una compuerta del CI 74LS05, compare los tiempos obtenidos y anote sus observaciones.
6. De acuerdo con los resultados obtenidos en la tabla 6.3, explique el funcionamiento y mencione una
aplicacin de las compuertas de tercer estado.
7. Con base en los resultados obtenidos en la tabla 6.2, explique el funcionamiento y mencione una
aplicacin de las compuertas de colector abierto.
8. Tomando en cuenta los datos de la hoja tcnica del CI 4011 y del CI 74LS125, determine cuntas
compuertas del 74LS05 se pueden conectar a la salida de una compuerta del 4011. Comente su resultado.
9. Conecte la salida de una compuerta del CI 4011 a la entrada de una compuerta del CI 74LS125 y, a su vez,
la salida de ste a la entrada de una compuerta del CI 74LS05. Determine cuntas compuertas del CI
74LS05 se pueden conectar a la salida de una compuerta del CI 74LS125. Elabore el diagrama y comente
sus resultados.

Laboratorio de Sistemas Digitales 26


Universidad Nacional Autnoma de Mxico Facultad de Estudios Superiores Cuautitln

Laboratorio de Sistemas Digitales


Prctica 7 Multiplexores y demultiplexores

Tema

5.3. Multiplexores y Demultiplexores.

Objetivos

Comprobar el funcionamiento de los circuitos integrados multiplexores y de los circuitos demultiplexores.


Implementar una tabla de verdad combinacional empleando un multiplexor.
Realizar un sistema de transmisin empleando un multiplexor y un demultiplexor.

Introduccin

Los multiplexores (MUX) son circuitos de mediana escala de integracin (MSI) debido a que en su construccin
se emplean algunas decenas de componentes bsicos que realizan en conjunto una funcin especfica.

Los multiplexores son empleados como selectores de seal que trasladan la informacin de uno de los
mltiples canales de entrada, sobre un canal nico de salida y as reducen la necesidad de canales mltiples
de transmisin, para realizar esta funcin requieren seales de control que les permitan seleccionar cul de
los canales de entrada ser trasladado a la salida

Son muy empleados en los sistemas de comunicacin de datos para multiplexar en tiempo (Time Divison
Multiplexing o TDM) a varias fuentes de informacin sobre un canal nico de transmisin, en la figura 7.1 se
muestra un multiplexor de 4 canales a 1 y 2 seales de control. A este multiplexor se le denomina MUX 4 a 1.

Figura 7.1

Dentro de sus aplicaciones en la electrnica digital se encuentra la implementacin de tablas de verdad sin el
empleo de compuertas lgicas adicionales o con un mnimo de ellas.

Los dispositivos demultiplexores (DEMUX) realizan la funcin inversa puesto que tienen una sola entrada y
mltiples canales de salida. Al igual que los MUX, los DEMUX requieren seales de control a travs de las
cuales se selecciona en cul de los canales de salida aparecer la informacin del canal de entrada.

En la figura 7.2 se muestra un demultiplexor con 1 canal de entrada, 4 canales de salida y sus 2 seales de
seleccin. A este demultiplexor se le denomina DEMUX 1 a 4.

Laboratorio de Sistemas Digitales 27


Universidad Nacional Autnoma de Mxico Facultad de Estudios Superiores Cuautitln

Figura 7.2

Como se mencion anteriormente, para seleccionar el canal de entrada en los multiplexores y el canal de
salida en los demultiplexores, se requieren seales de control adicionales que permitan indicarle a los circuitos
el nmero de canal a emplear, la seleccin es aleatoria y no se requiere entrar y salir por el mismo nmero de
canal. En un multiplexor con 2n entradas, n indicara el nmero de lneas de control.

En conjunto ambos dispositivos se emplean en los sistemas de comunicaciones para transmitir mltiples
canales de informacin a travs de un solo medio fsico.

Figura 7.3 Sistema de comunicaciones multiplexado en tiempo

Debido a las caractersticas tanto de los multiplexores como de los demultiplexores, estos dispositivos son
empleados para la implementacin de tablas de verdad de sistemas combinacionales, logrando con ello la
reduccin del nmero de compuertas lgicas necesarias para realizar un diseo.

Actividades Previas

1. Obtenga la tabla de verdad de la funcin booleana siguiente.


(, , , ) = (1,2,3,4,6,8,9,13,14)
2. Disee el circuito que resuelve la tabla de verdad anterior empleando solo un multiplexor de 8 a 1 de
tecnologa CMOS CD74HC4051E y las compuertas necesarias para su implementacin.
3. Disee el circuito que resuelve la tabla de verdad anterior empleando solo un multiplexor de 4 a 1 de
tecnologa CMOS CD74HC153E y las compuertas necesarias para su implementacin.
4. Realice la simulacin de ambos circuitos y entregue a su profesor los resultados en forma impresa o en
formato electrnico.
5. Imprima los diagramas de ambos circuitos diseados.
6. Arme los circuitos de la actividad previa y del desarrollo en la tableta de conexiones.

Material

1 Circuito integrado CD74HC153E


2 Circuitos integrados CD74HC4051E

Laboratorio de Sistemas Digitales 28


Universidad Nacional Autnoma de Mxico Facultad de Estudios Superiores Cuautitln

Circuito de leds de la prctica 1


Circuito de switches de la prctica 1
Circuitos integrados necesarios para la implementacin de los circuitos.

Equipo

1 Fuente de CD
1 Generador de Funciones
1 Multmetro
1 Osciloscopio

Desarrollo

1. Pruebe el circuito diseado en el punto 2 de las actividades previas considerando que los circuitos
integrados son CMOS y por lo tanto debern alimentarse con una fuente de VDD=10V, adems debern
tomarse las precauciones adecuadas para evitar descargas electrostticas que daen a los circuitos.
2. Compruebe la tabla de verdad.
3. Pruebe el circuito diseado en el punto 3 de las actividades previas considerando que los circuitos
integrados son CMOS y por lo tanto debern alimentarse con una fuente de VDD=10V, adems debern
tomarse las precauciones adecuadas para evitar descargas electrostticas que daen a los circuitos.
4. Compruebe la tabla de verdad.
5. Implemente el sistema mostrado en la figura 7.4

I0
I1
I2
I3
I4
I5
I6
I7

Figura 7.4

6. Introduzca una seal cuadrada de 1 kHz y 5 V. con niveles TTL (0V. a 5V.) en alguno de los canales de
entrada del circuito U1, indicando dicho canal a travs de las seales selectoras (A1, B1 y C1) adecuadas,
escoja uno de los canales de salida a travs de las seales selectoras (A2, B2 y C2) del circuito U2 y
verifique con el osciloscopio que la seal de entrada se transfiere a la salida deseada.
7. Repita el proceso para otra entrada y otra salida indicndolas a travs de sus entradas selectoras y
compruebe como la informacin es transferida por un nico medio fsico compartido en tiempo
(multiplexacin en tiempo).

Cuestionario

1. Consulte el manual de circuitos de la familia CMOS e indique la funcin que realizan cada una de las
terminales del circuito integrado CD74HC4051E.
2. Que funcin realiza la terminal de alimentacin de voltaje VEE ?
3. Disee un sumador de 2 nmeros de 2 bits cada uno (AB)+(BC) empleando multiplexores de 8x1.
4. Modifique el circuito del inciso 5 para que de forma sincrnica la informacin insertada por el canal 1
salga por el canal 1, la del canal 2 por el canal 2, etc. Haga un diagrama de su diseo.

Laboratorio de Sistemas Digitales 29


Universidad Nacional Autnoma de Mxico Facultad de Estudios Superiores Cuautitln

Laboratorio de Sistemas Digitales


Prctica 8 Unidad aritmtico lgica (ALU)

Tema

5.4. Circuitos digitales para operaciones aritmticas binarias.


5.7. Unidades Aritmtico Lgicas (ALUs).

Objetivos

El alumno simular el funcionamiento de un sumador de 4 bits empleando sumadores completos de 1 bit


en cascada.
El alumno comprender el funcionamiento de una unidad aritmtico lgica (ALU) de mediana escala de
integracin.
El alumno comprobar las diferentes operaciones que puede realizar la ALU, tanto aritmticas como
lgicas.

Introduccin

En el desarrollo de la electrnica digital los circuitos de compuertas bsicas establecieron la estructura para
todos los sistemas digitales subsecuentes. A partir de estas bases se comenzaron a crear circuitos de
diferentes caractersticas, entre los ms importantes fueron los circuitos aritmticos que resolvan
operaciones matemticas bsicas tales como los medio sumadores (half sum) y los sumadores completos
(full sum).

Organizando estos elementos en paralelo o en serie se pueden realizar circuitos aritmticos de un mayor
nmero de bits o con diferentes capacidades. El desarrollo de estos circuitos ha llevado a la creacin de
unidades aritmtico lgicas integradas (ALUs) y al posterior desarrollo de los sistemas de
microprocesadores.

La Unidad Aritmtico Lgica (ALU 74LS181) es un circuito integrado de mediana escala de integracin que
contiene un aproximado de 75 compuertas bsicas. Este circuito realiza un total de 16 operaciones
aritmticas con y sin acarreo y 16 operaciones lgicas sobre dos datos de 4 bits denominados dato A (A3, A2,
A1 y A0) y dato B (B3, B2, B1 y B0). Para definir si la operacin va a ser aritmtica o lgica, se emplea la seal
de Modo (M), la cual decide cual conjunto de operaciones se va a realizar.

La eleccin de la operacin especfica dentro de uno de los conjuntos, aritmtico o lgico, se realiza a travs
de las 4 seales de seleccin (S3, S2, S1 y S0) e incluye la suma (Plus), la resta (Minus), el decremento, la
transferencia directa y las operaciones de AND, OR, XOR, NAND, XNOR, etc.

La ALU tambin cuenta con una seal de acarreo del 4 al 5 bit que puede ser empleada para alimentar el
acarreo de entrada de la siguiente ALU. En la figura 8.1 se muestran los canales de entrada del dato A, del
dato B, de las entradas de seleccin, el acarreo de entrada, el modo, el dato de salida, el acarreo de salida y
otras seales de control.

La ALU puede realizar operaciones en cascada en conjunto con otras ALUs para poder incrementar el largo
de palabra.

Laboratorio de Sistemas Digitales 30


Universidad Nacional Autnoma de Mxico Facultad de Estudios Superiores Cuautitln

En esta prctica se realizar la simulacin de un circuito sumador completo de 4 bits y adems se comprobarn
algunas de las operaciones aritmticas y lgicas con la ALU 74LS181 y se comprobarn los resultados tericos
de dichas operaciones.

Figura 8.1

Una consideracin adicional para la implementacin de este circuito es que en el manual se proporcionan
dos tablas de funcionamiento:

La primera considera que los datos A y B debern ser insertados en forma invertida a su valor ya que
son activos en bajo.
La segunda tabla considera que los datos A y B sern insertados en la forma normal puesto que son
activos en alto.

En esta prctica consideraremos que los datos se insertaran activos en alto y por lo tanto se utilizar la
segunda tabla del manual.

Actividades previas

1. Realice la simulacin del circuito de la figura 8.2 siguiendo las indicaciones dadas en el desarrollo.
2. Implemente el circuito de la Unidad Aritmtico Lgica 74LS181 en la tarjeta de conexiones.
3. Imprima o descargue la hoja tcnica en formato electrnico de la hoja tcnica de la ALU 74LS181.

Material

1 Circuito 74LS181
1 Circuito 74LS04
Circuito de switches de la prctica 1
Circuito de leds de la prctica 1

Equipo

1 Fuente de CD
1 Multmetro

Desarrollo

1. Pruebe el circuito mostrado en la figura 8.2.


2. Elabore una tabla con 4 pares de valores de 4 bits cada uno, para los datos "A" y "B" y antelos en la tabla
8.1.
3. Seleccione 4 operaciones lgicas y 4 operaciones aritmticas de acuerdo a la tabla 8.1 y antelos en las
tablas 8.2 y 8.3.

Laboratorio de Sistemas Digitales 31


Universidad Nacional Autnoma de Mxico Facultad de Estudios Superiores Cuautitln

4. Considere que en la tabla de la ALU, el signo (+) indica la operacin lgica OR y la palabra (PLUS) indica la
operacin aritmtica de suma as como la palabra (MINUS) indica la resta.
5. Aplique los valores a las lneas de datos "A" y "B" de forma tal que realice las operaciones seleccionadas,
checar en el manual los niveles lgicos que deben aplicarse a las terminales M y C n para las operaciones
seleccionadas, antelos en las tablas 8.2 y 8.3.

Tabla 8.1

6. Anote los resultados de las operaciones en las tablas 8.2 y 8.3 considerando que la salida contiene 5 bits
y representa el acarreo de salida y la funcin realizada (Cn+4, F3, F2, F1 y F0), compruebe los resultados
realizando las operaciones en papel e integrndolos al reporte.

Figura 8.2

Laboratorio de Sistemas Digitales 32


Universidad Nacional Autnoma de Mxico Facultad de Estudios Superiores Cuautitln

Dato A Dato B Seleccin Cn M Resultado


Operacin
(4 bits) (4 bits) (4 bits) (1 bit) (1 bit) (5 bits)

Lgicas

Tabla 8.2

Dato A Dato B Seleccin CN M Resultado


Operacin
(4 bits) (4 bits) (4 bits) (1 bit) (1 bit) (5 bits)

Aritmticas

Tabla 8.3
Cuestionario

1. Disee un circuito sumador completo de 4 bits empleando circuitos sumadores completos de 1 bit en
cascada y realice la simulacin.
2. Empleando circuitos 74LS181, disee una Unidad Aritmtica y Lgica capaz de operar 16 bits en paralelo
y simule su comportamiento.
3. Explique el concepto de acarreo anticipado (Carry Look Ahead) empleado en las ALUs. Investigar en los
manuales de TTL.
4. Explique la funcin que tienen las terminales de los pines 14, 15, 16 y 17 del circuito 74181.

Laboratorio de Sistemas Digitales 33


Universidad Nacional Autnoma de Mxico Facultad de Estudios Superiores Cuautitln

Laboratorio de Sistemas Digitales


Prctica 9 Contador sncrono para control de motor de pasos

Tema

6.7. Aplicaciones de circuitos secuenciales.

Objetivos

Implementar un circuito secuencial que genere las seales de activacin de las bobinas de un motor de
pasos.
Implementar el circuito secuencial, empleando Flip-Flops JK.
Comprobar el funcionamiento del circuito en interaccin con un motor de pasos de 3 terminales.

Introduccin

El motor de pasos es un dispositivo elctrico que requiere un conjunto de seales secuenciales aplicadas en
sus bobinas para producir un movimiento rotacional discreto de su eje, su rotor gira un determinado ngulo
por cada pulso de entrada, existen motores con 15 por pulso y 24 pulsos para una vuelta y tambin de 0.9
por pulso y 400 pulsos por vuelta, aunque cada da se va reduciendo el tamao del paso as como aumentando
la potencia del motor.

Un motor de pasos puede ser comparado con una serie de electro magnetos o solenoides dispuestos en crculo
como se muestra en la figura 9.1.

Bobinas Bobinas

Figura 9.1

El resultado de este movimiento es un posicionamiento preciso y confiable que puede controlarse de forma
directa por un sistema que genere los pulsos digitales con la secuencia, la velocidad y el nmero suficiente
para poder realizar controles de posicin, velocidad o sentido de giro.

La secuencia de seales necesarias para mover a un motor de pasos de 4 hilos y 3 fases, se muestra en la figura
9.2 en la cual se puede indicar que el sentido de giro depende del orden en que se apliquen las seales, de
izquierda a derecha o de derecha a izquierda, en funcin de la grfica.

Laboratorio de Sistemas Digitales 34


Universidad Nacional Autnoma de Mxico Facultad de Estudios Superiores Cuautitln

Los niveles de voltaje son TTL.


V

A t

Figura 9.2

La velocidad depende de la frecuencia de aplicacin de las seales, ms frecuencia ms velocidad y la posicin


depende del nmero de pulsos insertados.

En la actualidad podemos encontrar estos motores en, unidades de disco duro, impresoras, robots, mquinas
herramientas, juguetes, cmaras, autos y cualquier otra aplicacin que requiera una precisin muy alta para
el control de velocidad o posicin.

En la presente prctica se implementar un circuito que genera las seales necesarias para mover al motor de
acuerdo al diagrama de estados mostrado en la figura 9.3, considerando que el estado inicial es cero.

El motor empleado es un motor de 3 bobinas que sern alimentadas por cada uno de los 3 transistores y un
alambre comn que ser alimentado con 5 VCD.

ABC = 000
Seales del motor
de pasos

Figura 9.3

Actividades Previas

1. Realice el anlisis del diagrama de estados a travs de la tabla de estados considerando Flip-Flops JK con
entradas unidas (JK en configuracin Toogle), determine los estados siguientes de acuerdo al diagrama e
inserte un no importa para todos los estados no considerados, obtenga las ecuaciones de excitacin y
reduzca para obtener el circuito correspondiente.
2. Disee el circuito correspondiente.
3. Realice la simulacin del circuito secuencial diseado sin considerar al motor de pasos ya que no se cuenta
con un motor de pasos de 3 terminales en las libreras del simulador, por lo tanto solo compruebe el
funcionamiento con los leds en las salidas.
4. Arme el circuito diseado en la tableta de conexiones y adicione la etapa de potencia a cada una de las
salidas de su circuito como se muestra en la figura 9.4.

Laboratorio de Sistemas Digitales 35


Universidad Nacional Autnoma de Mxico Facultad de Estudios Superiores Cuautitln

Material

Material necesario para implementar el diseo secuencial


Circuito de leds de la prctica 1
3 Resistencias de 56 a W.
3 Transistores TIP31

Equipo

1 Fuente de CD
1 Generador de Funciones
1 Multmetro
1 Motor de Pasos proporcionado por el laboratorio

Desarrollo

1. Arme el circuito diseado y las etapas de potencia mostradas en la figura 9.4.

Salida A

Reloj Circuito
Secuencial Salida B
Diseado

Salida C

Figura 9.4

2. La seal de reloj del circuito secuencial es una seal de cuadrada de 1 Hz. y niveles de voltaje TTL.
3. El motor de pasos tiene 3 terminales de color (naranja, rojo y caf) que van conectadas a los 3 colectores
de cada uno de los transistores en el orden indicado.
4. El alambre negro del motor se conecta a VCC = 5V.
5. Coloque el circuito de leds en cada una de las salidas del circuito secuencial diseado y en el reloj del
circuito.
6. Identifique la secuencia generada a las salidas del circuito secuencial diseado a travs de los leds.
7. Compruebe que el motor gira de forma continua en la secuencia adecuada.
8. Incremente paulatinamente la frecuencia de la seal de reloj, observe y anote el comportamiento del
motor y su velocidad.
9. Identifique la frecuencia mxima del reloj para la cual el motor de pasos an tiene un funcionamiento
adecuado.

Laboratorio de Sistemas Digitales 36


Universidad Nacional Autnoma de Mxico Facultad de Estudios Superiores Cuautitln

Cuestionario

1. Disee un circuito de control para el mismo motor de pasos, que contenga una seal de entrada adicional
que sirva para invertir la secuencia de conteo de (1 2 4) a (4 2 1) con lo cual el motor invertir el
sentido de giro.
2. Realice la simulacin de su diseo con solo los leds de salida y entregue en forma impresa o en formato
electrnico los resultados.

Laboratorio de Sistemas Digitales 37


Universidad Nacional Autnoma de Mxico Facultad de Estudios Superiores Cuautitln

Laboratorio de Sistemas Digitales


Prctica 10 Autmatas finitos con mquinas de Mealy y Moore

Tema

6.8. Autmatas finitos.


6.8.1. Mquina de Mealy.
6.8.2. Mquina de Moore.

Objetivos

Disear un sumador completo de 1 bit en serie.


Comprobar el funcionamiento del circuito sumador serie de 1 bit a travs de una mquina de Mealy y una
mquina de Moore.
Analizar las semejanzas y diferencias que presentan ambos diseos.

Introduccin

En esta prctica se disearn 2 autmatas finitos para solucionar el mismo problema del sumador serie de 1
bit pero desde 2 puntos de vista diferentes, el primer diseo se realizar empleando una mquina de Mealy y
el segundo diseo empleando una mquina de Moore.

La mquina de Mealy es una mquina de estados finita o autmata finito, donde las salidas del sistema estn
determinadas por el estado actual y las entradas y donde estas seales de salida se obtienen a travs de un
circuito combinacional.

Esto significa que en el diagrama de estados se debe indicar el estado que tendra la seal de salida para cada
elemento de transicin. Por ejemplo, en la trayectoria de un estado 001 a un estado 101, si la entrada X es
cero y la salida S es uno, se debe poner sobre la transicin la etiqueta 0/1 que corresponde a la nomenclatura
X/S como se muestra en la figura 10.1.

0/1

001 101
Figura 10.1

Por otro lado una mquina de Moore es una mquina de estados finita o autmata finito, donde la salida
actual depende solo del estado actual y no depende de la entrada actual. Por lo tanto, los estados de una
mquina de Moore producen la definicin total del sistema.

Por ejemplo, en la trayectoria de un estado 000 a un estado 101 para una entrada X = 1, la salida S es 0 para
el estado 000 y la salida S es 1 para el estado 101, considerando que la entrada X = 1 para cambiar de estado
se debe poner sobre la transicin, figura 10.2.

El bit de salida est integrado en el estado y se marca en rojo con el bit menos significativo.

Laboratorio de Sistemas Digitales 38


Universidad Nacional Autnoma de Mxico Facultad de Estudios Superiores Cuautitln

X=1

000 101

Figura 10.2

En esta prctica se realizar un autmata finito que pueda sumar 2 nmeros de 1 bit en forma serial y por lo
tanto el acarreo de salida de una operacin deber almacenarse en memoria para poder usarlo como acarreo
de entrada de la siguiente operacin.

Diseo con mquina de Mealy

Sumador binario serie de 1 bit que est formado por:

Dos entradas binarias A y B de un bit.


Una salida binaria S y un acarreo de salida C

Figura 10.3

Donde se considerar que el sistema solo tiene 2 estados posibles:

QA = 0 estado de no acarreo
QA = 1 estado de acarreo

El diagrama de estados correspondiente se muestra en la figura 10.4

01,10/1

Figura 10.4

Por lo tanto, la tabla de estados ser la siguiente:

Laboratorio de Sistemas Digitales 39


Universidad Nacional Autnoma de Mxico Facultad de Estudios Superiores Cuautitln

Estado Estado
Entradas Excitacin Salida
Actual Siguiente
A B QA JKA QA+1 S
0 0 0 0 0 0
0 0 1 1 0 1
0 1 0 0 0 1
0 1 1 0 1 0
1 0 0 0 0 1
1 0 1 0 1 0
1 1 0 1 1 0
1 1 1 0 1 1

Tabla 10.1

Resolviendo el mapa de Karnaugh para la excitacin y la salida se tienen las expresiones:

= +

=

La solucin de este sistema produce una ecuacin de excitacin y una ecuacin de salida, las cuales dependen
de las entradas y del estado actual. En la figura 10.5 se muestra la implementacin de la mquina de Mealy.

Figura 10.5

Diseo con mquina de Moore

Sumador binario serie de 1 bit que est formado por:

Dos entradas binarias A y B de un bit.


Una salida binaria S y un acarreo de salida C

Laboratorio de Sistemas Digitales 40


Universidad Nacional Autnoma de Mxico Facultad de Estudios Superiores Cuautitln

Figura 10.6

Donde se considerar que el sistema tiene 4 estados posibles debido a que debe considerarse dentro del
estado la condicin de acarreo y al mismo tiempo la salida correspondiente.

00 estado de no acarreo y salida 0


01 estado de no acarreo y salida 1
10 estado de acarreo y salida 0
11 estado de acarreo y salida 1

El diagrama de estados correspondiente se muestra en la figura 10.7.

Figura 10.7

Por lo tanto la tabla de estados ser la mostrada en la tabla 10.2, el estado actual de la mquina de Moore
est formado por el bit de acarreo y el bit de salida (QB = Acarreo y QA = Salida).

Resolviendo el mapa de Karnaugh para las excitaciones JKA y JKB se tienen las expresiones:

= +

=

La solucin de este sistema produce dos ecuaciones de excitacin que dependen del estado actual y las
entradas y no existe una ecuacin para la salida puesto que dicho valor est contenido en el bit menos
significativo de la codificacin del estado.

Laboratorio de Sistemas Digitales 41


Universidad Nacional Autnoma de Mxico Facultad de Estudios Superiores Cuautitln

Estado Estado
Entradas Excitacin
Actual Siguiente
QB QA A B JKB JKA QB+1 QA+1
0 0 0 0 0 0 0 0
0 0 0 1 0 1 0 1
0 0 1 0 0 1 0 1
0 0 1 1 1 0 1 0
0 1 0 0 0 1 0 0
0 1 0 1 0 0 0 1
0 1 1 0 0 0 0 1
0 1 1 1 1 1 1 0
1 0 0 0 1 1 0 1
1 0 0 1 0 0 1 0
1 0 1 0 0 0 1 0
1 0 1 1 0 1 1 1
1 1 0 0 1 0 0 1
1 1 0 1 0 1 1 0
1 1 1 0 0 1 1 0
1 1 1 1 0 0 1 1

Tabla 10.2

En la figura 10.8 se muestra la implementacin de la mquina de Moore.

Figura 10.8

Laboratorio de Sistemas Digitales 42


Universidad Nacional Autnoma de Mxico Facultad de Estudios Superiores Cuautitln

Actividades Previas

1. Realice la simulacin de los circuitos de las figuras 10.5 y 10.8 y entregue a su profesor en forma impresa
o en formato electrnico los resultados.
2. Realice la reduccin de las tablas de estados 10.1 y 10.2 comprobando que son las mismas que las
indicadas en la prctica.
3. Traer armados los circuitos de las figuras 10.5 y 10.8 en la tarjeta de conexiones.

Material

1 Circuito 74LS04
1 Circuito 74LS11
1 Circuito 74LS32
1 Circuito 74LS76
1 Circuito 74LS86
1 Resistencia de 1K a W.
1 Push Button normalmente abierto
Circuito de leds de prctica 1

Equipo

1 Fuente de CD
1 Generador de Funciones
1 Multmetro

Desarrollo

1. Implemente el circuito de la figura 10.5


2. Introduzca una seal de reloj de 1 Hz o menos para poder verificar el cambio del circuito.
3. Compruebe la tabla de estados 10.1 inicializando el Flip Flop a cero a travs del switch de reset.
4. Implemente el circuito de la figura 10.8
5. Introduzca una seal de reloj de 1 Hz o menos para poder verificar el cambio del circuito.
6. Compruebe la tabla de estados 10.2 inicializando el Flip Flop a cero a travs del switch de reset.

Cuestionario

1. Investigue la definicin formal para una mquina de Mealy y para una Mquina de Moore.
2. Compare el nmero de estados para la mquina de Mealy contra el nmero de estados de la mquina de
Moore, justifique su comparacin.
3. Describa las ventajas y desventajas de realizar un diseo secuencial empleando cualquiera de las dos
mquinas.

Laboratorio de Sistemas Digitales 43


Universidad Nacional Autnoma de Mxico Facultad de Estudios Superiores Cuautitln

Laboratorio de Sistemas Digitales


Prctica 11 Memorias ROM

Tema

7.5. Caractersticas de las memorias ROM.

Objetivos

Implementar una tabla de 512 valores de 8 bits que sigan una tendencia creciente y posteriormente
decreciente dentro de una memoria EEPROM.
Programar una memoria EEPROM con una tabla de 512 datos.
Comprobar los procesos de escritura de una memoria EEPROM (Programacin) y el proceso de lectura.

Introduccin

Las memorias EEPROM son memorias que permiten escribir datos binarios dentro de ellas y almacenarlos en
forma permanente durante varios aos sin tener alimentacin elctrica.

El proceso de escritura de dichos datos sobre la memoria sigue un procedimiento especial de sealizacin
electrnica y se hace en una forma ms lenta que el proceso de lectura, es por ello que a este proceso de
lectura se le denomina programacin de la memoria o quemado de la memoria (burning).

El proceso de programacin se hace de forma espordica a diferencia del proceso de lectura que se realiza de
forma continua y muy rpida, es por ello que a estas memorias se les denomina de solo lectura ya que es la
funcin predominante.

Para la programacin de estas memorias se requiere editar su buffer en un programa especializado asociado
con el dispositivo programador que se va a utilizar para grabarla.

Estas memorias tienen 4 buses de seales que se requieren para efectuar tanto el proceso de grabado como
el de lectura, estos son el bus de direcciones a travs del cual se inserta un valor que identifica a una de las
localidades internas, el bus de datos a travs del cual se hace la escritura y la lectura del dato binario
almacenado en la localidad correspondiente, el bus de control que contiene las seales necesarias para
realizar las operaciones de programacin y/o lectura, as como la habilitacin de la salida de los datos y
finalmente el bus de alimentaciones que proporciona la energa necesaria para su funcionamiento.

Figura 11.1

Laboratorio de Sistemas Digitales 44


Universidad Nacional Autnoma de Mxico Facultad de Estudios Superiores Cuautitln

En esta prctica se insertar una tabla de valores correspondientes a un valor binario de 8 bits que crece de 0
a 255 y decrece de 255 a 0 y por lo tanto en la direccin 0 se insertar el dato 00H, en la direccin 1 el dato
01H y as consecutivamente hasta la direccin 255 donde se almacenar el dato FFH a partir de ese punto los
datos deben decrecer y en la direccin 256 se insertar el valor FEH, en la 257 el valor FDH y as
consecutivamente hasta llegar a la direccin 511 que tendr el valor de 00H. Con lo cual se utilizarn 512
localidades de la memoria.

Estos datos almacenados pueden ser visualizados en forma aleatoria proporcionando un valor binario en el
bus de direcciones y obteniendo el dato en el bus de datos. O como lo haremos en esta prctica,
visualizaremos los datos en forma secuencial proporcionando la direccin correspondiente a travs de un
contador de 9 bits que nos permita hacer un recorrido por las 512 localidades y despus repetir el ciclo.

El conteo se har a travs de un contador CMOS 4040 el cual proporcionar un conteo de 0 a 511 y se aplicar
al bus de direcciones de la memoria AT28C16.

Actividades Previas

1. Generar la tabla de 512 valores.


2. Con el programa SUPERPRO editar el buffer de la memoria, insertar los 512 valores y generar el archivo
tabla.bin.

Figura 11.2

Figura 11.3

3. Programar la memoria AT28C16 con el archivo tabla.bin y el programador universal SUPERPRO.


4. Defina las conexiones necesarias entre el contador 4040 y la memoria AT28C16 para poder obtener la
informacin almacenada en las 512 localidades de la memoria, considere que el circuito de conteo debe
inicializarse al llegar a 512.
5. Simule e imprima el circuito diseado.

Laboratorio de Sistemas Digitales 45


Universidad Nacional Autnoma de Mxico Facultad de Estudios Superiores Cuautitln

Material

1 Circuito contador binario 4040


1 Memoria EEPROM AT28C16
Circuito de leds de prctica 1

Equipo

1 Fuente de CD
1 Generador de Funciones
1 Multmetro
1 Programador Universal SuperPro

Desarrollo

1. Implemente el circuito diseado en las actividades previas.


2. Introduzca una seal de reloj de 1 Hz o menos para poder verificar los datos del bus de datos.
3. Compruebe que los datos de la tabla se presentan de forma correcta incrementando el valor binario y
despus decrementandolo, puede incrementar la velocidad del reloj para observar el efecto de forma
ms adecuada.
4. Modifique la tabla y el circuito para que la memoria presente el cdigo Gray en 4 bits en forma ascendente
y se repita la tabla en forma continua.

Cuestionario

1. Describa las caractersticas de las memorias MROM, PROM, EPROM, EEPROM y FLASH ROM.
2. Investigue los tiempos necesarios para realizar la programacin de una localidad de memoria EPROM
2716 en comparacin con una memoria AT28C16.

Laboratorio de Sistemas Digitales 46

S-ar putea să vă placă și