Sunteți pe pagina 1din 7

Universidad Distrital Francisco Jos de Caldas

Multiplexor

PROBLEMA
Construir e implementar el diseo lgico con multiplexores utilizando su respuesta a partir de sus
entradas, se da a opcin al estudiante para probar su simulacin virtual en el software desarrollado
Quartus.

OBJETIVOS
1. Descomponer de forma binaria un problema dado clase.
2. Reconocer las respectivas salidas del circuito y realizar la simplificacin y conversin
correspondiente.
3. Montar en la protoboard por medio de circuitos integrados la representacin del diseo lgico.
4. Trasladar al software Quartus para su apreciacin y confirmacin de funcionalidad.

RESUMEN: El desarrollo de un multiplexor en forma de un circuito simulado, el objetivo consiste


es recibir la informacin adecuada con ello se realiza su respectiva funcin y en caso de ser necesario
usar sus formas cannicas, en este caso no las usamos, al tener la o las funciones proseguimos a la
simplificacin de las mismas por los mtodos conocidos para adecuarlas en la protoboard, esto facilita
el manejo del cableado para mayor facilidad, y reducir el nmero de conexiones.

MARCO TERICO

Multiplexor: Son circuitos combinacionales con varias entradas y una nica salida de datos, estn
dotados de entradas de control capaces de seleccionar una, y slo una, de las entradas de datos para
permitir su transmisin desde la entrada seleccionada hacia dicha salida. En el campo de
la electrnica el multiplexor se utiliza como dispositivo que puede recibir varias entradas y
transmitirlas por un medio de transmisin compartido. Para ello lo que hace es dividir el medio de
transmisin en mltiples canales, para que varios nodos puedan comunicarse al mismo tiempo. Una
seal que est multiplexada debe Demultiplexarse en el otro extremo.

MATERIALES USADOS:
2 Protoboard
20 Resistencias
Cable para protoboard
2 C.I. Multiplexor 8 a 1 (HD74LS151P)

1
Universidad Distrital Francisco Jos de Caldas

1 C.I. Or (74HC32N o HD74LS32P)


2 Cables Caiman
1 Diodo o Led.
1 Fuente de poder (Pila o adaptador)
1 Computador con QUARTUS II web edition v9.0
1 Computador con un simulador de circuitos

1. DISEO LGICO PROPUESTO

2. FUNCIN OBTENIDA

Estrobe S0 S1 S2 Datos1 Y1 Datos2 Y2 Y1+Y2 (led)


0 0 0 0 10000000 1 10000000 0 1
0 0 0 1 00001000 1 00001000 0 1
0 0 1 0 00100000 1 00100000 0 1
0 0 1 1 00000010 1 00000010 0 1

2
Universidad Distrital Francisco Jos de Caldas

0 1 0 0 01000000 1 01000000 0 1
0 1 0 1 00000100 1 00000100 0 1
0 1 1 0 00010000 1 00010000 0 1
0 1 1 1 00000001 1 00000001 0 1

1 0 0 0 10000000 0 10000000 1 1
1 0 0 1 00001000 0 00001000 1 1
1 0 1 0 00100000 0 00100000 1 1
1 0 1 1 00000010 0 00000010 1 1
1 1 0 0 01000000 0 01000000 1 1
1 1 0 1 00000100 0 00000100 1 1
1 1 1 0 00010000 0 00010000 1 1
1 1 1 1 00000001 0 00000001 1 1

3. SIMULACIN

library ieee;

use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;

entity Multiplexor is

3
Universidad Distrital Francisco Jos de Caldas

port(
datos1 : in std_logic_vector(7 downto 0);
datos2 : in std_logic_vector(7 downto 0);
seleccion : in std_logic_vector(2 downto 0);
strobe : in std_logic_vector(0 downto 0);
y1 : inout std_logic;
y2 : inout std_logic;
led : out std_logic
);
end Multiplexor;

architecture montaje of Multiplexor is


begin
process(strobe, seleccion, datos1, datos2, y1, y2)
begin
if strobe="0" then
y2<='0';
case seleccion is
when "000"=>y1<=datos1(7);
when "001"=>y1<=datos1(3);
when "010"=>y1<=datos1(5);
when "011"=>y1<=datos1(1);
when "100"=>y1<=datos1(6);
when "101"=>y1<=datos1(2);
when "110"=>y1<=datos1(4);
when "111"=>y1<=datos1(0);
when others=>y1<=datos1(0);
end case;
led<=y1 or y2;
else
y1<='0';
case seleccion is
when "000"=>y2<=datos2(7);
when "001"=>y2<=datos2(3);
when "010"=>y2<=datos2(5);
when "011"=>y2<=datos2(1);
when "100"=>y2<=datos2(6);
when "101"=>y2<=datos2(2);
when "110"=>y2<=datos2(4);
when "111"=>y2<=datos2(0);
when others=>y2<=datos2(0);
end case;
led<=y1 or y2;
end if;
end process;
end montaje;

4. RTL OBTENIDO

4
Universidad Distrital Francisco Jos de Caldas

5. RESULTADOS DE SIMULACION

5
Universidad Distrital Francisco Jos de Caldas

Simulacin Funcional: Aqu se evidencian y corroboran las salidas (una en total basada en dos salidas
de los multiplexores) correspondientes a la combinatoria total de entradas (cuatro en comn y 8
independientes en cada multiplexor) de manera que se pueda hacer un seguimiento abstracto de los
posibles resultados que arroja el posterior cableado de ese circuito.

Simulacin temporal: Este tipo de simulacin cumple con las mismas funciones que la simulacin
funcional, lo que las diferencia es que esta mostrara el retardo en el tiempo cuando salta de un punto
a otro de manera que se tendr un seguimiento ms puntual de lo que ocurre con el flujo de energa
en el circuito.

6
Universidad Distrital Francisco Jos de Caldas

6. CONCLUSIONES

El proceso de manejo en la protoboard ocasiona cierto tiempo de paciencia, debido a que las
conexiones son muy tediosas y muy pequeas.
Reconocer por partes cada conexin debido a que, por un error no funciona.
La previa observacin de la tabla de verdad o del diseo lgico del circuito es til para desarrollar
de forma eficaz la implementacin del mismo.
El uso de un multiplexor es de gran utilidad cuando se desea tener un filtro de seales en la
construccin de algn artefacto electrnico permitiendo entender mejor su funcionamiento y su
construccin.
El diseo y el funcionamiento del multiplexor se asemeja a un selector de seales, como el que
usan otros circuitos ms complejos.

7. REFERENCIAS

[1] Tokheim, R. 1984, febrero, Teora y problemas de principios digitales [En lnea], Disponible
en: http://brujoolmeca.files.wordpress.com/2011/01/libro-principios-digitales-roger-l-
tokheim.pdf
[2] No refiere, 2013, agosto, Principios de electrnica digital. lgebra de Boole. Puertas lgicas.
Funciones bsicas combinacionales: decodificadores, codificadores, multiplexores y otras.
Simbologa, tipologa, funcin y aplicacin en los sistemas de control automtico, [En lnea],
Captulo, Disponible en: http://www.pertiga.es/pdf/tema-ea38.pdf
[3] No refiere, 2011, Multiplexores, [En lnea], Captulo, Disponible en:
http://www.uhu.es/rafael.lopezahumada/Cursos_anteriores/fund97_98/combinacionales.pdf
[4] No refiere, 2012, Multiplexores, [En lnea], Seccin, Disponible en: http://e-
ducativa.catedu.es/44700165/aula/archivos/repositorio/4750/4923/html/1_multiplexores.html

S-ar putea să vă placă și