Sunteți pe pagina 1din 118

CONVERTIDOR CA-CA BASADO EN DSP

Tesis que presenta:


Jose Alfonso Vega Ruiz

para obtener el grado de:


Maestro en Ciencias

en la especialidad de:
Ingeniera Electrica

Director de Tesis:
Dr. Juan Manuel Ramirez Arredondo

Guadalajara, Jalisco, Agosto de 2007.


CONVERTIDOR CA-CA BASADO EN DSP

Tesis de Maestra en Ciencias


Ingeniera Electrica

Por:
Jose Alfonso Vega Ruiz

Ingeniero en Comunicaciones y Electronica


Escuela Superior de Ingeniera Mecanica y Electrica
del
Instituto Politecnico Nacional
2000-2004

Becario del CONACYT, expediente no. 199560

Director de Tesis:
Dr. Juan Manuel Ramirez Arredondo

CINVESTAV del IPN Unidad Guadalajara, Agosto de 2007.


Dedicatoria

A la memoria de mis abuelos:


Jose y Alfonso
que comenzaron con este sueno hace muchos anos......

A mi Mama

A mi Papa

A mis tos:
Ofelia y Roman

A mis Hermanos:
Ofelia, Victor y Mauricio

i
ii
Agradecimientos
No pierdas tu valioso tiempo haciendo la cosas faciles, esas cualquiera las puede hacer...
J.A.V.R.

El mejor no es el que nunca se equivoca, sino el que no teme equivocarse...

A Dios por darme la vida y colmar mi vida de bendiciones, dandome a los padres que me dio, a los tos
que me dio, a los hermanos que me dio y a todas la personas que ha puesto en mi camino que me han hecho
lo que soy.
A mi Mama por educarme como me educo, por todo el carino y amor que me ha dado a lo largo de toda
mi vida, por ser un gran ejemplo y una gua en mi vida, por todos los esfuerzos que has hecho por m, para
que yo fuera muy feliz, porque todo lo que tengo y lo que soy te lo debo a ti.
A mi Papa, por el gran ejemplo de una vida de esfuerzo, lleno de retos y sacrificios que me ha dado a lo
largo de mi vida, por todo el carino y amor que me ha dado y demostrado en mi vida, por siempre apoyarme
e impulsarme a superarme da a da y por todo lo que has dado por m.
A mi Ta Ofelia y To Roman, por todo el carino y apoyo que siempre me han dado y porque siempre
me han tratado como a su hijo.
A mis tres Hermanos: Ofelia, Vctor y Mauricio por ser grandes ejemplos en m vida, por ayudarme a
superarme, por todo el carino, apoyo y respaldo que me brindan siempre.
A mi Asesor Dr. Juan Manuel Ramrez Arredondo por todo el tiempo que me dedico, por compartir su
conocimiento, por todo el apoyo que me brindo en todos los aspectos: cientfico, tecnologico y moral; por ser
ese grande ejemplo de compromiso con la ciencia y mas que otra cosa por la gran amistad que me brindo y
los consejos que me dio. Sin el no hubiera sido posible realizar este sueno.
Al Colegio de profesores: Dr. Jose Manuel Canedo Castaneda, Dr. Pablo Moreno Villalobos y Dr. Abner
Ramrez Vazquez, por compartir su conocimiento conmigo y por toda la paciencia que me tuvieron.
A mi amigo Carlos A. Gutierrez M. por todos los anos que me ha brindado su amistad, compana y su
carino.
A mis amigos Amado A. Berriozabal M. de O,. Salvador Chavez E. y Luis A. Villalobos M., amigas
Columba Espinosa de los M. y Georgina Garca G., y a Alejandra Gonzalez R., por su carino, apoyo y todo
lo que siempre han compartido conmigo.
A mis amigos Alejandro Aguilera R. y Mario E. Castillo E. por su amistad y apoyo.
A mi amigo Horacio Garca M. por su amistad, su compana, por ser mi familia, por aguantarme, apo-
yarme, ayudarme y compartir conmigo esta bella y difcil etapa de mi vida.
A mi amigo Angel Tamariz O. por ser m amigo en las buenas y en las malas, y aguantar los malos
tiempos a m lado.
A Omar Espinosa G. por compartir conmigo su casa y recibirme, por las interminables horas que me
brindo su platica, haciendo muy placentera mi estancia en Guadalajara, por convertirse en mi familia, por
ser m guru en la supervivencia, brindarme siempre su ayuda, aguantandome, apoyandome y por brindarme
su amistad, compana y carino.
A mi amigo Omar G. Villasenor V. por su amistad, su apoyo, su ayuda, su compana, por compartir su
filosofa de la vida, sus consejos en los momentos difciles.

iii
A mis companeros de Potencia 05, a Pedro Esquivel P. y Josue Terrones R. por brindarme su amistad y
a todos los companeros de Potencia: Pot03, Pot04 y Pot06.
A Julio C. Rosas C. por todos los consejos y ayuda en la realizacion de este trabajo y por brindarme su
amistad, siempre dispuesto ayudar.
A la familia cientfica: Ruben Tapia O., Pablo E. Onate Y., Jose M. Lozano G., J. Miguel Gonzalez L.,
Antonio Valderrabano G. y L. Ilich V. Guerrero L., por su companerismo y apoyo.
A mi familia de Veracruz por todo el carino apoyo que me han brindado, en especial a la Sra. Martina
Reyes V. y Sr. Alejandro Aguilera G. (q.e.p.d.).
A Teresita Perea y Antonio Beltran, a sus hijos Gloria, Antonio, Miguel y Rene, por apoyarme e incluirme
como un miembro mas de su familia.
A mi Abuelita Ma. Teresa, a mi To Abel Vega y a toda mi familia de Sinaloa, por su apoyo y carino.
A todas las personas que me ayudaron a conseguir todo lo necesario para realizar este trabajo, por
disponer de su tiempo y esfuerzo: m Papa, Horacio, Alejandro y Jano.
A mi amigo Carlos I. Flores C. por darme su apoyo especial, siempre con una palabra de aliento para
superarme.
A mucha gente de ESIME y del IPN que hizo posible que llegara aqu: Miguel Arizmendi, Pedro Avelino,
Jaime Montelongo, Felipe de J. Vences G., Cristian G. Espinosa C., tantas y tantas personas que hicieron
este sueno posible.
A todo el personal del CINVESTAV, docentes, administrativos, mantenimiento y todo el personal, por
hacer de este Centro una gran Familia.
Al Consejo Nacional de Ciencia y Tecnologa (CONACYT ) por el apoyo economico brindado para la
realizacion de este proyecto.

Una de las primeras cosas que vi en Guadalajara, fue la siguiente frase en un cuadro, esta frase me
acompano durante esta aventura, y me acompanara a lo largo de toda mi vida...

Hasta la Victoria Siempre!


Ernesto Che Guevara

iv
Resumen

En la actualidad existe una gran variedad de aplicaciones industriales que demandan la utilizacion de
frecuencia variable o la obtencion de potencia fija a partir de un suministro variable; por ejemplo, drivers
de velocidad variable para impulsar motores a diferentes velocidades o la generacion de energa electrica a
partir de agua o aire. Para esto se requieren convertidores CA-CA, los cuales se encargan de acondicionar la
energa suministrada a las necesidades de tension, frecuencia y fase que demanda la carga.

Dentro de los convertidores directos encontramos al convertidor matricial. Este convertidor a diferencia
de los otros tipos nos permite modificar la tension y frecuencia de salida, y el factor de potencia de entrada.
Su nombre se debe a que su estructura es una matriz de interruptores CA con m filas de entrada y n
columnas de salida. El convertidor matricial se basa en el principio de conmutacion forzada, por lo cual em-
plea interruptores bidireccionales conmutados a alta frecuencia, generalmente IGBTs. El uso de tecnicas de
PWM para modular las funciones que controlan los interruptores ayuda a que no haya restriccion en cuanto
la frecuencia de salida. Algunas de las caractersticas que hacen atractivo a este tipo de convertidor son las
siguientes: no necesita un banco de capacitores debido a que no almacena energa en el proceso de conversion,
tiene un reducido contenido de armonico y factor de potencia controlable independiente del factor de potencia
de la carga. Sin embargo, la principal restriccion en este convertidor es la tension de salida que solo puede
ser un 86.6 % de la de entrada. Aunado a esto, la alta cantidad de silicio utilizado en el convertidor matricial
y su compleja conmutacion de corriente, son limitantes importantes que han dificultado su implementacion
industrial.

En este trabajo se presenta informacion practica y detallada de como implementar un convertidor matricial
CA-CA. Ademas, se proporciona una metodologa detallada de como implementar el algoritmo de control
vectorial sobre la plataforma 2000 de los DSP de TI. Por otra parte, se abordan las diferentes configuraciones
de los interruptores CA y los requerimientos de hardware necesarios para que operen, as como la seleccion
de una adecuada estrategia de conmutacion para reducir los impulsos de voltaje al realizar la conmutacion
de una fase a otra. Adicionalmente, se mencionan consideraciones importantes para la implementacion del
filtro de entrada, el cual es una pieza fundamental para que la calidad de la energa no sea afectada por la
operacion del convertidor.

v
vi
Abstract

The AC-AC matrix converter, an alternative to an AC-DC-AC converter for voltage and frequency trans-
formation, has two major advantages: it requires no DC-link reactive components and it allows bidirectional
power flow. Since its first description, the matrix converter has been the subject of intensive ongoing research.
An AC/AC matrix converter is an array of power semiconductor switches that connects directly a three-
phase AC source to another three-phase load. This converter has several attractive features that have been
investigated in recent decades. It can convert an AC power source with certain voltage and frequency to
another AC load with variable voltage and variable frequency directly without a DC-link and bulk energy
storage component. It eliminates large energy storage components, i.e. bulk inductor or electrolytic capacitors.
The semiconductor switches are marked Hjk , which means the switch is connected between input phase
j and output phase k, where j = {A, B, C}, k = {a, b, c}.
All the switches Hjk in the matrix converters require a bidirectional-switch capability of blocking volt-
age and conducting current in both directions. Since the matrix converter was introduced, it has received
considerable attention, because of the following advantages:
a. A large capacity and compact converter system can be designed because the system does not have any
DClink circuit and, as a result, does not need any energy storage component such as a smoothing inductor
or a smoothing capacitor.
b. The system has high efficiency, because the number of devices connected in series is less in this system
than in the conventional rectifier-inverter system.
c. Four-quadrant operation is very easy. What is more, by controlling switching patterns appropriately,
both output voltages and input currents become sinusoidal.
Thus the matrix converter has its major potentiality to provide direct AC/AC conversion without energy
storage elements except for a small AC input filter for elimination of switching ripples. However, there
have been few practical applications, especially in power electronics fields. One of the major reasons is the
commutation problem, since a multi-stepped switching procedure for safe commutation of the four quadrant
switches is required. However, the switching policy is complex to implement and also requires exact detection
of the load current direction. Inexact detection of the load current direction establishes a short circuit during
the commutation process.
Indice general

Dedicatoria I

Agradecimientos III

Resumen V

1. Introduccion 1
1.1. Antecedentes y enunciado del problema . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1
1.2. Revision de trabajos previos . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6
1.3. Objetivos . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8
1.4. Contribuciones . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8
1.5. Organizacion del trabajo . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9

2. Bases de Funcionamiento del Convertidor Matricial 11


2.1. Controlador de voltaje de CA con modulacion de ancho de pulso . . . . . . . . . . . . . . . . 11
2.2. Representacion vectorial de la suma de senales senoidales . . . . . . . . . . . . . . . . . . . . 13
2.3. Calculo de los ndices de modulacion con la representacion vectorial . . . . . . . . . . . . . . 16
2.4. Elementos de hardware . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20
2.4.1. El interruptor bidireccional . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22
2.4.2. Circuitos de disparo . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 23
2.5. Estrategia de conmutacion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 23

3. Teora del convertidor matricial 27


3.1. Transformacion matricial . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 28
3.2. Espacios vector de voltajes y corrientes del lado-1 y lado-2 . . . . . . . . . . . . . . . . . . . . 29
3.3. Sntesis de la matriz de transformacion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 29
3.3.1. Ejemplos de matrices de transformacion . . . . . . . . . . . . . . . . . . . . . . . . . . 30
3.4. Transformacion de Park . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 32
3.5. La matriz de transformacion en el marco d-q-0 . . . . . . . . . . . . . . . . . . . . . . . . . . 33

4. Vectores de conmutacion 35
4.1. Tensiones de entrada en el espacio de Park. . . . . . . . . . . . . . . . . . . . . . . . . . . . . 35
4.2. Estados posibles de los interruptores CA . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 37
4.2.1. Analisis de los estados en el espacio complejo de Park. . . . . . . . . . . . . . . . . . . 37

ix
4.3. Resumen de los estados en el espacio complejo de Park . . . . . . . . . . . . . . . . . . . . . . 45
4.3.1. Vectores fijos . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 46
4.3.2. Vectores moviles directos . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 46
4.3.3. Vectores moviles inversos . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 46
4.3.4. Vectores cero . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 46
4.4. Conclusiones . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 46

5. Estrategia de control SVMPWM 55


5.1. Introduccion. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 55
5.2. Seguimiento de la Referencia de Tension. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 57
5.3. Seguimiento de la referencia de corriente. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 59
5.4. Determinacion de los ciclos de servicio. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 61
5.5. Tabla de conmutaciones para DSVPWM. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 62
5.6. Simulacion. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 63

6. Implementacion del Convertidor Matricial 71


6.1. Control . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 72
6.1.1. Implementacion del algoritmo . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 76
6.2. Acondicionamiento de senales . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 84
6.3. Circuito de Potencia. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 85
6.3.1. Matriz de conversion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 85
6.3.2. Filtro de entrada . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 86
6.3.3. Circuito Clamp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 87
6.4. Alimentacion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 87
6.5. Resultados de la implementacion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 88

7. Conclusiones y trabajos futuros 97


7.1. Conclusiones . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 97
7.2. Aportaciones . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 98
7.3. Trabajos futuros . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 98

Bibliografa 99

x
Captulo 1

Introduccion

1.1. Antecedentes y enunciado del problema


En muchas aplicaciones industriales la potencia CA necesaria es de una frecuencia diferente a la de su-
ministro. Por ejemplo, en drives de velocidad variable, para impulsar un motor a diferentes velocidades,
es requerimiento contar con una frecuencia de suministro variable. Tambien hay aplicaciones en las que es
deseable obtener potencia CA con frecuencia fija, a partir de un suministro de frecuencia variable. Como
ejemplo para el caso de frecuencia variable puede considerarse, la generacion de potencia electrica en un
aeronave o en una turbina eolica. En ambos casos, la velocidad en la flecha del generador no es fija; por lo
tanto, la frecuencia del voltaje de salida vara en proporcion directa. Una solucion mecanica sera utilizando
un dispositivo hidraulico para regular la velocidad de la flecha del generador. Como ejemplo para el caso de
la frecuencia no-compatible, puede considerarse la generacion de potencia a partir de una turbina de alta
velocidad con la flecha del generador directamente acoplada. Una solucion mecanica sera una caja de en-
granes. Las soluciones mecanicas generalmente demandan mantenimiento frecuente y reemplazos periodicos.
La solucion inteligente en tales aplicaciones es un cambiador de frecuencia estatico.
El termino cambiador de frecuencia estatico aplica para todos los circuitos electricos compuestos de inte-
rruptores semiconductores, capaces de convertir potencia electrica de una frecuencia, 1 , en otra frecuencia,
2 . La Fig. 1.1 es un diagrama de bloques de un cambiador de frecuencia estatico trifasico-trifasico. El sumi-
nistro es el lado-1, mientras la carga trifasica es el lado-2. El voltaje senoidal balanceado trifasico a frecuencia
angular 1 en el lado-1, se transforma en voltaje senoidal balanceado trifasico a frecuencia angular 2 en
el lado-2. Los cambiadores de frecuencia estaticos, en general, pueden controlar la frecuencia y la magnitud
del voltaje en el lado-2, y el angulo de fase de la corriente con respecto al voltaje (factor de potencia) en el
lado-1. La unica restriccion es la igualdad de la potencia activa: P1 = P2 [1].
Los cambiadores de frecuencia estaticos se dividen en dos clases principales. En la primera clase, la
conversion de potencia ocurre en dos etapas con un enlace CD intermedio, Fig. 1.2(a). La potencia CA a
la frecuencia angular 1 en el lado-1 se convierte primero a potencia CD a traves de un rectificador. Luego,
la potencia CD se reconvierte a potencia CA a la frecuencia angular deseada 2 a traves de un inversor. La
principal desventaja de este esquema es la presencia de los elementos de almacenamiento de energa en el
enlace CD que agregan volumen, peso, y costo, as como reducen la velocidad de respuesta. En la segunda clase
de cambiadores de frecuencia estaticos, la conversion de potencia se desarrolla en una sola etapa, Fig. 1.2(b).
La operacion se basa en la formacion de la onda de voltaje de cada fase del lado-2, a partir de segmentos

1
lado-1 lado-2

i i
1a
2a
v
v 2a Carga
1a
i
i 2b
1b Cambiador de
v v
1b frecuendia 2b
Carga

i
esttico
1c i
v 2c
1c
v
2c Carga

1 2

V 1
V 2

1 2

P 1
= P 2

Figura 1.1: Cambiador de frecuencia estatico trifasico-trifasico.

de la onda de voltaje del lado-1 [1]. El primer metodo y mas conocido de esta clase es el cicloconvertidor
inventado en los 30. Un cicloconvertidor naturalmente conmutado (NCC) trifasico-trifasico se ilustra en la
Fig. 1.3 [2].
lado-1 lado-2

3-Fases 3-Fases
CA DC CA
Rectificador Inversor
a Link a
1 2

(a)

lado-1 lado-2

3-Fases 3-Fases
Cambiador
CA CA
de Frecuencia
a a
1 Esttico 2

(b)

Figura 1.2: Clases de cambiadores de frecuencia estaticos.

Para cada fase del lado-2, se requieren dos puentes inversores trifasicos espalda-con-espalda naturalmente
conmutados. Dependiendo de la direccion de la corriente de carga, opera el inversor positivo o negativo. El
modo de operacion de cada inversor (modo rectificador o inversor) se determina por el signo del voltaje del
lado-2. La frecuencia alcanzable en el lado-2 del NCC siempre es inferior a la frecuencia de suministro en el
lado-1. El lmite para 2 es alrededor de un tercio de 1 para una forma de onda aceptable en el lado-2 con
bajo contenido armonico [2].
Puede encontrarse una estructura mas compacta para el cambiador de frecuencia, con el numero total
de dispositivos de conmutacion reducidos a la mitad, Fig. 1.4. Los tiristores conectados espalda-con-espalda
han de conmutarse forzadamente. Por esta razon, la estructura mostrada en la Fig. 1.4 se denomina un
cicloconvertidor conmutado forzadamente (FCC). Para evitar las complicaciones causadas al emplear circuitos
de conmutacion forzada, pueden utilizarse interruptores con capacidad de apagado inherente (tal como GTO,
BJT, MOSFET, IGBT) en lugar de tiristores, si se encuentran de la capacidad requerida. Con la estructura

2
Figura 1.3: NCC trifasico-trifasico.

de la Fig. 1.4, no hay lmite en la frecuencia alcanzable en el lado-2. Ya que el cambiador de frecuencia de la
Fig. 1.4 consiste de un arreglo de interruptores semiconductores conectados directamente entre las terminales
del lado-1 y lado-2, esta estructura tambien se denomina convertidor matricial.
La Fig. 1.5 ilustra un convertidor matricial trifasico-trifasico con su matriz de conmutacion 3x3 de
elementos SWaa ,. . . , SWcc . Como se observa, cada interruptor es responsable de la conexion de una fase del
sistema en el lado-1 a una fase del sistema en el lado-2.
En general, los convertidores matriciales emplean cuatro cuadrantes o interruptores bidireccionales (SWaa ,...,
SWcc , Fig. 1.5). La Fig. 1.6 exhibe tres maneras de realizar los interruptores bi-direccionales con BJTs.
Estos, permiten la corriente en cualquier direccion estando encendidos, y bloquean el voltaje de cualquier
polaridad estando apagados [1].
El objetivo basico en la operacion de los convertidores matriciales es conseguir formas de onda senoidales
balanceadas para los voltajes del lado-2 y las corrientes en el lado-1 a la frecuencia deseada. Las componentes
de frecuencia por debajo y por encima de las deseadas no se permiten. Solamente se toleran altas componentes
armonicas de conmutacion, ya que pueden filtrarse sin muchas dificultades. La clave para lograr el exito con
tales objetivos es la eleccion apropiada de los periodos encendido/apagado de los interruptores SWaa ,. . . ,
SWcc , Fig. 1.5.
Las funciones bi-nivel que gobiernan la operacion de los interruptores se denominan funciones de con-
mutacion. El valor 1 denota el comando on, mientras el valor 0 significa el comando off del interruptor
correspondiente. Las funciones de conmutacion de los nueve interruptores en la Fig. 1.5 constituyen una
funcion matricial de conmutacion [S], tambien llamada la matriz de existencia [1]. Las transformaciones de
voltajes y corrientes se desarrollan de acuerdo a las siguientes ecuaciones,


v2a v1a i1a i2a
T
v2b = [S] v1b i1b = [S] i2b (1.1)
v2c v1c i1c i2c
Para que las cantidades del lado-1 y lado-2 del convertidor matricial incluyan la componente fundamental

3
Figura 1.4: FCC trifasico-trifasico.

Figura 1.5: Convertidor matricial trifasico-trifasico.

4
Figura 1.6: Realizaciones para el interruptor bi-direccional.

deseada, los elementos de [S] deben elegirse apropiadamente. En lo que se refiere a las componentes fun-
damentales, [S] puede reemplazarse con otra matriz [H] cuyos elementos son los promedios locales de los
elementos correspondientes de [S]. De modo que,

v2a v1a i1a i2a
T
v2b = [H] v1b i1b = [H] i2b (1.2)
v2c v1c i1c i2c

Estrictamente hablando, los voltajes y corrientes en (1.1)-(1.2) no son exactamente los mismos. De hecho,
(1.1) relaciona los valores instantaneos de las cantidades de ambos lados, mientras (1.2) describe la relacion de
los valores instantaneos de las componentes de Fourier dominantes de bajo orden para las mismas cantidades.
La matriz [H] es una matriz de transformacion, cuyos elementos son tpicamente funciones coseno a las
frecuencias angulares iguales a la suma y diferencia de las frecuencias angulares del lado-1 y lado-2, 1 y 2 .
Como ejemplo, una matriz H tpica es


cos (1 + 2 ) t cos (1 + 2 ) t 2
3 cos (1 + 2 ) t 4
3

Ms cos (1 + 2 ) t 2
3 cos (1 + 2 ) t 4
3 cos (1 + 2 ) t +

cos (1 + 2 ) t 4
3 cos (1 + 2 ) t cos (1 + 2 ) t 2
3

cos (1 2 ) t cos (1 2 ) t 2
3 cos (1 2 ) t 4
3

Md cos (1 2 ) t 2
3 cos (1 2 ) t 4
3 cos (1 2 ) t (1.3)

cos (1 2 ) t 4
3 cos (1 2 ) t cos (1 2 ) t 2
3

donde Ms y Md son constantes escalares.


La sntesis directa de [H] a partir de las especificaciones deseadas de las cantidades del lado-1 y lado-2, no
es inmediata. Hay un metodo indirecto que es mas intuitivo [3]. Se basa en modelar el convertidor matricial
como dos bloques en cascada, uno como rectificador y otro como inversor, Fig. 1.2(a). Primero, se disena un
vector renglon [Hr] de 1x3, que transforma los voltajes senoidales balanceados trifasicos del lado-1 en voltaje
CD. Para transformar este voltaje CD a un sistema de voltajes senoidales balanceados trifasicos en el lado-2,
se disena un vector columna [Hi ] de 3x1. La matriz [H] completa sera el producto de [Hi ] x [Hr ]. La ec. (1.3)
puede reescribirse,

v2a v1a

v2b = [Hi ][Hr ] v1b (1.4)
v2c v1c

5
1.2. Revision de trabajos previos
La historia documentada del cambiador de frecuencia estatico de una etapa comienza con el trabajo de
L. A. Hazeltine en 1923 [4], quien establecio el principio fundamental de la construccion de una onda de
voltaje CA de frecuencia elegible, a partir de ondas de voltaje sucesivas de un suministro CA multifasico
de frecuencia conocida. Sin embargo, su sistema no pudo implementarse por la indisponibilidad de valvulas
electricas con caractersticas y capacidades apropiadas [1].
Durante los 30s, gracias a la disponibilidad de las valvulas de arco de mercurio de capacidad adecuada,
se reportaron algunos experimentos practicos [5, 6]. Se lograron frecuencias de salida variables por debajo de
la de suministro y una amplitud de salida variable, mediante el control del angulo de disparo de las valvulas.
En la segunda mitad de los 30s, H. Rissik reviso los convertidores de frecuencia de arco de mercurio [7,8]
y se introdujo el termino cicloconversion y cicloconvertidor.
A finales de los 50s, la evolucion del rectificador controlado de silicio (SCR) o tiristor, junto con las
ventajas que ofrecen sobre los rectificadores de arco de mercurio, tales como: menor tamano, mayor velocidad
de conmutacion, una menor cada de voltaje en el estado encendido, y una construccion robusta, remotivaron
la investigacion en el area de los cambiadores de frecuencia estaticos hacia aplicaciones muy utiles como:
sistemas de generacion de potencia de frecuencia constante-velocidad variable (VSCF). Las referencias [914]
reflejan parte de tal esfuerzo.
A mediados de los 60s algunos investigadores haban avanzado en los problemas de la distorsion de la
onda asociados con los convertidores de frecuencia [15, 16].
Hasta que se dispuso de los dispositivos con capacidad de apagado por compuerta en capacidades grandes a
finales de los 70s, el avance de los cambiadores estaticos de frecuencia fue impedido por la limitacion inherente
de los tiristores, debido al hecho de solo encender por compuerta y tener que apagarse por conmutacion
natural. Sin embargo, los investigadores conscientes del potencial de los cicloconvertidores, persistieron en
desarrollar una tecnologa en base a conmutacion en-lnea con exito en terminos de control de amplitud y
frecuencia del voltaje de salida y la bi-direccionalidad. La principal area de aplicacion fue en motores CA.
Los sistemas compuestos de un cicloconvertidor y un motor CA pudieron llenar los requerimientos esperados
del motor CD, bajo un control de voltaje de armadura, en terminos del rango de la variacion de velocidad,
caractersticas del par, y eficiencia. Los 60s y principios de los 70s marcaron los esfuerzos realizados para
desarrollar sistemas practicos con la combinacion motor de induccion jaula de ardilla - cicloconvertidor
[1731]. Los cicloconvertidores fueron los candidatos perfectos para drives de grandes motores de CA girando
a velocidades bajas [3237].
Los 70s son los anos del florecimiento de los cambiadores estaticos de frecuencia. Se inventaron sistemas
con nuevas caractersticas como factor de potencia de entrada controlable [3840], y se descubrieron nuevos
arreglos de conversion estatica de potencia [41, 42]. Se publicaron dos trabajos teoricos por L. Gyugyi y B.
R. Pelly [43, 44] que cubren el analisis de las caractersticas terminales de los diferentes tipos de cambiadores
de frecuencia, incluyendo un estudio completo del cicloconvertidor naturalmente conmutado (NCC). Estos
trabajos fueron seguidos por otros trabajos teoricos de W. McMurray [45], que cubren la teora y diseno de los
cicloconvertidores. En 1976, L. Gyugyi y B. R. Pelly publicaron su libro Static power frequency changers [1].
Los autores resumen el conocimiento disponible en ese tiempo, en el area de los cambiadores estaticos de
frecuencia de una etapa, en un marco matematico realizando proyecciones profeticas de los nuevos cambiadores
de frecuencia basados en conmutacion forzada. Se introdujeron los conceptos de la funcion de existencia y la

6
matriz de existencia, los interruptores bi-direccionales o de cuatro cuadrantes, y el transformador generalizado.
Este libro es la principal referencia de la epoca.
Desde entonces, se han dado avances en los cambiadores de frecuencia gracias a la evolucion de los
dispositivos de silicio de apagado por compuerta con grandes capacidades, la invencion de nuevas topologas,
y la introduccion de nuevos metodos de control y modulacion.
La era actual comenzo con dos publicaciones de M. Venturini y A. Alesina [46, 47], en 1980. Se propuso
un nuevo cambiador de frecuencia capaz de emplear entradas y salidas senoidales, bi-direccionalidad en
la transferencia de potencia, factor de potencia controlable, y generacion de potencia reactiva. Tambien
impusieron las condiciones sobre las funciones de conmutacion de que las terminales del lado fuente nunca
se pongan en cortocircuito, y que las terminales del lado carga nunca queden abiertas. Adicionalmente,
se menciono la posibilidad de utilizar convertidores matriciales (un nombre diferente para los cambiadores
estaticos de frecuencia de una etapa), para conversiones ac-ac- (f1 y f2 6= 0), dc-ac (f1 = 0 y f2 6= 0), y
dc-dc (f 1 = f 2 = 0) con fuente de voltaje en lado-1 y fuente de corriente en lado-2 (topologa buck), o fuente
de corriente en lado-1 y de voltaje en lado-2 (topologa boost). En la transformacion matricial, se utilizaron
funciones coseno, tanto sumas como diferencias de frecuencias angulares del lado-1 como del lado-2; esto es,
1 + 2 y 1 2 . Se avanzo en el problema de los armonicos de bajo orden y gran amplitud, presentes en
la corriente de entrada y el voltaje de salida [1]. La maxima relacion de voltaje salida/entrada alcanzable se
reporto en 0.5.
Mas tarde, en 1985 P.D. Ziogas, S. I. Khan, y M. H. Rashid mejoraron la distorsion armonica de la
corriente de entrada y el voltaje de salida, y alcanzaron una relacion de voltaje salida/entrada de 0.95, a
traves de estructuras mejoradas [48].
Mientras los cambiadores de frecuencia con conmutacion forzada (FCFCs) avanzaron y se mejoraron, el
tradicional cicloconvertidor naturalmente conmutado (NCC) continuo recibiendo atencion, en el area de la
aplicacion de nuevas tecnicas de control, especialmente para aplicaciones de alta potencia como: los sistemas
de propulsion de rompe-hielos y en el rolado de acero [4957].
La referencia [58] presento el problema de las condiciones de severo desbalance causadas por grandes
cargas monofasicas conectadas directamente al sistema CA, y sugirio el uso de un convertidor matricial
trifasico-monofasico.
En 1986, en su paper [59], P. D. Ziogas, S. I. Khan, y M. H. Rashid reportaron mejoras en la reduccion
armonica y el incremento de la relacion de voltaje salida/entrada a 1.0. Su elemento interruptor de cuatro
cuadrantes se realizo empleando la combinacion de un transistor y cuatro diodos, Fig. 1.6(a).
El tiempo de las senales de disparo enviadas a los interruptores bi-direccionales es muy crtico. Un tiempo
impreciso puede conducir a cortocircuitos en las fuentes de voltaje de entrada o ausencia de corrientes de
carga [6062]. Los transitorios resultantes en corriente o voltaje pueden destruir los interruptores. Para
proteger los convertidores matriciales contra condiciones peligrosas, algunos investigadores emplean redes
snubber [58,59,63]. Mientras que otros han implantado algoritmos de conmutacion multipasos [60,61,6466].
Generalmente los circuitos snubber son voluminosos y disipativos, y las tecnicas de conmutacion multipasos
complican la circuitera de control. Requieren una retroalimentacion de la direccion de la corriente de carga
o la polaridad del voltaje de suministro fase-fase, utilizando solamente los interruptores bi-direccionales con
las estructuras de las Fig. 1.6(b)-(c).
En base a la gran cantidad de conocimiento disponible en el topico de convertidores CA-CA, se con-
tinuo perfeccionando los aspectos operativos del sistema mediante la introduccion de nuevos metodos de

7
control [61, 62, 6770] y nuevas tecnicas de modulacion, tal como el metodo de modulacion espacio vector de
L. Huber y D. Borojevic [66].
La referencia [63] mostro que los convertidores matriciales CA-CA son apropiados para aplicaciones de
alta potencia.
En 1992, D. G. Colmes y T. A. Lipo aplicaron la teora del convertidor CA-CA a inversores y rectificadores
controlados [71, 72]. Este es parte del esfuerzo en la direccion de integracion de diferentes aplicaciones en un
solo sistema. De esta forma, un convertidor matricial CA-CA monofasico-trifasico puede realizar todas las
posibles topologas de convertidor, esto es, f CA-CA, CA-CD, CD-CA, y CD-CD. Moviendose de una topologa
a otra, no requiere modificacion de hardware, sino algunas modificaciones de software.
En 1993, W. H. Kwon y G. H. Cho aplicaron la transformacion a-b-c a d-q-0 al analisis del convertidor ma-
tricial tipo boost de nueve interruptores [73]. De esta manera, se reemplazaron las funciones trigonometricas
en el marco de referencia a-b-c por valores invariantes en el tiempo en el marco d-q-0, simplificando el analisis.
Adicionalmente, por este tiempo Kwon y Cho se enfocaron al analisis de las inductancias y capacitancias
que forman los buffers de energa entre la matriz de interruptores y el suministro-carga. Hasta entonces, se
utilizaban fuentes de corriente y voltaje ideales.
Con tales antecedentes teoricos, simulacion, y resultados experimentales, la tendencia en el desarrollo de
los convertidores matriciales CA-CA parecen estar en la direccion de las aplicaciones industriales.

1.3. Objetivos
Presentar la informacion detallada sobre los aspectos tecnicos de como implementar el convertidor
matricial CA-CA.

Proponer la metodologa para implementar el algoritmo de control vectorial sobre la plataforma 2000
de los DSP de TI, en especial el 2812. Se detalla la gestion de las prioridades de los diferentes procesos
programados en el DSP, como son las interrupciones por hardware y por software, y con esto hacer
posible la sincronizacion para controlar los 18 IGBTs con 18 PWM generados por software que se
requieren en la conversion.

Se abordan las diferentes configuraciones de lo interruptores CA y los requerimientos de hardware


necesarios para que operen. Ademas de seleccionar una adecuada estrategia de conmutacion para reducir
los impulsos de voltaje al conmutar de una fase a otra.

Se destacan aspectos importantes a considerarse en el filtro de entrada, que es una pieza fundamental
para que la calidad de la energa no sea afectada por la operacion del convertidor.

Se exhiben los primeros resultados de la implementacion.

1.4. Contribuciones
La utilizacion de un DSP como unico dispositivo de control, encargado del calculo de los tiempos del
algoritmo y la conmutacion suave, ademas de tener la opcion de implementar algun tipo de control
complejo por tener disponibles recursos en el DSP.

8
Reduccion de los dispositivos requeridos para la implementacion del convertidor matricial, impactando
as el costo final de produccion y reduciendo las posibles fuentes de falla si es que se llegaran a presentar.

Proponer una metodologa de programacion del DSP, que puede ser seguida para implementar diferentes
tecnicas de modulacion para controlar la amplitud, la frecuencia y a fase de salida.

1.5. Organizacion del trabajo


En el captulo 2 se define el convertidor matricial, sus diferentes elementos constitutivos, y los fundamentos
sobre los que opera.
La teora del convertidor se proporciona en el captulo 3, donde se ejemplifican diversas matrices de
transformacion que pueden aplicarse para los objetivos del convertidor.
En el captulo 4 se emplea la transformacion de Park, de donde es posible definir tres diferentes tipos de
vectores: (1) fijos, (2) moviles, y (3) cero. Se explica la funcion de cada uno de ellos.
La estrategia PWM utilizada por el convertidor matricial se denomina vectorial, y se define su principio
de funcionamiento en el captulo 5, donde se presenta ademas una simulacion de la tecnica vectorial.
Finalmente, en los captulos 6 y 7 se detalla el procedimiento de implementacion del convertidor en un
prototipo de laboratorio, exhibiendo algunos resultados preliminares y las conclusiones.

9
10
Captulo 2

Bases de Funcionamiento del Convertidor


Matricial

El tipo de convertidor a utilizar tiene un gran impacto sobre la calidad de la energa del sistema. En el
caso ideal se espera que el convertidor tenga corrientes de entrada con forma senoidal pura y voltajes de
salida con forma senoidal pura. Tambien es necesario que el voltaje generado pueda ser regulado en amplitud
y fase, de manera independiente en cada fase.
Los convertidores mas utilizados para generar voltaje trifasico regulados en amplitud y fase, son los
convertidores CA-CD-CA, que rectifican la senal de CA para producir CD y con esto alimentar a un inversor.
El inversor tiene como salida CA la cual puede ser controlada. Esta clase de convertidores son usados tambien
para controlar la velocidad de un motor, ya que es posible modificar la frecuencia del voltaje de salida. En
este captulo, se analiza el concepto del convertidor matricial, que es un convertidor de CA-CA.

2.1. Controlador de voltaje de CA con modulacion de ancho de pulso


Inicialmente se analiza el diagrama mostrado en la Fig. 2.1, el cual es llamado controlador de voltaje con
control por modulacion de ancho de pulso, PWM [74].

Figura 2.1: Controlador de voltaje.

Donde:
IM es la senal de ndice de modulacion.

11
Vin es el voltaje de alimentacion.
Z es la impedancia de la carga.
IZ es la corriente en la carga.
VZ es el voltaje en la carga.
Cuando la senal triangular supera en amplitud instantanea a la senal de ndice de modulacion, el in-
terruptor S1 permanece abierto y el interruptor S2 permanece cerrado. En el caso contrario el interruptor
S1 permanece cerrado mientras el interruptor S2 permanece abierto. El interruptor S2 tiene la funcion de
descargar la energa almacenada en la inductancia de la carga, para evitar sobrevoltaje en el interruptor S1.
Se definen los estados de los interruptores como Sx = 1 cuando el interruptor esta cerrado y Sx = 0 cuando
esta abierto. El comportamiento de las senales con respecto al tiempo se observa en la Fig. 2.2

Figura 2.2: Senales en el controlador de voltaje.

Como se observa, el voltaje de entrada Vin es un voltaje de CD, y la salida esta modulada en ancho de
pulso, lo cual se define por la senal IM , el valor medio de salida esta definido en (2.1); el resultado es valido
tambien para senales de entrada de CA, Fig. 2.3. El ndice de modulacion, tambien llamado ciclo de trabajo,
es comunmente expresado en porcentaje.

V mout = Vin IM (2.1)

Figura 2.3: Controlador de voltaje de AC.

12
Por ejemplo, la Fig. 2.4 desplega el voltage en la carga cuando IM es de 50 %, la frecuencia es de 60 hz,
la frecuencia de la senal triangular, tambien llamada senal portadora, es de 3840 hz, 64 veces la frecuencia
de la senoidal, y la carga es puramente resistiva.

Figura 2.4: Voltaje en la carga.

Al llevar a cabo un analisis frecuencial es posible observar que las armonicas existentes estan dadas por
(2.2) como en el caso de los convertidores multipulsos.

h=kq1 (2.2)

Donde:
h es la armonica existente.
k = 1, 2, 3, . . .
q es la frecuencia de la triangular / 60hz.
En el ejemplo mostrado en donde el ciclo de trabajo es 50 %, la amplitud de la componente fundamental
es de 0.5, lo que corresponde a la amplitud maxima, 1 unidad multiplicada por el ciclo de trabajo de 0.5.
Esto concuerda con (2.1).
De esta forma, no solo es posible controlar la amplitud de una senal senoidal mediante PWM, sino que
tambien es posible controlar el orden de la menor de las armonicas.

2.2. Representacion vectorial de la suma de senales senoidales


La Fig. 2.5 ilustra un arreglo propuesto de transformadores mediante el cual es posible sumar dos senales
senoidales de la misma frecuencia.
El voltaje de salida Vout (t), en el caso de que la relacion de transformacion sea 1 : 1, esta dado por:

Vout (t) = Va (t) + Vb (t) (2.3)

Donde:
Va (t) = 100 sin (260t + 0o ) es el primer voltaje de entrada.
Vb (t) = 100 sin (260t + 90o ) es el segundo voltaje de entrada.
Las senales de entrada son voltajes de 60 hz, amplitud 100 y desfasadas 90 entre s. La senal de salida
es una senoidal de la misma frecuencia pero cuya amplitud es la suma instantanea de las senales de entrada.
En este ejemplo, la amplitud maxima de salida es 141.42V. En la Fig. 2.6 se tiene la representacion vectorial
de esta operacion. Note que la amplitud y la fase de la senal resultante, puede ser controlado unicamente
controlando la amplitud de las senales senoidales que la componen, sin necesidad de alterar la fase o frecuencia

13
Figura 2.5: Sumador con transformadores.

de dichas senales, y como se ha mostrado, el control de amplitud puede ser mediante PWM. Este es el principio
del convertidor matricial.
Note ademas que una vez establecida la fase entre las senales de entrada con un valor fijo, la amplitud
maxima de la senal resultante depende de las amplitudes maximas de senales de entrada. En este caso, en el
cual se toma como referencia de fases a la senal Va, la fase de la senal resultante solo puede estar entre 0o
y 90 . Tomando en cuenta que las amplitudes pueden ser variadas desde 0 % hasta el 100 % de la senal de
entrada.

Figura 2.6: Representacion vectorial de la suma de senales senoidales de la misma frecuencia.

Si se aplica este principio para sumar 3 senales de la misma amplitud desfasadas 120 una de la otra,
como en el caso de los sistemas trifasicos de CA, controlando la amplitud de cada una con PWM, entonces
se puede generar una senal resultante con cualquier fase, con una amplitud maxima igual a la amplitud de
las senales de entrada, Fig. 2.7. Nota: este circuito solo se usa con el unico fin de explicar el principio del
convertidor matricial.
Los interruptores tienen su respectivo interruptor complementario, el cual esta representado por el ope-
rador de complemento o negacion logica, as:
S11 es el interruptor complementario de S11
S21 es el interruptor complementario de S21
S31 es el interruptor complementario de S31
Ademas, los ndices de modulacion de cada uno de los PWMs para los interruptores son:
IM11 es el ndice de modulacion del interruptor S11

14
Figura 2.7: Sumador trifasico con PWM y carga resistiva.

IM21 es el ndice de modulacion del interruptor S21


IM31 es el ndice de modulacion del interruptor S31
Por lo tanto, la componente fundamental del voltaje de salida esta definido mediante:

V out = IM11 Va + IM21 V b + IM31 V c (2.4)

As, resulta un convertidor matricial monofasico que puede generar una senal senoidal de amplitud y fase
que dependen de los ndices de modulacion de cada uno de los interruptores controlados mediante PWM.
Para la construccion de un convertidor vectorial trifasico, se emplearan 3 convertidores monofasicos; los
3 convertidores tendran la misma entrada. Los ciclos de trabajo de los interruptores de cada uno de los
convertidores, tendran valores independientes, dependiendo de la senal deseada en la salida. Mediante este
esquema es posible generar 3 senales de voltaje variando 3 esquemas de PWM independientes.
Debido a que las senales solo se escalan, la senal de salida tendra la misma frecuencia que las senales
de entrada, por lo que no es necesario tener un modulo de sincronizacion como en el caso del convertidor
CA-CD-CA.

15
2.3. Calculo de los ndices de modulacion con la representacion vectorial
La operacion del convertidor dependera del dispositivo en el que sea utilizado y puede recibir la senal a
generar (amplitud y fase) desde un controlador de velocidad, un control de dispositivo FACTS, etc.
El convertidor tendra internamente un sistema embebido, microcontrolador o DSP, para generar las
senales de disparo PWM de los interruptores. Es conveniente que el dispositivo implementado cuente con un
lazo cerrado de control para asegurarse que la senal generada sea la que se desea.
Como se ha analizado, el sistema embebido que de ahora en adelante sera llamado controlador debe
modificar las amplitudes de 3 senales mediante PWM, por lo que el controlador debe generar 3 senales de
PWM y calcular sus ndices de modulacion para la senal a generar deseada.
Hay que resaltar que la generacion de senales PWM utiliza menos recursos que la generacion de senales
SPWM, pues en este ultimo es necesario hacer operaciones de punto flotante.
Ademas, en el caso de la generacion de senales con PWM para acoplarlas a la red electrica, es necesario
tener elementos de hardware y software que permitan mantener la frecuencia generada igual a la frecuencia de
la red electrica. Un cambio pequeno en el valor de estas frecuencias, mientras la otra se mantiene constante,
provocara un cambio en la fase, lo que modifica el flujo de potencia. Esto se evita con la estrategia de control
utilizada en el convertidor matricial.
En el calculo de los ndices de modulacion, las variables de entrada al controlador son los parametros de
la senal a generar, magnitud y fase; es conveniente analizar las senales en su representacion vectorial en un
sistema de ejes real e imaginario. Como en el ejemplo siguiente.
Sea un convertidor matricial en el que se desea generar el voltaje V a a partir de la suma ponderada de
los voltajes F a, F b y F c. La representacion vectorial se muestra en la Fig. 2.8. Los voltajes de alimentacion
del convertidor estan dispuestos como en un sistema trifasico de CA, y la lnea punteada representa el fasor
de voltaje que se desea generar escalando las tres senales de entrada.

Figura 2.8: Voltajes de entrada al convertidor y senal de referencia.

Las variables de entrada al controlador son la magnitud y fase de la senal a generar, y las senales de

16
salida del controlador son los ndices de modulacion o ciclos de trabajo que tendra cada PWM, Fig. 2.9. En
la representacion vectorial, se espera calcular tres valores entre 0 y 1, uno para cada voltaje de alimentacion
o fase, estos valores escalaran los voltajes de entrada de tal forma que al sumarse vectorialmente, sumen el
voltaje que se desea generar, en este caso V a.
De aqu en adelante, el termino magnitud del vectorsera utilizado al hablar del ciclo de trabajo de cada
uno de los interruptores, ya que son directamente proporcionales.

Figura 2.9: Diagrama a bloques del esquema de control.

En un analisis posterior, se aclarara por que en teora hasta ahora, es posible que la suma de los ciclos de
trabajo sea diferente de 100 %, mientras que en la implementacion real, en donde no existen transformadores
y el acoplamiento es con interruptores simples, la suma de los ciclos de trabajo siempre debe ser del 100 %.
En la solucion de este ejemplo se tomaran en cuenta ambos casos.
Regresando al ejemplo de la Fig. 2.8, se desea calcular los ndices de modulacion para cada una de las
fases de entrada, para aplicarlos a los PWMs:

V a = IM a F a + IM b F b + IM c F c (2.5)

Para generar el vector punteado con una suma escalada de los tres vectores F A, F B y F C, la magnitud
del vector F B puede ser cero, lo que simplifica el analisis que se requiere para obtener las magnitudes de F A
y F C, Figs. 2.10-2.11.

F bmagnitud = 0 (2.6)
V a = IM a F a + IM c F c (2.7)

Ademas, como se esta utilizando a F a como referencia para medir las fases de todas las senales, se considera
como real y:

F aimag = 0 (2.8)

Defnanse los vectores primos de las fases para evitar escribir todos los vectores multiplicados por sus
respectivos ndices de modulacion:

F a0 = IM a F a (2.9)
F b0 = IM b F b (2.10)
F c0 = IM c F c (2.11)

La unica fase que aporta componente en el eje imaginario es F c, Fig. 2.11, por lo tanto:

F c0imag = V aimag (2.12)

17
Figura 2.10: Obtencion de las magnitudes.

Figura 2.11: Las componentes imaginarias de F c0 y V a son iguales.

La fase de F c es 120o adelantada de F a. Por lo tanto, es posible obtener su componente en el eje imaginario
mediante:

F c0imag = F c0magnitud sin(60o ) = F c0magnitud 0.8660 (2.13)


F c0magnitud = F c0imag /0.8660 (2.14)

Asimismo, es posible evaluar la componente real de F c0 , Figs. 2.12-2.13.

F c0real = F c0magnitud cos(60o ) = F c0magnitud 0.5 (2.15)

Ahora solo hay que calcular la magnitud de F a0 usando las componentes encontradas:

F a0real = V areal + F c0real (2.16)

18
Figura 2.12: Descomposicion vectorial de F c0 .

Figura 2.13: Las componentes reales de F c0 y F a0 se restan.

Por ultimo, se debe recordar que el resultado del analisis es calcular los vectores F a0 , F b0 y F c0 , cuyas
magnitudes son los ndices de modulacion buscados. Si se suma F a0 y F c0 resulta V a.
Para la implementacion real existe la restriccion de que la suma de los ndices de modulacion debe ser
100 %. Considere que si a los resultados anteriores se le suma un numero constante a todos los ndices de
modulacion, el resultado es que todas las fases tendran componentes que se cancelan mediante la suma
vectorial para obtener cero. De esta forma es posible observar que para que todos los ndices de modulacion
sumen 1 o 100 %, basta con seguir el procedimiento del siguiente ejemplo.
Supongase que los resultados obtenidos son los siguientes:

F a0magnitud = 0.4 (2.17)


F b0magnitud = 0 (2.18)
F c0magnitud = 0.4 (2.19)

Con esto se obtiene la siguiente representacion vectorial, Fig. 2.14, de donde se observa que:

V a = 0.4 F a + 0 F b + 0.4 F c (2.20)

La suma de los ndices de modulacion es 0.8. Se quiere que sea 1, sin alterar el resultado de V a, por lo

19
Figura 2.14: Va cuando IM a = 0.4, IM b = 0 e IM c = 0.4.

tanto, se suman los ndices de modulacion obtenidos, y se restan de 1 para obtener la modulacion faltante:

M od F al = 1 (F amagnitud + F bmagnitud + F cmagnitud ) (2.21)


M od F al = 1 (0.4 + 0.0 + 0.4) = 0.2 (2.22)

La modulacion faltante se divide entre las tres fases para repartirla equitativamente y no alterar la suma:

F a0magnitud = F a0magnitud + M odF al /3 = 0.4 + 0.066 (2.23)


F b0magnitud = F b0magnitud + M odF al /3 = 0.0 + 0.066 (2.24)
F c0magnitud = F c0magnitud + M odF al /3 = 0.4 + 0.066 (2.25)

Si se realiza la suma vectorial es posible notar que no se altera el resultado V a pues al sumar el mismo
valor a los ndices de modulacion, es como sumar tres vectores en fase con los voltajes de entrada, de la
misma magnitud, el resultado es el vector cero.
Como se observa, se parte de que se conoce la fase de V a, la cual se localiza entre F a y F c, lo que da
como resultado que se tengan tres casos: uno en el que el voltaje deseado esta entre F a y F b; otro en el que
la fase esta entre F b y F c; por ultimo, el caso en el que esta entre F c y F a. El resultado puede obtenerse
con un analisis similar al anterior.

2.4. Elementos de hardware


Como se describe en la Seccion 2.3, el esquema mostrado en la Fig. 2.15 es capaz de generar una senal
senoidal de voltaje de cualquier fase y amplitud variable, a partir de la suma ponderada de tres voltajes de
entrada. Se ha analizado la suma de dichas senales de entrada y su ponderacion por medio del cambio de
amplitud con PWM; tal es el principio del convertidor matricial [75].
Se analiza ahora el caso en el que no existen los transformadores ni los interruptores complementarios,
Fig. 2.16. Es posible ver que el efecto sobre la carga es el mismo, solo que ahora hay que asegurarse que dos

20
Figura 2.15: Dos esquemas del convertidor monofasico matricial.

interruptores no se encuentren cerrados al mismo tiempo, pues ocasionara una corriente sin control entre dos
fases de entrada, y ademas los tres interruptores no pueden estar abiertos al mismo tiempo porque la carga
quedara abierta, y la energa almacenada en su inductancia ocasionara un sobre voltaje en los interruptores.
Partiendo del esquema mostrado en la Fig. 2.15, es posible desarrollar la implementacion trifasica, Fig.
2.16. Debe notarse que en esta topologa, los interruptores estan conectados directamente sin un transformador
que los asle.

Figura 2.16: Convertidor matricial trifasico.

En la implementacion, si todos los interruptores que alimentan a una de las fuentes de corriente quedan
abiertos en un instante determinado, se genera un elevado voltaje que podra danar los interruptores. Asimis-
mo, si dos o mas interruptores de alimentacion a una fuente de corriente quedan cerrados, generan una
elevada corriente que tambien puede danar los interruptores. Los PWM ahora deben de funcionar de manera
secuencial.

21
2.4.1. El interruptor bidireccional
Los transistores bipolares de compuerta aislada, IGBT, son dispositivos de alta potencia y gran velocidad
de conmutacion. Por otra parte, en la modulacion de ancho de pulso comunmente se usan frecuencias de
conmutacion del orden de decenas de kilohertz; es la razon por la que los IGBTs estan siendo ampliamente
utilizados para el diseno de convertidores de potencia.
Para el diseno de un convertidor matricial se requieren dispositivos que puedan controlar el flujo de co-
rriente en ambos sentidos de conduccion y los IGBTs al igual que todos los transistores bipolares, solo pueden
controlar la corriente en un sentido de conduccion. Para la implementacion de interruptores bidireccionales
se utilizan arreglos de IGBTs y diodos.
Existen diferentes topologas de interruptores bidireccionales entre las que destacan:

IGBT con puente rectificador

Esta configuracion mostrada en la Fig. 2.17, en la que mediante un solo IGBT, T1, se controla el flujo
de corriente en ambos sentidos, de la terminal a a la b y de la terminal b a la a.
Esto es, cuando la terminal a tiene un voltaje positivo con respecto a b entonces D2 y D3 quedan
polarizados inversamente; por lo tanto, quedan inhabilitados y funcionan como un circuito abierto, los diodos
D1 y D4 quedan polarizados directamente funcionando como un circuito cerrado, y el IGBT T1 es el encargado
abrir o cerrar el interruptor.
Por otra parte, cuando la terminal b tiene un voltaje positivo con respecto a a, entonces los diodos D1
y D4 quedan polarizados inversamente; por lo tanto, son inhabilitados y funcionan como un circuito abierto,
mientras que D2 y D3 quedan polarizados directamente y funcionan como un circuito cerrado. De nuevo el
transistor T1, conduciendo la corriente en el mismo sentido, es el encargado de abrir o cerrar el interruptor.

Figura 2.17: IGBT con puente rectificador.

La ventaja de esta configuracion es que solamente utiliza un IGBT y, por lo tanto, un solo circuito
de disparo. Con esto se reduce el costo, pero no se puede controlar simultaneamente el flujo en ambos
sentidos, ademas de que requiere elementos pasivos para su adecuado funcionamiento, complicando con esto
el funcionamiento en conjunto de los 9 interruptores.

Interruptores en serie

En la configuracion mostrada en la Fig. 2.18 se muestran dos conjuntos de transistor-diodo conectados


en antiparalelo, y los conjuntos se encuentran en serie, de tal forma que cada transistor conduce en un sentido

22
de corriente. Cada IGBT conduce junto con el diodo en antiparalelo del IGBT complementario.

Figura 2.18: Interruptores en serie.

Esta configuracion puede llevarse a cabo mediante la conexion de emisor comun o colector comun.
Cuando la terminal a es positiva con respecto a la terminal b, es el transistor T2 el encargado de
conducir o interrumpir el flujo de corriente, el transistor T1 queda polarizado inversamente, por lo que
esta deshabilitado. El diodo en antiparalelo con el transistor T1 esta polarizado directamente, por lo que
conduce la corriente siempre y cuando T2 este cerrado.
Este esquema necesita de dos IGBTs, dos diodos de potencia, dos circuitos de disparo y dos senales
de control por parte del controlador, haciendolo mas costoso. La principal ventaja es que puede controlar
simultaneamente el flujo en ambos sentidos y que comercialmente se fabrican una gran cantidad de IGBTs
que cuentan con el diodo en antiparalelo. Dado que esta condicion es indispensable para nuestro proposito,
este arreglo es el seccionado, de aqu en adelante llamaremos interruptor CA al conjunto de los dos IGBT en
serie.

2.4.2. Circuitos de disparo


El controlador gestiona la apertura o cierre del interruptor mediante una senal logica; un cero logico
manda a abrir el interruptor mientras que un uno logico mandara cerrar el interruptor.
El IGBT conduce en estado de saturacion mientras tenga un voltaje de 15V en la compuerta con respecto
al emisor y esta en estado de no conduccion mientras tenga 0V o menor en la compuerta con respecto al
emisor. Se recomienda apagar el IGBT con un voltaje negativo, 15V en la compuerta con respecto al emisor
son voltajes tpicos. El apagar el IGBT con un voltaje negativo en lugar de usar 0 volts aumenta la velocidad
de apagado, lo cual es deseable.

2.5. Estrategia de conmutacion


Al implementar un convertidor matricial debe asegurarse que dos interruptores no se encuentren cerrados
al mismo tiempo, pues ocasionara una corriente sin control entre dos fases de entrada, y ademas los tres
interruptores no pueden estar abiertos al mismo tiempo porque la carga quedara abierta, y la energa alma-
cenada en su inductancia ocasionara un sobre voltaje en los interruptores. Se debe buscar una estrategia de
conmutacion para controlar el apagado y encendido de los 4 IGBTs, que conforman lo dos interruptores CA.
La idea basica de la estrategia de control es evitar corrientes circulantes durante la conmutacion al
deshabilitar la conduccion inversa de los IGBT involucrados, a esto se le llama conmutacion suave. Esta
estrategia mantiene ambos IGBTs encendidos en el interruptor, mientras este conduciendo. Si la corriente

23
cambia de signo, el diodo conductor por naturaleza se apaga y la corriente de carga fluye por la rama de
conduccion opuesta.
Cuando la corriente tiene que ser conmutada de un interruptor a otro, debe hacerse mediante un pro-
cedimiento de 4 pasos para evitar las corrientes circulantes. Para hacer esto apropiadamente se requiere
informacion acerca de la direccion de la corriente actual en la fase de salida involucrada. La secuencia de 4
pasos se ilustra en la figura 2.19, donde el interruptor 1 esta proximo a apagarse y el interruptor 2 esta proxi-
mo encenderse. Los IGBTs conductores estan denotados por 1c y 2c, mientras que los no conductores
por 1nc y 2nc.

Figura 2.19: Secuencia de 4 pasos, conmutacion suave.

En el tiempo t1 , el cambio de fase es ordenado, de la fase del interruptor 1 a la fase del interruptor 2.
El IGBT no conductor 1nc en el interruptor 1 es apagado inmediatamente para deshabilitar la conduccion
inversa del interruptor. Despues de un tiempo de retraso td (ver Fig. 2.19), en t2 , el IGBT 2c, que tiene que
llevar la corriente de carga del interruptor 2 es encendido. Ambos interruptores de CA estan conduciendo
ahora solamente en conduccion directa. Dependiendo de los voltajes instantaneos de las fases a conmutar,
el diodo en conduccion en el interruptor 1 que debe apagarse puede ser polarizado inversamente y causar
una conmutacion natural. Si esta condicion no ocurre, el IGBT conductor 1c del interruptor 1 es apagado en
t3 para forzar una conmutacion fuerte. Finalmente, el interruptor no conductor es encendido un tiempo td
despues para activar la conduccion inversa del interruptor en t4 .
La Fig. 2.20 despliega el diagrama de estados de la estrategia de conmutacion de 4 pasos. La secuencia
de conmutacion es dependiente del signo de la corriente, el cual debe ser monitoreado por la logica de control
de conmutacion. Esta estrategia de conmutacion introduce un retraso de td entre cada paso intermedio de
la conmutacion. La demanda de hardware para la implementacion radica en la deteccion del signo de cada
corriente de salida.

24
Figura 2.20: Diagrama de estados de la estrategia de conmutacion de 4 pasos.

25
26
Captulo 3

Teora del convertidor matricial

La matriz H en (1.3) contiene funciones trigonometricas, resultando una formulacion laboriosa. Utilizando
la transformacion a-b-c a d-q-0, la matriz H se transforma en la matriz P invariante en el tiempo. Esto ofrece
ventajas significativas desde el punto de vista de diseno. El diagrama de bloques de un convertidor matricial
trifasico-trifasico tpico se exhibe en la Fig. 3.1. Los voltajes y corrientes del lado-1 y lado-2 se asumen
cantidades trifasicas balanceadas. Se asume tambien que las fuentes de voltaje estan en el lado-2 y las de
corriente en el lado-1. A traves de la matriz H se realizan transformaciones de voltajes y corrientes del lado-1
y lado-2, Fig. 3.2. El factor clave en el exito de la transformacion es la eleccion apropiada de la matriz H.

lado-1 lado-2

i 1a
i 2a
v 1a v 2a
3-Fases i i 2b 3-Fases
1b
CA
v 1b
Convertidor v 2b CA
a Matricial i a
1 i 1c 2c 2
v 1c v 2c

Figura 3.1: Convertidor matricial trifasico-trifasico.

_i 1 _i 2
[H]T
Espacio Espacio
vectorial vectorial
de cantidades v
_ v
_ de cantidades
1 2
lado-1 [H] lado-2

Figura 3.2: Transformaciones de corriente y voltaje.

27
3.1. Transformacion matricial
Una matriz H 3x3 se define en [76] y resulta una matriz formada por el producto vectorial exterior de dos
vectores de dimension tres, x1 y x2 :

H = x1 xT2 (3.1)

El ij-esimo elemento de H es

hij = x1i x2j (3.2)

donde x1i y x2j son el i-esimo elemento de x1 y el j-esimo elemento de x2 , respectivamente. Expandiendo
(3.2) para el caso de i = a, b, c y j = a, b, c, resulta


x1a h i x1a x2a x1a x2b x1a x2c

H = x1b x2a x2b x2c = x1b x2a x1b x2b x1b x2c (3.3)
x1c x1c x2a x1c x2b x1c x2c

En la Fig. 3.1, el vector de voltaje y corriente en el lado-1 es


h i
T
v1abc = v1a v1b v1c
h i
iT1abc = i1a i1b i1c (3.4)

Similarmente, para el lado-2


h i
T
v2abc = v2a v2b v2c
h i
iT2abc = i2a i2b i2c (3.5)

La transformacion de voltaje descrita en la Fig. 3.2 se describe mediante

v1abc = Hv2abc (3.6)

Premultiplicando ambos lados por el vector de corriente,

iT1abc v1abc = iT1abc Hv2abc (3.7)

Del principio de invariancia de potencia para un convertidor ideal,

iT1abc v1abc = iT2abc v2abc (3.8)

Igualando el lado derecho de (3.7) y (3.8), la corriente de transformacion, Fig. 3.2, es

i2abc = H T i1abc (3.9)

Sustituyendo (3.1) en (3.6) y (3.9), y reagrupando para formar un producto interior de vectores,

v1abc = x1 xT2 v2abc = x1 xT2 v2abc

i2abc = x2 xT1 i1abc = x2 xT1 i1abc (3.10)

28
De (3.10) se nota que v1a , v1b , v1c puede hacerse que tomen las mismas formas funcionales que x1a ,
x1b , x1c si y solo si el producto interno (xT2 v2abc ) es una constante escalar. De manera similar, i2abc puede
depender linealmente de x2 si y solo si (xT1 i1abc ) es una constante escalar. La unica manera para que tales
productos interiores resulten en constantes escalares, es que x1 y x2 se elijan de los vectores base de los
espacios vectoriales de corrientes y voltajes del lado-1 y lado-2, respectivamente. Esta es la esencia del
metodo indirecto de construccion de la matriz de transformacion H, utilizando el concepto del rectificador
ficticio e inversor contenidos en el convertidor matricial CA-CA [3, 58, 59, 70].

3.2. Espacios vector de voltajes y corrientes del lado-1 y lado-2


Los espacios vector del voltaje y corriente del lado-1 y lado-2 pueden expanderse por los siguientes vectores
base:

r cos(1 t) r sin(1 t) r 1
2 2
2 2
1
b1 (i ) = cos i t 3 , b2 (i ) = sin i t 3 , b3 (i ) = 1 (3.11)
3 4
3 4
3
cos i t 3 sin i t 3 1
cuando i = 1 denota lado-1 e i = 2 indica lado-2. De hecho, cualquier vector de voltaje o corriente perteneciente
a los espacios vectoriales del lado-1 y lado-2, puede representarse por la combinacion lineal de los vectores
base anteriores:

viabc = vid b1 (i ) + viq b2 (i ) + vi0 b3(i ) (3.12)


iiabc = iid b1 (i ) + iiq b2 (i ) + ii0 b3 (i ) (3.13)

donde vid , viq , vi0 , iid , iiq , e ii0 son las coordenadas que usan los vectores bases como ejes.
Bajo condiciones trifasicas de estado estacionario, balanceado, en una sola frecuencia angular i , vid , viq ,
iid , e iiq , son escalares invariantes en el tiempo. El resto de las coordenadas vi0 e ii0 pueden ser variantes o
invariantes en el tiempo.
De (3.11) puede deducirse que

= 0 paraj 6= k y j = 1, 2, 3; k = 1, 2, 3
[bj (i )]T [bk (i )] (3.14)
6= 0 para j = k

As, los vectores base b1 (i ), b2 (i ), y b3 (i ) son ortonormales. Los coeficientes usados en (3.11) han sido
elegidos intencionalmente para que

[bj (i )]T [bk (i )] = 1 para j = k (3.15)

3.3. Sntesis de la matriz de transformacion


El criterio para elegir x1 y x2 es tal que deben pertenecer a los espacios vectoriales de las corrientes y
voltajes del lado-1 y lado-2 del convertidor matricial, respectivamente. Por lo tanto, cualquiera de los vectores
base b1 (i ), b2(i ), y b3 (i ) es un candidato para la eleccion de x1 y x2 con tal que se use la frecuencia angular
apropiada, i . En general, eligiendo x1 = bj (1 ), j = 1, 2, 3, y x2 = bk (2 ), k = 1, 2, 3, una matriz factible
Hjk puede ser

Hjk = pij bj (1 )[bk (2 )]T (3.16)

29
donde pjk es cualquier constante de ponderacion. Nueve matrices diferentes pueden construirse de esta ma-
nera, teniendo unas un significado ingenieril y otras no. Cualquier combinacion de tales matrices tambien es
posible. La expresion general para la combinacion es
3 X
X 3
H = pjk bi (1 )[bk (2 )]T (3.17)
j=1 k=1

Dada la naturaleza trigonometrica de los elementos de b1 (i ) y b2 (i ) se prefiere la transformacion al


marco d-q-0.

3.3.1. Ejemplos de matrices de transformacion


Para los siguientes ejemplos, se asume que el vector de voltaje del lado-2 es

v2abc = V2d b1 (2 ) (3.18)

Ejemplo 1

Sea x1 = b1 (1 ) y x2 = b1 (2 ). Entonces de (3.16)

H11 = p11 b1 (1 )[b1 (2 )]T (3.19)

Como resultado de la transformacion, el voltaje del lado-1 queda

v1abc = H11 v2abc = p11 V2d b1 (1 )[bT1 (2 )b1 (2 )]T (3.20)

De acuerdo a (3.15) el producto interior es igual a 1. Por lo tanto,

v1abc = p11 V2d b1 (1 ) (3.21)


q
Puede verse que los voltajes trifasicos balanceados del lado-2, con amplitud 23 V2d y frecuencia angular
q
2 , se han transformado en voltajes trifasicos balanceados, con amplitud 23 p11 V2d y frecuencia angular 1
en el lado-1. Los voltajes del lado-1 tienen forma cosenoidal, determinada por b1 (1 ) en (3.11).
El ij-esimo elemento de H11 esta dado por (3.2)

2 2 2
hij = p11 cos 1 t (i 1) cos 2 t (j 1)
3 3 3

1 2 2
= p11 cos (1 + 2 )t (i + j 1) cos (1 2 )t (i j) (3.22)
3 3 3
siendo funciones cosenoidales en las frecuencias (1 + 2 ) y (1 2 ).

Ejemplo 2

Sea x1 = b2 (1 ) y x2 = b1 (2 ). Entonces de (3.16)

H21 = p21 b2 (1 )[b1 (2 )]T (3.23)

El vector de voltaje del lado-1 es

v1abc = p21 V2d b2 (2 ) (3.24)

30
Como se ve, el mismo vector de voltaje del lado-2 del ejemplo 1, se ha transformado a un vector de voltaje
del lado-1 a frecuencia angular 1 , pero el voltaje del lado-1 ha adquirido la forma senoidal de b2 (1 ) en (3.11).
Esto significa que se ha introducido un desplazamiento de fase de /2 a los voltajes del lado-1, comparado al
caso del ejemplo 1. Los elementos de H21 contendran funciones senoidales a frecuencias angulares (1 + 2 )
y (1 2 ).

Ejemplo 3

Cuando H11 del ejemplo 1 y H21 del ejemplo 2 se combinan, la matriz H resultante es:

H = p11 b1 (1 )[b1 (2 )]T + p21 b2 (1 )[b1 (2 )]T (3.25)

Con lo que el vector de voltaje del lado-1 es

v1abc = V2d [p11 b1 (1 ) + p21 b2 (1 )] (3.26)

Ahora los voltajes del lado-1 son sumas ponderadas de funciones coseno y seno, que pueden representarse
como funciones seno o coseno con algun desplazamiento de fase. Este, puede ajustarse eligiendo apropiada-
mente los valores de p11 y p21 . Por ejemplo, si p11 y p21 se eligen de modo que p11 = cos() y p21 = sin(),
entonces

r cos(1 t + )
2
v1abc = cos 1 t + 23
(3.27)
3
cos 1 t + 43

En la estructura de H, existen funciones coseno y seno a frecuencias angulares (1 + 2 ) y (1 2 ).

Ejemplo 4

Sea x1 = f (t)b3 y x2 = b1 (2 ), donde f (t) es una funcion escalar del tiempo y b3 esta dado en (3.11).
Entonces de (3.16)

H31 = p31 f (t)b3 [b1 (2 )]T (3.28)

y el vector de voltaje del lado-1 resulta

v1abc = p31 f (t)V2d b3 (3.29)

As que el voltaje del lado-2 que constituyen un sistema trifasico balanceado, se transforma en un voltaje
de secuencia cero en el lado-1.

Ejemplo 5

Como en el ejemplo anterior, sea x1 = b3 y x2 = b3 . Entonces de (3.16)



1 1 1
1
H33 = p33 b3 [b3 ]T = p33 1 1 1 (3.30)
3
1 1 1

31
y el vector de voltaje del lado-1 resulta

v1abc = p33 V2d b3 [bT3 b1(2 )] = 0 (3.31)

Por supuesto, tal transformacion no tiene sentido ingenieril.


Ahora, combinemos H11 y H33 . La matriz H resultante contiene elementos como:

1 2 1 2 1
hij = p11 cos (1 + 2 )t (i + j 2) + p11 cos (1 2 )t (i j) + p33 (3.32)
3 3 3 3 3

En cuanto al voltaje del lado-1 se refiere, no hay cambio respecto al ejemplo 1 y v1abc sera como en (3.21).
Aunque la inclusion de los escalares 31 p33 en los elementos de H, hace que el rango de la matriz sea 3 (en
lugar de 2 en la matiz H del ejemplo 1), y por lo tanto sera invertible.
La invertibilidad de H ayuda en el analisis, en el sentido de que la transformacion puede expresarse mediante
v1abc = Hv2abc , la transformacion inversa, esto es, la transformacion de v1abc a v2abc puede establecerse como
v2abc = H 1 v1abc . En la operacion del convertidor matricial, sin embargo, la inclusion de las constantes p33
en H no tiene efecto.
Es interesante notar que la matriz H obtenida como la combinacion de H11 y H33 , es identica a la matriz
H empleada por primera vez , en 1980, por M. Venturini y A. Alesina [46]. Los autores utilizaron H33 para
mantener los valores de entrada de H entre 0-1, de modo que H es la representacion promedio de la matriz
existencia que gobierna la transformacion.

3.4. Transformacion de Park


Los vectores base dados en (3.11) son, de hecho, las columnas de la matriz de transformacion de Park [77],
que mapea un conjunto de cantidades trifasicas en el marco d-q-0 hacia el marco a-b-c, ecs. (3.12) y (3.13).
La matriz de transformacion de Park es
h i
[C(i )]3x3 = b1 (i ) b2 (i ) b3 (i ) (3.33)

Definiendo el vector de voltajes y corrientes d-q-0 en el lado-i (i = 1, 2) como


h i
T
vidq0 = vid viq vi0
h i
iTidq0 = iid iiq ii0 (3.34)

(3.12) y (3.13) pueden re-escribirse como

viabc = [C(i )]3x3 vidq0


iiabc = [C(i )]3x3 iidq0 (3.35)

De las propiedades de ortogonalidad de los vectores base puede establecerse que

[C(i )]T [C(i )] = I (3.36)

donde I es la matriz identidad de 3x3.

32
3.5. La matriz de transformacion en el marco d-q-0
Para pasar del marco a-b-c al d-q-0, en el cual se estudia el convertidor matricial, se emplean las trans-
formaciones (3.34). Sustituyendo (3.17) en (3.6)
3 X
X 3
v1abc = pjk bj (1 )[bk (2 )]T v2abc (3.37)
j=1 k=1

Reemplazando v1abc y v2abc en (3.37) por sus equivalentes de (3.35),


3 X
X 3
[C(1 )]v1dq0 = pjk bj (1 )[bk (2 )]T [C(2 )]v2dq0 (3.38)
j=1 k=1

Pre-multiplicando ambos lados de (3.38) por C T (1 ),



b T ( )
3 3 1 h i
X X
1

v1dq0 = pjk bT2 (1 ) [bk (2 )]T bT1 (2 ) bT2 (2 ) bT3 (2 ) v2dq0 (3.39)
j=1 k=1 bT3 (1 )
= [P ]3X3 v2dq0

donde P contiene las constantes de ponderacion pjk



p11 p12 p13

[p]3x3 = p21 p22 p23 (3.40)
p31 p32 p33

La simplificacion en la evaluacion de (3.39) es el resultado de la ortonormalidad de los vectores base. Como


un ejemplo, considere el caso de j = 3 y k = 2. La matriz correspondiente se encuentra usando (3.14) y (3.15)

0 h i 0 0 0

p32 0 0 1 0 = 0 0 0 (3.41)
1 0 p32 0

La ec. (3.39) es el voltaje de transformacion al marco d-q-0

v1dq0 = P v2dq0 (3.42)

Puede derivarse una relacion similar para la corriente de transformacion en el marco d-q-0.
Premultiplicando (3.42) por iT1dq0

iT1dq0 v1dq0 = iT1dq0 P v2dq0 (3.43)

Del principio de invariancia de potencia, para un convertidor matricial ideal, puede escribirse

iT1dq0 v1dq0 = iT1dq0 V2dq0 (3.44)

Igualando los lados derechos de (3.43) y (3.44)

iT2dq0 = iT1dq0 P (3.45)

33
Transponiendo

i2dq0 = P T i1dq0 (3.46)

que es la transformacion de corriente al marco d-q-0.


Como resultado del captulo, la relacion entre H y P puede escribirse como sigue:

[P ]3x3 = [C(1 )]T [H][C(2 )]


[H] = [C(1 )][P ][C(2 )]T (3.47)

Bajo condiciones de operacion de estado estacionario, vidq0 e iidq0 (i = 1, 2) son vectores invariantes en
el tiempo Vidq0 e Iidq0 en el espacio vectorial d-q-0 del lado-i. Por lo tanto, todos los controles desarrollados
para el convertidor matricial pueden estudiarse en terminos del mapeo de los vectores constantes de uno al
otro lado, mediante la matriz constante P.
Despues de completar el analisis y diseno en el marco d-q-0, y determinadas las entradas de P, (3.47)
puede usarse para evaluar la matriz H, necesaria para la implementacion del convertidor matricial.

34
Captulo 4

Vectores de conmutacion

En este captulo se presenta la estructura del convertidor matricial desarrollado. Primeramente se enfatiza
en los estados posibles de operacion.

4.1. Tensiones de entrada en el espacio de Park.


El vector de Park se aplica a sistemas de tres magnitudes con dos grados de libertad. En la figura 4.1 se
representa la estructura basica de la matriz de conversion que se analiza en el presente captulo.

Figura 4.1: Estructura basica de la matriz de conversion.

35
Como voltajes de entrada se considera un sistema trifasico balanceado,

va (t) = Vin sin(wt)


2
vb (t) = Vin sin(wt ) (4.1)
3
4
vc (t) = Vin sin(wt )
3
Las tensiones lnea-lnea de entrada son, Fig. 4.2:

vab (t) = 3 Vin sin(wt + )
6

vbc (t) = 3 Vin sin(wt ) (4.2)
2
5
vca (t) = 3 Vin sin(wt + )
6

Figura 4.2: Representacion en el espacio de Park de las tensiones de fase y de lnea de entrada.

El vector de Park para las tensiones de fase de entrada es:


2 4
U in (t) va (t) + vb (t) ej 3 + vc (t) ej
= 3

3
Vin (t) ej (wt 2 )

U in (t) = (4.3)
2
3

U in (t) = Vin 6 U
in = wt
2 2
Asimismo, el vector de Park para las tensiones de lnea de entrada es:
2 4
U in (t) = vab (t) + vbc (t) ej 3 + vca (t) ej 3

3 3
Vin (t) ej (wt 3 )

U in (t) = (4.4)
2
3 3
in
U in (t) = Vin 6 U = wt
2 3

36
La Fig. 4.3 representa tales vectores,

Figura 4.3: Representacion en el espacio de Park de las tensiones de fase y de lnea de entrada.

4.2. Estados posibles de los interruptores CA [63, 65, 7883]


La matriz de conversion contiene 9 interruptores CA bi-direccionales, que pueden estar abiertos o cerrados.
Esto da lugar a 29 combinaciones posibles. Sin embargo, para un funcionamiento correcto, se deben verificar
simultaneamente las siguientes dos condiciones:

Condicion 1: cada fase de entrada puede ser conectada, alternativamente, con cada una de las fases de
salida, pero debe de cumplirse que solamente un interruptor CA debe estar cerrado en cada momento
sobre una fase de salida, pues en caso contrario dos o mas entradas estaran en corto circuito.

Condicion 2: Cada lnea de entrada puede ser conectada, a traves de los interruptores CA, con una o
varias lneas de salida, pero debe cumplirse que al menos uno de los interruptores CA de cada fase de
salida debe estar cerrado en cada instante para que por el circule la corriente de salida de la fase.

Estas dos condiciones se pueden resumir en el siguiente enunciado: UNO Y SOLO UN INTERRUP-
TOR CA POR COLUMNA DEBE DE ESTAR ACTIVO EN CADA INSTANTE.

Esto reduce las combinaciones posibles de lo interruptores CA de 512 a 27 estados, que se indican en la
Tabla 4.1.

4.2.1. Analisis de los estados en el espacio complejo de Park.


En este apartado se analiza el vector de Park de las corriente de entrada y de las tensiones de salida. Para
cada estado, las tensiones estan definidas mediante la expresion:
2 4
U out (t) = vuv (t) + vvw (t) ej 3 + vwu (t) ej 3 (4.5)
j 2 j 4
I in (t) = ia (t) + ib (t) e 3 + ic (t) e 3 (4.6)

Con el fin de analizar un conjunto representativo de estados, se estudian los designados como E1, E2 E6
y E8 ( Tabla 4.1).

37
Tabla 4.1: Estados posibles de los interruptores CA de la matriz de conversion.

Estado H11 H12 H13 H21 H22 H23 H31 H32 H33
E1 1 1 1 0 0 0 0 0 0
E2 1 1 0 0 0 1 0 0 0
E3 1 1 0 0 0 0 0 0 1
E4 1 0 1 0 1 0 0 0 0
E5 1 0 0 0 1 1 0 0 0
E6 1 0 0 0 1 0 0 0 1
E7 1 0 1 0 0 0 0 1 0
E8 1 0 0 0 0 1 0 1 0
E9 1 0 0 0 0 0 0 1 1
E10 0 1 1 1 0 0 0 0 0
E11 0 1 0 1 0 1 0 0 0
E12 0 1 0 1 0 0 0 0 1
E13 0 0 1 1 1 0 0 0 0
E14 0 0 0 1 1 1 0 0 0
E15 0 0 0 1 1 0 0 0 1
E16 0 0 1 1 1 0 0 1 0
E17 0 0 0 1 1 1 0 1 0
E18 0 0 0 1 1 0 0 1 1
E19 0 1 1 0 0 0 1 0 0
E20 0 1 0 0 0 1 1 0 0
E21 0 1 0 0 0 0 1 0 1
E22 0 0 1 0 1 0 1 0 0
E23 0 0 0 0 1 1 1 0 0
E24 0 0 0 0 1 0 1 0 1
E25 0 0 1 0 0 0 1 1 0
E26 0 0 0 0 0 1 1 1 0
E27 0 0 0 0 0 0 1 1 1

Estado 1 (E1). En la Fig. 4.4, se representa la configuracion de los interruptores CA en tal estado.
Las tensiones de lnea de salida resultan

vuv (t) = 0 vvw (t) = 0 vwu (t) = 0 (4.7)

As que el vector de Park de las tensiones de salida es


2 4
U out (t) = vuv (t) + vvw (t) ej 3 + vwu (t) ej 3

U out (t) = 0 + j0 (4.8)


|U |out (t) = 0 6 U out = 0

38
Figura 4.4: Topologa de la matriz de conversion en el Estado 1.

Este es un vector cero. Ahora, se analiza la relacion entre las corrientes de entrada y salida,

ia (t) = iu (t) + iv (t) + iw (t) = 0


ib (t) = 0 ic (t) = 0 (4.9)

De modo que el vector de Park de las corrientes de entrada resulta


2 4
I in (t) = ia (t) + ib (t) ej 3 + ic (t) ej 3

I in (t) = 0 + j0 (4.10)
|I|in (t) = 0 6 I in = 0

que tambien es un vector cero.

Estado 2 (E2). La Fig. 4.5 esquematiza la configuracion de los interruptores CA para tal estado.
Las tensiones de lnea de salida resultan

vuv (t) = 0
vvw (t) = vab (t) (4.11)
vwu (t) = vab (t)

Cuyo vector de Park es

39
Figura 4.5: Topologa de la matriz de conversion en el Estado 2.

2 4
U out (t) = vuv (t) + vvw (t) ej 3 + vwu (t) ej 3

U out (t) = 0 + j 3 vab (t) (4.12)

|U |out (t) = 3 vab (t) 6 U out =
2

Este es un vector de posicion fija con respecto a los ejes (a, b), con modulo variable. Para la relacion
entre las corrientes de entrada y salida se tiene

ia (t) = iu (t) + iv (t) = iw (t)


ib (t) = iw (t) (4.13)
ic (t) = 0

as que el vector de Park de las corrientes de entrada resulta


2 4
I in (t) = ia (t) + ib (t) ej 3 + ic (t) ej 3

3 3
I in (t) = iw (t) + j iw (t) (4.14)
2 2
5
|I|in (t) = 3 iw (t) 6 I in =
6

que tambien es un vector de posicion fija con respecto a los ejes (a, b), con modulo variable.

40
Figura 4.6: Topologa de la matriz de conversion en el Estado 6.

Estado 6 (E6). En la Fig. 4.6 se ilustra la configuracion de los interruptores CA para el estado 6.
Las tensiones de lnea de salida son

vuv (t) = vab (t)


vvw (t) = vbc (t) (4.15)
vwu (t) = vca (t)

Cuyo vector de Park resulta


2 4
U out (t) = vuv (t) + vvw (t) ej 3 + vwu (t) ej 3

3 3
U out (t) = vab (t) + j [vbc (t) vca (t)]
2 2
3 3 3 3
U out (t) = Vin cos wt +j Vin sin wt
2 3 2 3
3 3
Vin ej (wt 3 )

U out (t) = (4.16)
2
3 3
|U |out (t) = Vin 6 U out = wt
2 3
|U |out (t) = |U in (t)| 6 U out = 6 U in (t)

Este es un vector de rotacion con respecto a los ejes (a, b); rota en el mismo sentido que el vector

41
Uin (t), con modulo constante. Para la relacion entre las corrientes de entrada y salida se tiene

ia (t) = iu (t)
ib (t) = iv (t) (4.17)
ic (t) = iw (t)

as que el vector de Park de las corrientes de entrada resulta


2 4
I in (t) = ia (t) + ib (t) ej 3 + ic (t) ej 3

3 3
I in (t) = iu (t) + j (iv (t) iw (t)) (4.18)
2 2
Sea el circuito de la Fig. 4.7, de donde se puede escribir

va (t) = iu (t) ZU + UN N
vb (t) = iv (t) ZV + UN N (4.19)
vc (t) = iw (t) ZW + UN N

Figura 4.7: Analisis de las corrientes en el Estado 6 (E6).

Si el sistema de tensiones de entrada y la carga son balanceados, resulta


V in
iu (t) = sin(wt)
Z
V in 2
iv (t) = sin wt (4.20)
Z 3

V in 4
iw (t) = sin wt
Z 3

Utilizando (4.17), se tiene


3Vin 3Vin
I in (t) = cos wt +j sin wt
2Z 2 2Z 2
3Vin j (wt )
I in (t) = e 2 (4.21)
2Z
3Vin
|I|in (t) = 6 I in = wt
2Z 2

42
que tambien es un vector de rotacion con respecto a los ejes (a, b), con modulo constante. El vector de
Park de las corrientes de salida se calcula mediante,
2 4
I out (t) = iu (t) + iv (t) ej 3 + iw (t) ej 3

3 3
I out (t) = iu (t) + j [iv (t) iw (t)]
2 2
3Vin 3Vin
I out (t) = cos wt +j sin wt (4.22)
2Z 2 2Z 2
3Vin j (wt )
I out (t) = e 2
2Z
Descomponiendo en modulo y argumento,
3V in
|I|out (t) = 6 I out = wt
2Z 2
I|out (t) = |I in (t)| 6 I out = I in (t)
6 (4.23)

Este es un vector de rotacion con respecto a los ejes (a, b), con modulo constante.

Estado 8 (E8). En la Fig. 4.8 se ilustra la configuracion de los interruptores CA para el estado 8.

Figura 4.8: Topologa de la matriz de conversion en el Estado 8.

La relacion entre las tensiones de salida y entrada es

vuv (t) = vca (t)


vvw (t) = vbc (t) (4.24)
vwu (t) = vab (t)

43
El vector de Park de las tensiones de salida es
2 4
U out (t) = vuv (t) + vvw (t) ej 3 + vwu (t) ej 3

3 3
U out (t) = vca (t) + j [vab (t) vbc (t)]
2
2

3 3 2 3 3 2
U out (t) = Vin cos wt +j Vin sin wt
2 3 2 3

3 3
Vin ej (wt 3 )
2
U out (t) = (4.25)
2

3 3 2
|U |out (t) = Vin 6 U out = wt
2 3

|U |out (t) = |U in (t)| 6 U out = 6 U in (t)
3
Este es un vector de rotacion con respecto a los ejes (a, b); rota en sentido contrario al vector Uin (t),
con modulo constante.
En cuanto a las corrientes de entrada y salida se tiene

ia (t) = iu (t)
ib (t) = iw (t) (4.26)
iv (t) = iv (t)

As, el vector de Park de las corrientes de entrada resulta


2 4
I in (t) = ia (t) + ib (t) ej 3 + ic (t) ej 3

3 3
I in (t) = iu (t) + j (iw (t) iv (t)) (4.27)
2 2
Sea el circuito de la Fig. 4.9, de donde se puede escribir

Figura 4.9: Analisis de las corrientes en el Estado 8 (E8).

va (t) = iu (t) ZU + UN N
vb (t) = iw (t) ZV + UN N (4.28)
vc (t) = iv (t) ZW + UN N

44
Si el sistema de tensiones de entrada y la carga son balanceados, resulta
V in
iu (t) = sin(wt)
Z
V in 2
iw (t) = sin wt (4.29)
Z 3

V in 4
iv (t) = sin wt
Z 3

Utilizando (4.26), se simplifica


3Vin 3Vin
I in (t) = cos wt +j sin wt
2Z 2 2Z 2
3Vin j (wt )
I in (t) = e 2 (4.30)
2Z
3Vin in
|I|in (t) = 6 I = wt
2Z 2
que tambien es un vector de rotacion con respecto a los ejes (a, b), con modulo constante. El vector de
Park de las corrientes de salida, descomponiendo en modulo y argumento, se calcula mediante,
2 4
I out (t) = iu (t) + iv (t) ej 3 + iw (t) ej 3

3 3
I out (t) = iu (t) + j [iw (t) iv (t)]
2 2
3Vin 3Vin
I out (t) = cos wt +j sin wt (4.31)
2Z 2 2Z 2
3Vin j (wt )
I out (t) = e 2
2Z
3V in
out
|I|out (t) = 6 U = wt
2Z 2
out
I|out (t) = |I in (t)| 6 I = 6 I in (t)

Este es un vector de rotacion con respecto a los ejes (a, b), en sentido contrario al vector Uin (t), con
modulo constante.

4.3. Resumen de los estados en el espacio complejo de Park [63,65,7983]


De acuerdo a lo previamente analizado, los vectores de Park se pueden clasificar en cuatro grupos dife-
rentes:

Vectores fijos. Son vectores estaticos en el plano (a, b), con argumento constante y de modulo variable.

Vectores moviles. Son vectores dinamicos en el plano (a, b), con modulo constante y de argumento
variable. Estos vectores se pueden clasificar como:

Vectores directos. El vector de Park de las tensiones de salida se desplaza en el mismo sentido que
el vector de Park de las tensiones de entrada.
Vectores inversos. El vector de Park de las tensiones de salida se desplaza en sentido contrario al
vector de Park de las tensiones de entrada.

Vectores cero. Son vectores con modulo y argumento igual a cero.

45
4.3.1. Vectores fijos
Dieciocho de estos estados son vectores estacionarios, Tabla 4.2, con amplitud variable en el plano (a,
b). Estos pueden ser usados para controlar la posicion del vector de Park de las tensiones de salida y de las
corrientes de entrada.

4.3.2. Vectores moviles directos


Tres de estos estados son vectores moviles directos, Tabla 4.3, donde las fases de entrada se conectan en
orden directo con las tensiones de salida.

4.3.3. Vectores moviles inversos


Tres de estos estados son vectores moviles directos, Tabla 4.4, donde las fases de entrada se conectan en
orden inverso con las tensiones de salida.

4.3.4. Vectores cero


En tres de los estados las fases de salida son conectadas a la misma fase de entrada, dando lugar a la
tension de salida cero y a una corriente cero, Tabla 4.5. Estos vectores no modifican el angulo del vector de
Park y solo son usados para el control de la amplitud.

4.4. Conclusiones
En este captulo se analiza la matriz de conversion, que puede tener 27 estados posibles, clasificados en:
vectores moviles (directos e inversos), Tabla 4.6; vectores fijos, Tabla 4.7; y vectores cero, Tabla 4.8. Los
vectores fijos y cero son empleados para el control del convertidor ca-ca directo.

46
Tabla 4.2: Modulo y argumento del vector de Park de las tensiones de lnea de salida y corrientes de entrada en los
Vectores Fijos.

Vectores Fijos
Estados |U out | 6 U out |I in | 6 I in


5
E2 3 vab (t) 2 3 iw (t) 6
(aab)


E3 3 vca (t) 2 3 iw (t) 5
6
(aac)


E4 3 vab (t) 6 3 iv (t) 5
6
(aba)



E5 3 vab (t) 6 3 iu (t) 6
(abb)

5

E7 3 vca (t) 6 3 iv (t) 5
6
(aca)


E9 3 vca (t) 5
6 3 iu (t)
6
(acc)


E10 3 vab (t) 5
6 3 iu (t) 5
6
(baa)

47
Tabla 4.2: (Continuacion). Modulo y argumento del vector de Park de las tensiones de lnea de salida y corrientes de
entrada en los Vectores Fijos.

Vectores Fijos (Continuacion)


Estados |U out | 6 U out |I in | 6 I in

5

E11 3 vab (t) 6 3 iv (t) 6
(bab)


E13 3 vab (t) 2 3 iw (t) 6
(bba)



E15 3 vbc (t) 2 3 iw (t) 2
(bbc)


E17 3 vbc (t) 6 3 iv (t) 2
(bcb)



E18 3 vbc (t) 6 3 iu (t) 2
(bcc)



E19 3 vca (t) 6 3 iu (t) 5
6
(caa)


E21 3 vca (t) 6 3 iv (t)
6
(cac)

48
Tabla 4.2: (Continuacion). Modulo y argumento del vector de Park de las tensiones de lnea de salida y corrientes de
entrada en los Vectores Fijos.

Vectores Fijos (Continuacion)


Estados |U out | 6 U out |I in | 6 I in


E23 3 vbc (t) 5
6 3 iu (t) 2
(cbb)

5

E24 3 vbc (t) 6 3 iv (t) 2
(cbc)



E25 3 vca (t) 2 3 iw (t) 6
(cca)


E26 3 vbc (t) 2 3 iw (t)
2
(ccb)

49
Tabla 4.3: Modulo y argumento del vector de Park de las tensiones de lnea de salida y corrientes de entrada en los
Vectores Moviles Directos.

Vectores Moviles Directos


Estados
|U out | 6 U out |I out | 6 I out

3 3 3 Vin
Vin wt wt
2 3 2 Z 2
E6 |U in | 6 U in 6 I in

(abc) |U | 6 U in |I in | 6 I in
in
3 3 3 Vin
Vin wt wt
2 3 2 Z 2
|U out | 6 U out |I out | 6 I out

3 3 3 Vin 7
Vin wt wt 6
2 2 Z
2 2
E16 |U in | 6 U in 6 I in
3 3
(bca) |U | 6 U in |I in | I in
6
in
3 3 3 Vin
Vin wt wt
2 3 2 Z 2
|U out | 6 U out |I out | 6 I out

3 3 3 Vin 11
Vin wt + 3 wt 6
2 2 Z
2 4
E20 |U in | 6 U in + 6 I in
3 3
(cab) |U | U in
6 |I in | I in
6
in
3 3 3 Vin
Vin wt wt
2 3 2 Z 2

50
Tabla 4.4: Modulo y argumento del vector de Park de las tensiones de lnea de salida y corrientes de entrada en los
Vectores Moviles Inversos.

Vectores Moviles Inversos


Estados
|U out | 6 U out |I out | 6 I out

3 3 2 3 Vin
Vin wt wt
2 3 2 Z 2
E8 /3 6 U in 6 I in
(acb) |U | 6 U in |I in | 6 I in
in
3 3 3 Vin
Vin wt wt
2 3 2 Z 2
|U out | 6 U out |I out | 6 I out

3 3 2 3 Vin 7
Vin wt wt
2 3 2 Z 6
E12 6 U in 2/3 6 I in
(bac) |U | 6 U in |I in | 6 I in
in
3 3 3 Vin
Vin wt wt
2 3 2 Z 2
|U out | 6 U out |I out | 6 I out

3 3 3 Vin 11
Vin wt wt
2 2 Z 6
E22 /3 6 U in 4/3 6 I in
(cba) |U | 6 U in |I in | 6 I in
in
3 3 3 Vin
Vin wt wt
2 3 2 Z 2

51
Tabla 4.5: Modulo y argumento del vector de Park de las tensiones de lnea de salida y corrientes de entrada en los
Vectores Cero.

Vectores Cero
Estados |U out | 6 U out |I in | 6 I in

E1 0 0 0 0
(aaa)

E14 0 0 0 0
(bbb)

E27 0 0 0 0
(ccc)

Tabla 4.6: Resumen de las caractersticas de los estados moviles.

Estado Moviles
Conexion Corrientes de Vector de Park de la Vector de Park de la
Estado

Salida Tensiones de Salida Entrada tension de salida corriente de entrada


Entrada U out I in
u v w vuv vvw vwu ia ib ic |U out | 6 U out |I in | 6 I in

E6 a b c vab vbc vca iu iv iw |U in | 6 U in |I out | 6 I out



E8 a c b vca vbc vab iu iw iv |U in | 3 6 U in |I out | 6 I out
2
E12 b a c vab vca vbc iv iu iw |U in | 6 U in |I out | 3 6 I out
2 2
E16 b c a vbc vca vab iw iu iv |U in | 6 U in 3 |I out | 3 + 6 I out
2 4
E20 c a b vca vab vbc iv iw iu |U in | 6 U in + 3 |I out | 3 + 6 I out
E22 c b a vbc vab vca iw iv iu |U in | 3 6 U in |I out | 4
3 6 I out

52
Tabla 4.7: Resumen de las caractersticas de los estados Fijos.

Estado Moviles
Conexion Corrientes de Vector de Park de la Vector de Park de la
Estado

Salida Tensiones de Salida Entrada tension de salida corriente de entrada


Entrada U out I in
u v w vuv vvw vwu ia ib ic |U out | 6 U out |I in | 6 I in

E5 a b b vab 0 vab iu iu 0 3vab /6 3iu /6

E9 a c c vca 0 vca iu 0 iu 3vca 5/6 3iu /6

E10 b a a vab 0 vab iu iu 0 3vab 5/6 3iu 5/6

E18 b c c vbc 0 vbc 0 iu iu 3vbc /6 3iu /2

E19 c a a vca 0 vca iu 0 iu 3vca /6 3iu 5/6

E23 c b b vbc 0 vbc 0 iu iu 3vbc 5/6 3iu /2


E4 a b a vab vab 0 iv iv 0 3vab /6 3iv 5/6

E7 a c a vca vca 0 iv 0 iv 3vca 5/6 3iv 5/6

E11 b a b vab vab 0 iv iv 0 3vab 5/6 3iv /6

E17 b c b vbc vbc 0 0 iv iv 3vbc /6 3iv /2

E21 c a c vca vca 0 iv 0 iv 3vca /6 3iv /6

E24 c b c vbc vbc 0 0 iv iv 3vbc 5/6 3iv /2


E2 a a b 0 vab vab iw iw 0 3vab /2 3iw 5/6

E3 a a c 0 vca vca iw 0 iw 3vca /2 3iw 5/6

E13 b b a 0 vab vab iw iw 0 3vab /2 3iw /6

E15 b b c 0 vbc vbc 0 iw iw 3vbc /2 3iw /2

E25 c c a 0 vca vca iw 0 iw 3vca /2 3iw /6

E26 c c b 0 vbc vbc 0 iw iw 3vbc /2 3iw /2

Tabla 4.8: Resumen de las caractersticas de los estados Cero.

Estado Moviles
Conexion Corrientes de Vector de Park de la Vector de Park de la
Estado

Salida Tensiones de Salida Entrada tension de salida corriente de entrada


Entrada U out I in
u v w vuv vvw vwu ia ib ic |U out | 6 U out |I in | 6 I in

E1 a a a 0 0 0 0 0 0 0 0
E14 b b b 0 0 0 0 0 0 0 0
E27 c c c 0 0 0 0 0 0 0 0

53
54
Captulo 5

Estrategia de control SVMPWM

En el control vectorial (mediante el vector de Park) se sustituye todo el sistema trifasico de salida por un
solo vector, en el que la frecuencia queda reflejada en su velocidad de giro y el modulo refleja su amplitud.
Este vector puede se empleado para estudiar tanto los regmenes estacionarios como transitorios.

5.1. Introduccion.
Considere la topologa general del convertidor CA-CA directo de 3 x 3 representada en la Fig. 5.1.

Figura 5.1: Topologa del convertidor CA-CA directo de 3 x 3.

55
Se definen los voltajes de lnea-lnea de entrada y salida en el espacio de Park como:
2 4 6 U in
U in (t) = vab (t) + vbc (t) ej 3 + vca (t) ej 3 = |U in | ej (5.1)
j 2 j 4 6 U out(ref )
U out(ref ) (t) = vuv (t) + vvw (t) e 3 + vwu (t) e 3 = |U out(ref ) | ej (5.2)

Los voltajes de fase de entrada en el espacio de Park son:


2 4 6 U in
U in (t) = va (t) + vb (t) ej 3 + vc (t) ej 3 = |U |in ej (5.3)

Las corrientes de entrada y salida en terminos de vectores de Park son:


2 4 6 I in(ref )
I in(ref ) (t) = ia (t) + ib (t) ej 3 + ic (t) ej 3 = |I in(ref ) | ej (5.4)
j 2 j 4 j 6 I out
I out (t) = iu (t) + iv (t) e 3 + iw (t) e 3 = |I out | e (5.5)

Para el algoritmo de control PWM directo se emplean los estados fijos y los cero, dando una total de 21
posibles estados de conmutacion.
Los estados fijos dividen el espacio de Park en 6 sectores, tanto desde el punto de vista de los voltajes
de salida como de las corrientes de entrada. As los vectores U out(ref ) e I in(ref ) pueden estar posicionados en
cualquiera de estos sectores que en adelante se denominan S V y S I, tal como se representan en las Figs. 5.2
y 5.3.

Figura 5.2: Vectores de Park de la tension de sali- Figura 5.3: Vectores de Park de la corriente de en-
da correspondiente a las combinaciones permitidas de trada correspondiente a las combinaciones permitidas
estados fijos. de estados fijos.

En la Fig. 5.4 se observa alguna de las posibles posiciones de los diferentes vectores de entrada en el

espacio de Park, ademas se puede observar que el vector U in esta adelantado /6 del vector U in . Se observa

tambien el angulo in el cual se encuentra entre el vector U in y el vector I in(ref ) , del cual depende el factor
de potencia de entrada.
Con el algoritmo de control DSVM se persigue lograr un control instantaneo del vector de Park de las
tensiones de lnea de salida U out , y un control del angulo de fase in entre el vector de las tensiones de fases

de entrada U in y el vector de la corriente de entrada I in . El control de estos parametros permite:

La generacion de tensiones de salida y corrientes de entrada con un bajo contenido armonico que pueden
ser transformadas facilmente en senales senoidales.

56
Figura 5.4: Topologa del convertidor CA-CA directo de 3 x 3.

El control de la amplitud de la senal de salida.

El control de la fase de la tension de salida.

El control del factor de potencia de entrada, cos(in ).

5.2. Seguimiento de la Referencia de Tension.


Con el fin de analizar el algoritmo de control se asume que el vector U out(ref ) se encuentra en S V=I, y
que el vector I in(ref ) se encuentra S I=I. La relacion entre las tensiones de salida y el sector en el cual se
encuentra el vector U out(ref ) se representa en la Fig. 5.5. De igual manera, la relacion entre las corrientes de
entrada y el sector en el que se encuentra el vector I in(ref ) se representa en la Fig. 5.6.

Ahora se analiza la manera de obtener el vector de Park de la tension de salida, para lo cual se hace
referencia a la Fig. 5.7.
Puede establecerse que:
0 I II
U out(ref ) = (U out mI ) + (U out mII ) (5.6)
00 III IV
U out(ref ) = (U out mIII ) + (U out mIV ) (5.7)

Donde mi representa el ciclo de servicio del vector de conmutacion, es decir:


Ti
mi = i = I, II, II, IV (5.8)
Ts
Siendo Ts el tiempo de muestreo del algoritmo de control y Ti el tiempo que permanece aplicado el estado
fijo correspondiente. En cuanto a los componentes de U out(ref ) se puede escribir:
2 j
0
U out(ref ) = |U out(ref ) | cos 6 U out(ref ) e 6 (5.9)
3 3
2 j
00
U out(ref ) = |U out(ref ) | cos 6 U out(ref ) + e 6 (5.10)
3 3

57
Vu(ref) Vv(ref) Vw(ref) Ia(ref) Ib(ref) Ic(ref)

Tensiones de fase de salida Corriente simple

Vuv(ref) Vvw(ref) Vwu(ref) Iab(ref) Ibc(ref) Ica(ref)

Tensiones de nealnea de salida Corriente compuesta

6 6

1 1

S_V S_I

Figura 5.5: Vectores de Park de la tension de sali- Figura 5.6: Vectores de Park de la corriente de en-
da correspondiente a las combinaciones permitidas de trada correspondiente a las combinaciones permitidas
estados Fijos. de estados Fijos.

Figura 5.7: Seguimiento de U out(ref ) .

58
A partir de (5.6), (5.7), (5.9) y (5.10), resulta:
2 j
0 I II
U out(ref ) = (U out mI ) + (U out mII ) = |U out(ref ) | cos 6 U out(ref ) e 6 (5.11)
3 3
2 j
00 III IV
U out(ref ) = (U out mIII ) + (U out mIV ) = |U out(ref ) | cos 6 U out(ref ) + e 6 (5.12)
3 3
Cada estado fijo de los representados en la Fig. 5.2 esta formado por tres vectores cuyo argumento es
constante en el tiempo, pero cuyo modulo vara instantaneamente en funcion de los voltajes de entrada. Con
el fin de asegurar la obtencion del vector U out(ref ) , se seleccionan los estados de conmutacion que en cada
instante presenten el mayor modulo. Es decir, los cuatro estados de conmutacion que finalmente determinan

la obtencion del vector U out(ref ) , dependen de la posicion del vector U in . En la Fig. 5.3 se puede observar

que el vector U in se encuentra situado en el S I=I, las tensiones mayores de entrada son vab (t) y vca (t). As,
0
para generar el vector U out(ref ) se emplean los estados de conmutacion E5 y E9, mientras que para generar
00
el vector U out(ref ) se emplean los estados E4 y E7, Fig. 5.8.


Figura 5.8: Estados necesarios para el seguimiento de U out(ref ) cuando U in se encuentra en S V = I.

Teniendo en cuenta que se asume un sistema trifasico balanceado equilibrado:


2
vab = |U in | cos 6 U in (5.13)
3
2 2
vbc = |U in | cos 6 U in (5.14)
3 3

2 4
vca = |U in | cos 6 U in (5.15)
3 3

De (5.11) y (5.12) se escribe:



4
|U out(ref ) |cos U out(ref )
6 = |U in |cos( U in )mI |U in |cos
6 6 U in mII (5.16)
3 3

4
|U out(ref ) |cos 6 U out(ref ) + = |U in |cos(6 U in )mIII |U in |cos 6 U in mIV (5.17)
3 3

5.3. Seguimiento de la referencia de corriente.


Para el vector de Park de la corriente de referencia de entrada I in(ref ) considerese la Fig. 5.9. Al igual
que se propuso en la seccion anterior, se asume que el vector U out(ref ) se encuentra en S V = I y que el vector

59
I in(ref ) se encuentra en S I = I.

Figura 5.9: Seguimiento del vector I in .

De modo que:
0 II IV
I in(ref ) = (I in mII ) + (I in mIV ) (5.18)
00 I III
I in(ref ) = (I in mI ) + (I in mIII ) (5.19)

En cuanto a la proyecciones de I in(ref ) :

2
0
I in(ref ) = |I in(ref ) | sin + 6 I in(ref ) ej 6 (5.20)
3 6
2
00
I in(ref ) = |I in(ref ) | sin 6 I in(ref ) ej 6 (5.21)
3 6

As de (5.18), (5.19), (5.20) y (5.21) resulta:


2 II IV
|I in(ref ) | sin + 6 I in(ref ) ej 6 = (I in mII ) + (I in mIV ) (5.22)
3 6
2 I III
|I in(ref ) | sin I in(ref ) ej 6
6 = (I in mI ) + (I in mIII ) (5.23)
3 6

Operando:
2
|I in(ref ) | sin + 6 I in(ref ) = ( 3 iu mII ) ( 3 iv mIV ) (5.24)
3 6
2
|I in(ref ) | sin 6 I in(ref ) = ( 3 iu mI ) ( 3 iv mIII ) (5.25)
3 6

De (5.24) y (5.25), indica que la determinacion de los ciclos de servicio necesita el muestreo de la corriente
de salida. Con el fin de reducir el numero de sensores requeridos se pueden reescribir las ecuaciones anteriores
de la forma siguiente:

mII sin 6 I in(ref ) mI sin + 6 I in(ref ) = 0 (5.26)
6 6

mIV sin 6 I in(ref ) mIII sin + 6 I in(ref ) = 0 (5.27)
6 6

60
5.4. Determinacion de los ciclos de servicio.
En las secciones anteriores, se han analizado el seguimiento de los vectores de referencia de la tension de
salida y de la corriente de entrada, bajo la suposicion que el vector U out(ref ) se encuentra en el S V = I y
el vector I in(ref ) se encuentra en el S I = I, obteniendo cuatro ecuaciones (5.16), (5.17), (5.26) y (5.27) con
cuatro incognitas que permiten determinar los ciclos de servicio:

2 |U out(ref ) | cos(6 U out(ref ) 3 )cos( 3 + 6 I in(ref ) )


mI = (5.28)
3 |U in | cos(in )
2 |U out(ref ) | cos(6 U out(ref ) 3 )cos( 3 6 I in(ref ) )
mII = (5.29)
3 |U in | cos(in )
2 |U out(ref ) | cos(6 U out(ref ) + 3 )cos( 3 + 6 I in(ref ) )
mIII = (5.30)
3 |U in | cos(in )
2 |U out(ref ) | cos(6 U out(ref ) + 3 )cos( 3 6 I in(ref ) )
mIV = (5.31)
3 |U in | cos(in )

Las ecuaciones anteriores son validas para los siguientes lmites:



< U out(ref ) < (5.32)
6 6

< I in(ref ) < (5.33)
6 6
Para la viabilidad de la estrategia de control se debe verificar que:

mI + mII + mIII + mIV 1 (5.34)

De (5.34), para completar Ts , se tendran que usar los estados cero. Estos seran seleccionados de manera
que minimicen el numero de conmutaciones. Despejando las ecuaciones (5.28), (5.29), (5.30) y (5.31) en la
ecuacion (5.34):

2 cos(in )
|U out(ref ) | |U in | (5.35)
3 cos(6 U out(ref ) ) cos(6 I in(ref ) )

La ecuacion (5.35) muestra la relacion instantanea entre los modulos de Park de los voltajes de lnea de
entrada y salida. Teniendo en cuenta que dentro de cada uno de los sectores que se estan considerando (S V=
I y S I = I) se verifica que [cos6 I in(ref ) ]max = 1 y [cos6 U out(ref ) ]max = 1:

3
Vout Vin cosin (5.36)
2
Luego, la maxima relacion entre las tensiones de entrada y salida se logra cuando cosin = 1.

En la Tabla 5.1 se exhibe la secuencia de aplicaciones de estados que minimizan el numero de conmuta-
ciones en el caso considerado.

61
Tabla 5.1: Secuencia de conmutacion y ciclos de servicio, para el caso S V = I y S I = I.

Estado Ciclo de servicio Tiempo de


servicio
2 |U out(ref ) | cos(6 U out(ref ) + 3 )cos( 3 + 6 I in(ref ) )
E4 mIII = T1 = mIII Ts
3 |U in | cos(in )
(aba)
2 |U out(ref ) | cos(6 U out(ref ) 3 )cos( 3 + 6 I in(ref ) )
E5 mI = T2 = mI Ts
3 |U in | cos(in )
(abb)
2 |U out(ref ) | cos(6 U out(ref ) 3 )cos( 3 6 I in(ref ) )
E9 mII = T3 = mII Ts
3 |U in | cos(in )
(acc)
2 |U out(ref ) | cos(6 U out(ref ) + 3 )cos( 3 6 I in(ref ) )
E7 mIV = T4 = mIV Ts
3 |U in | cos(in )
(aca)
E1 mV = 1 mI mII mIII mIV T5 = mV Ts
(aaa)

5.5. Tabla de conmutaciones para DSVPWM.


Realizando un analisis similar al expuesto en los apartados anteriores para el resto de las posiciones de los
vectores de Park de referencia, tanto de corriente de entrada como de voltaje de salida, resulta la secuencia
ilustrada en la Tabla 5.2.

Tabla 5.2: Tabla de conmutaciones para DSVMPWM.

SV
SI I II III
m1 m2 m3 m4 m5 m1 m2 m3 m4 m5 m1 m2 m3 m4 m5
I aba abb acc aca aaa abb aab aac acc ccc aab bab cac acc aaa
II aca acc bcc bcb bbb acc aac bbc bcc ccc aac cac cbc bbc bbb
III bcb bcc baa bab bbb bcc bbc bba baa aaa bbc cbc aba bba bbb
IV bab baa caa cac ccc baa bba cca caa aaa bba aba aca cca ccc
V cac caa cbb cbc ccc caa cca ccb cbb bbb cca aca bcb ccb ccc
VI cbc cbb abb aba aaa cbb ccb aab abb bbb ccb bcb bab aab aaa

62
Tabla 5.2: (Continuacion). Tabla de conmutaciones para DSVMPWM.

SV
SI IV V VI
m1 m2 m3 m4 m5 m1 m2 m3 m4 m5 m1 m2 m3 m4 m5
I bab baa caa cac ccc baa bba cca caa aaa bba aba aca cca ccc
II cac caa cbb cbc ccc caa cca ccb cbb bbb cca aca bcb ccb ccc
III cbc cbb abb aba aaa cbb ccb aab abb bbb ccb bcb bab aab aaa
IV aba abb acc aca aaa abb aab aac acc ccc aab bab cac aac aaa
V aca acc bcc bcb bbb acc aac bbc bcc ccc aac cac cbc bbc bbb
VI bcb bcc baa bab bbb bcc bbc bba baa aaa bbc cbc aba bba bbb

5.6. Simulacion.
Para observar el desempeno del algoritmo se presenta una simulacion en Matlab. En la simulacion se
utilizaron los parametros de la Tabla 5.3.

Tabla 5.3: Parametros empleados en la simulacion.

Parametro Valor Descripcion


Ts 200s Periodo de conmutacion

Vin 2 120 Valor maximo de Voltaje de entrada fase-neutro
fin 60Hz Frecuencia de la senal de entrada
fout 60Hz Frecuencia de la senal de salida
RL L 240/80mH Carga R-L del Convertidor Matricial

Para los calculos que requiere el algoritmo se necesita la medicion de los voltajes fase-neutro, bajo la
suposicion de un sistema trifasico equilibrado. Es posible detectar en que sector se encuentra el vector U in .

Si se considera que cos(in ) = 1, la posicion del vector U in coincide con la posicion del vector I in(ref ) .

Una vez realizada la medicion, se puede determinar el sector donde se situa el vector I in(ref ) mediante una
comparacion:

Si ( va 0 y vb < 0 y vc < 0 ) S I = I
Si ( va 0 y vb 0 y vc < 0 ) S I = II
Si ( va < 0 y vb 0 y vc < 0 ) S I = III (5.37)
Si ( va < 0 y vb 0 y vc 0 ) S I = IV
Si ( va < 0 y vb < 0 y vc 0 ) S I = V
Si ( va 0 y vb < 0 y vc 0 ) S I = V I

Similarmente, partiendo de las tensiones de salida de referencia, se puede determinar el sector S V y el


) con respecto al sector donde esta situado el vector U
angulo(out out(ref ) . Una vez detectados, se pueden

63
determinar los estados activos durante el siguiente intervalo Ts . Para la determinacion de los diferentes ciclos
de trabajo se evaluan las ecs. (5.28)-(5.31).
Se define
|U out(ref ) |
q= (5.38)
|U in |
una constante que aparece en las ecs. (5.28)-(5.31) para evaluar los ciclos de trabajo.

En las Figuras 5.11-5.13, se despliegan las formas de onda de las tensiones de salida para q = 3/2 (valor
maximo), in = 0o , y una frecuencia de salida fout = 60Hz, en los puntos u, v, y w de la Fig. 5.10.
En las Figuras 5.14-5.16, se representan las formas de onda de las tensiones de salida para q = 0.5,
in = 0o , y una frecuencia de salida fout = 60Hz, en los puntos u, v, y w de la Fig. 5.10.

Figura 5.10: Diagrama que muestra las salidas de la simulacion y los puntos u, v y w.

Puede notarse que la diferencia esencial entre estas dos condiciones es la variacion en la magnitud de las
corrientes de salida.

64
200

100
[V]

100

200
0 0.005 0.01 0.015 0.02 0.025 0.03 0.035
VuN

300

200

100
[V]

100

200

300
0 0.005 0.01 0.015 0.02 0.025 0.03 0.035
V
uN*

0.5
[A]

0.5

1
0 0.005 0.01 0.015 0.02 0.025 0.03 0.035
I
u


Figura 5.11: Tensiones y corriente de salida para q = 3/2 en el punto u de la Fig. 5.10.

65
200

100
[V]

100

200
0 0.005 0.01 0.015 0.02 0.025 0.03 0.035
VvN

300

200

100
[V]

100

200

300
0 0.005 0.01 0.015 0.02 0.025 0.03 0.035
VvN*

0.5
[A]

0.5

1
0 0.005 0.01 0.015 0.02 0.025 0.03 0.035
Iv


Figura 5.12: Tensiones y corriente de salida para q = 3/2 en el punto v de la Fig. 5.10.

66
200

100
[V]

100

200
0 0.005 0.01 0.015 0.02 0.025 0.03 0.035
VwN

300

200

100
[V]

100

200

300
0 0.005 0.01 0.015 0.02 0.025 0.03 0.035
V
wN*

0.5
[A]

0.5

1
0 0.005 0.01 0.015 0.02 0.025 0.03 0.035
Iw


Figura 5.13: Tensiones y corriente de salida para q = 3/2 en el punto w de la Fig. 5.10.

67
200

100
[V]

100

200
0 0.005 0.01 0.015 0.02 0.025 0.03 0.035
VuN

300

200

100
[V]

100

200

300
0 0.005 0.01 0.015 0.02 0.025 0.03 0.035
V
uN*

0.4

0.2
[A]

0.2

0.4
0 0.005 0.01 0.015 0.02 0.025 0.03 0.035
Iu

Figura 5.14: Tensiones y corriente de salida para q = 0.5 en el punto u de la Fig. 5.10.

68
200

100
[V]

100

200
0 0.005 0.01 0.015 0.02 0.025 0.03 0.035
VvN

300

200

100
[V]

100

200

300
0 0.005 0.01 0.015 0.02 0.025 0.03 0.035
VvN*

0.4

0.2
[A]

0.2

0.4
0 0.005 0.01 0.015 0.02 0.025 0.03 0.035
Iv

Figura 5.15: Tensiones y corriente de salida para q = 0.5 en el punto v de la Fig. 5.10.

69
200

100
[V]

100

200
0 0.005 0.01 0.015 0.02 0.025 0.03 0.035
VwN

300

200

100
[V]

100

200

300
0 0.005 0.01 0.015 0.02 0.025 0.03 0.035
V
wN*

0.4

0.2
[A]

0.2

0.4
0 0.005 0.01 0.015 0.02 0.025 0.03 0.035
Iw

Figura 5.16: Tensiones y corriente de salida para q = 0.5 en el punto w de la Fig. 5.10.

70
Captulo 6

Implementacion del Convertidor Matricial

En este captulo se describe la implementacion del Convertidor Matricial. En la Fig. 6.1 se muestran los
principales elementos que constituyen el sistema.

Etapa de
Fuente
trifsica
Potencia
Alimentacin AC

+ 5V +_ 1 5 V
Filtro
de
entrada

Detector de
cruce por cero Transductores
Control
de tensin

Sumador

Matriz
Opto- Circuito
DSP electrnica
Drivers de clamp
conversin

Comparador

Acondicionamiento de Seales
Transductores
de corriente

Carga

Figura 6.1: Estructura del convertidor matricial.

Como se observa en la Fig. 6.1, la estructura del Convertidor se divide en cuatro grandes bloques:
Control (DSP)

71
Acondicionamiento de senales

Etapa de Potencia

Alimentacion

Cada una de estas partes se describe en los siguientes apartados.

6.1. Control
El algoritmo de control se implementa bajo la plataforma de un DSP 2812 de la familia 2000 de TI.
Las principales caractersticas del F2812 [84] son:

CPU de 32 bits de alto desempeno

Arquitectura Harvard Bus


Operaciones atomicas
Rapida respuesta a las interrupciones y al procesamiento de las mismas

Memoria en el chip

FLASH
ROM
OTP ROM
SRAM (Single-Access RAM)

Sistema de control y reloj

Oscilador en el chip
Modulo temporizado de perro guardian

Tres Interrupciones externas

Modulo de expansion de las interrupciones de los perifericos(Peripheral Interrupt Expansion, PIE), que
soporta hasta 45 interrupciones

Tres Contadores en el CPU de 32-Bits

128-Bits de clave de seguridad para proteger el codigo

Perifericos de control de motor

Dos Manejadores de eventos (EVA, EVB)

Puertos de comunicacion serial

SPI
Dos UART

72
eCAN
McBSP

Dieciseis canales de entradas analogicas con CAD de 12-Bits

2x8 Canales de entrada multiplexados


Dos Bloques de muestreo y retencion
Rapida tasa de conversion: 80 ns / 12.5 MSPS

Mas de 56 terminales de entrada/salida de proposito general

Incluye Herramientas de desarrollo

C/C++ Depurador /Ensamblador /Enlazador


Code Composer Studio IDE
DSP/BIOS

Modalidades de ahorro de energa y bajo consumo

Para desarrollar el programa que se encarga de controlar el convertidor matricial se utilizaron los modulos
siguientes: Convertidor Anlogico-Digital, el Modulo Manejador de Eventos, un temporizador del CPU, y las
interrupciones por software. Los modulos anteriores se explican a continuacion.

Las principales caractersticas del Modulo del Convertidor Analogico-Digital, CAD, son:

Convertidor A/D de 12 bits con Sample & Hold (S/H) incluido.

Entrada analogica de 0 a 3V.

Dieciseis canales A/D con entradas multiplexadas

Dieciseis registros de resultado que almacenan el valor de la conversion, direccionados individualmente

El valor digital del voltage analogico se obtiene mediante:


V alor Analogico de entrada ADCLO
V alor Digital = 4095 (6.1)
3

Multiples fuentes de comienzo de conversion SOC (Start of COnversion)

S/W: Inicio inmediato por software.


EVA
EVB
Senal Externa

Control fexible de interrupciones

73
Figura 6.2: Diagrama a bloques del Modulo CAD del F2812. [85]

En la Fig. 6.2 se ilustra un diagrama a bloques del modulo CAD, en el cual se observa que el bloque de
registros de control recibe senales de los modulos Manejadores de Eventos (EVA y EVB), que pueden activar
la adquisicion de datos.

Las principales caractersticas de cada modulo Manejador de Eventos, EVA y EVB, respectivamente, son:

Dos temporizadores de proposito general

Tres unidades de comparacion que generan seis salidas PWM

Unidades Programables de banda muerta Salidas PWM generadas digitalmente por hardware

Unidades de captura, para medir diferentes eventos y transiciones

Circuito de pulso codificador en cuadratura (quadrature-encoder pulse, QEP)

En la Fig. 6.3 se presenta un diagrama a bloques del modulo manejador de eventos EVA. El EVB es muy
similar, solo cambia el nombre de los registros.

A continuacion se describen los diferentes tipos de rutinas que se pueden implementar con el BIOS,
enlistandolas en orden ascendente de prioridad para su ejecucion:

Hardware Interrupts, HWI

Usadas para implementar eventos en tiempo real urgentes

74
Figura 6.3: Diagrama a bloques del EVA del F2812. [86]

Activadas por una interrupcion de Hardware


Las prioridades de las HWI estan definidas por hardware

Software Interrupts, SWI

Usa las SWI para efectuar la continuacion de un proceso de una HWI

75
La programacion de las SWI es realizada por software
Se pueden establecer multiples SWI en cada una de sus diferentes niveles de prioridad

Task, TSK

Las TSK sirven para correr programas diferentes simultaneamente bajo diferentes contextos
Las TSK se habilitan por una senal de semaforo

Background, IDL

Multiples funciones IDL Corre como ciclos infinitos, tradicionalmente como un ciclo while
Toda la transferencia de los datos del BIOS ocurre aqu

6.1.1. Implementacion del algoritmo


Para implementar este algoritmo en tiempo real se establecio la prioridad de ejecucion en las diferentes
funciones para coordinar los procesos, utilizando los recursos que aporta el DSP/BIOS de TI (version 5.20),
logrando as que la ejecucion de alguno de los procesos que componen el algoritmo no altere los tiempos
en los que deben ejecutarse otros procesos vitales para la conversion, y que podran danar los dispositivos
electronicos del convertidor matricial.
Se requirio la incorporacion de las libreras IQmath para calcular los tiempos de los ciclos de servicio, segun
las ecuaciones (5.28)-(5.31), las cuales como se puede observar requieren el calculo de funciones trigonometri-
cas en funcion de 6 U out(ref ) y 6 I in(ref ) . Esto es necesario debido a que el DSP es de punto fijo, y sin esta
librera no podra realizar dichos calculos.
En la Tabla 6.2 se observan lo valores de los parametros utilizados en la implementacion del algoritmo.

Tabla 6.1: Parametros empleados para la implementacion del algoritmo.

Parametro Valor Descripcion


Ts 200s Periodo de conmutacion
fin 60Hz Frecuencia de la senal de entrada
fout 60Hz Frecuencia de la senal de salida

En la Fig. 6.4 se observa el diagrama del programa principal, el cual, al terminar, cede el control a
DSP/BIOS para que se lleve a cabo la interaccion entre los diferentes procesos.
La inicializacion de los perifericos del sistema mostrada en la Fig. 6.4, consiste en:

Configurar las terminales de entrada y salida, 18 salidas para controlar los 18 IGBTs que conforman el
convertidor matricial; una salida para los relevadores de las resistencia de precierre del filtro de entrada,
y algunas senales de control para otros dispositivos.

Configurar y habilitar el PIE para que funcionen adecuadamente las siguientes interrupciones:

Una interrupcion Externa, que es la encargada de sincronizar el proceso con la red de entrada, por
medio de una senal de deteccion de cruce por cero de la fase A.

76
Inicio
Programa
Principal

Inicializacin
de perifricos

Clculo de las
constantes

Fin

Figura 6.4: Diagrama del programa principal.

Una interrupcion del CAD, para indicar que se han convertido los tres voltajes de entrada nece-
sarios para los calculos del espacio vectorial.
Una interrupcion del Timer 0, la cual se utiliza para ejecutar los cambios de estados en Ts , para
los diferentes ciclos de servicio.
Tres interrupciones de las unidades de captura, que detectan un cambio en la polaridad de las tres
corrientes de salida para seleccionar la estrategia adecuada de la conmutacion suave.

Configurar el CAD para que lea los tres voltajes de entrada fase-neutro, y los convierta y almacene en
localidades especficas de memoria. Asimismo, hacer que el Timer de proposito general del EVA active
el comienzo de las conversiones.

Define el periodo del Timer de proposito general del EVA para que cada 200s active la conversion del
CAD

Como parte de la inicializacion del CAD se agrego una rutina para obtener el offset de las entradas analogi-
cas, dado que las senales a muestrear seran de polaridad positiva y negativa, deberan ser acondicionadas ya
que las entradas del CAD solo admiten voltaje positivo de 0 a 3V. Posteriormente se le debe restar este offset
para evaluar su verdadero valor.
La Fig. 6.5 muestra el diagrama de la interrupcion HWI del CAD, la cual es activada cada 200s por
el EVA, y solo consiste en el llamado de la interrupcion SWI CAD SWI. Esto es por que las HWI tienen
un prioridad de ejecucion definida y no puede ser modificada, a diferencia de las SWI a las que se les puede
configurar la prioridad. Esto es requerido debido a que en esta rutina es donde se llevan a cabo la mayor
cantidad de calculos. Con esto se pueden interrumpir los calculos para ejecutar otros procesos que controlan
los IGBTs, los cuales son de vital importancia.
La Fig. 6.6 despliega el diagrama de la interrupcion SWI CAD SWI, la cual consiste en:

Lectura de los voltajes de entrada.

Calculo de los voltajes de salida deseados.

Ubicacion de los sectores S V y S I, para seleccionar la combinacion de estados a aplicarse en Ts .

77
Interrupcin
HWI

Manda
llamar
la SWI

Regresa

Figura 6.5: Diagrama de interrupcion HWI del CAD.

Seleccion y almacenamiento de la secuencia de 5 estados a aplicar en el siguiente periodo Ts .

Se calculan y almacenan los tiempos de los ciclos de servicio de acuerdo a las ecuaciones (5.28)-(5.31).

Se omiten los estados que su tiempo de aplicacion es menor de 10s, dado que se consideran demasiado
pequenos para afectar el resultado final de la conversion.

Se llama a la funcion Auxiliar, esta se detalla a continuacion.

Se incrementan los angulos 6 U out(ref ) y 6 I in(ref ) , de manera que se refleje su desplazamiento angular
un Ts despues.

Interrupcin
SWI
CAD_SWI Calcula y almacena
los tiempos correspondientes
a los ciclos de servicio

Lee Voltajes
de entrada

Omite estados
con tiempo
muy pequeo
Calcula Voltajes
de salida

Funcin
Ubica los sectores Auxiliar
S_V y S_I

Incrementa
Selecciona y almacena de los vectores
la secuencia de estados de referencia
a aplicar

Regresa

Figura 6.6: Diagrama de interrupcion SWI CAD SWI.

En la Fig. 6.7 se observa del diagrama de la funcion Auxiliar que a su vez esta compuesta por dos
funciones mas, y se compone de lo siguientes procesos:

78
Se carga el periodo correspondiente al tiempo del ciclo de servicio, durante el cual permanece el estado
aplicado. Al terminar este tiempo se activa la interrupcion del Timer 0 para cambiar al siguiente estado,
esto es descrito posteriormente.

Se llama a la funcion Prepara, la cual dispone las secuencias adecuadas para lograr el proceso de
conmutacion suave en las tres fases, se describe posteriormente.

Se habilita y arranca el Timer 0

Se llama a la funcion Conmutacion Suave, la cual se resume en la Fig. 6.9. Esta lee los datos y los
envia al puerto para controlar los IGBT, esto lo hace 4 veces por que el proceso de conmutacion suave
que se utiliza propone estos 4 pasos intermedios entre la conmutacion de una fase a otra fase.

Se avanza al siguiente estado y su respectivo tiempo de servicio.

Se omiten estados con tiempo muy pequeno ya que no afectan la conversion.

Se revisa si se presenta un cambio en la polaridad. Si se encuentra activa la bandera C Corriente, se


revisa la polaridad para que, al presentarse un cambio nuevamente, este cambie a la polaridad opuesta.
Por lo tanto, si la polaridad es positiva al presentarse un cambio, detectado por la interrupcion de
captura respectiva, se selecciona la secuencia negativa para ejecutar el proceso de conmutacion suave.
Si la polaridad es negativa al presentarse un cambio, se selecciona la secuencia positiva para lograr el
proceso de conmutacion suave.

Funcin
Auxiliar

Cambio de Si
Carga el periodo
polaridad en
para el Timer 0
la corriente

Funcin
No
Prepara

Habilita y arranca
Positiva
el Timer 0 Verifica
polaridad

Funcin
Negativa
Conmutacin Suave

Selecciona Selecciona
secuencia secuencia
positiva negativa
Avanza al siguiente
estado y tiempo
de servicio

Omite estados
con tiempo
muy pequeo
Fin

Figura 6.7: Diagrama de la funcion Auxiliar.

79
En la Fig. 6.8 se despliega el diagrama de la funcion Prepara, la cual se compone de lo siguientes procesos:

Se separan las salidas, los valores estan almacenadas en un solo byte por lo que hay que separar las tres
salidas diferentes.

Se carga la secuencia nueva de salida. Esta es un secuencia que supone que no hay cambio en la salida,
por lo que los cuatro pasos son iguales, esto provoca que no haya un cambio aparente en los IGBT
controlados por estas senales. Esto se hace con el fin de que el algoritmo realice las operaciones de una
forma constante y no vare su tiempo de ejecucion.

Se revisa si hay algun cambio entre el estado anterior y el proximo. Al detectar que lo hay, realiza un
proceso que selecciona la verdadera secuencia de salida. En este proceso se considera la polaridad de
corriente de salida de cada fase para implementar una adecuada conmutacion suave. Esto se hace para
las tres fases de salida.

Guarda el estado proximo como estado anterior para la siguiente corrida del proceso.

Funcin
Prepara

Separa las Estado Estado Si


prximas salidas Anterior Prximo

Carga nueva
No Selecciona la
secuencia de salida
nueva secuencia
de salida

Estado = Estado
Anterior Prximo

Fin

Figura 6.8: Diagrama de la funcion Prepara.

En la Fig. 6.10 se observa la interrupcion Timer 0. Esta funcion es utilizada para activar los estados del
segundo al quinto durante Ts . Esta interrupcion se compone de lo siguientes procesos:

Carga el periodo al Timer 0, este es el tiempo que va a permanecer activo el siguiente estado hasta que
vuelva a activar esta interrupcion para pasar al siguiente estado.

Se llama a la funcion Prepara, previamente descrita.

Se habilita y arranca el Timer 0.

Se llama la funcion Conmutacion Suave, previamente descrita.

Se avanza al siguiente estado y al respectivo tiempo activo.

80
Funcin
Conmutacin
Suave

1er. Paso de la 3er. Paso de la


conmutacin suave conmutacin suave

2. Paso de la 4. Paso de la
conmutacin suave conmutacin suave

Fin

Figura 6.9: Diagrama de la funcion Conmutacion Suave.

Interrupcin
HWI
Timer0

Aplicacin Si
Carga el periodo del
para el Timer 0 estado
5

Funcin No
Prepara

Omite estados Deshabilita


con tiempo interrupcin
muy peqeo Timer 0
Habilita y arranca
el Timer 0

Avanza al siguiente Regresa


estado y tiempo
de servicio

Figura 6.10: Diagrama de la Interrupcion Timer 0.

Se verifica si se han recorrido los 5 estados del Ts actual. Si es as, se deshabilita la interrupcion Timer
0 hasta saber los estados a aplicarse el siguiente Ts . Si no se han recorrido lo 5 estados, se procede a
omitir estados con tiempo muy pequeno por que no afectan la conversion.

En la Fig. 6.11 se observa del diagrama de la interrupcion de las tres unidades de captura, que detecta
un cambio en la corriente en cualquiera de las tres fases de salida, es llamada interrupcion C Corriente. Se
compone de lo siguientes procesos:

Activa alguna de las tres banderas C Corriente, que indican cambio en la polaridad, para que la funcion
Auxiliar haga los cambios pertinentes.

Deshabilitar la interrupcion C Corriente. Esto se hace para evitar el mal funcionamiento del algoritmo

81
provocado por los rebotes del cambio de polaridad en la corriente.

Cambia el apuntador a la nueva polaridad para utilizar la secuencia adecuada en la conmutacion suave.

Por la baja prioridad que tiene, no afecta los cambios de estados ni las funciones que los controlan. Si
durante la ejecucion de estos se detecta un cambio de la polaridad de la corriente en alguna fase de salida, la
interrupcion espera a que se ejecuten los demas procesos para ejecutarse, y no alterar los otros tiempos que
son cruciales en el proceso. Al activar la bandera C corriente y deshabilitar la interrupcion en conjunto, se
evita que las rapidas transiciones en el cambio de polaridad afecten el funcionamiento del algoritmo.

Interrupcin
HWI
C_Corriente

Activar bandera
de c_corriente

Deshabilita
interrupcin
C_Corriente

Cambia el apuntador
a la otra la polaridad

Regresa

Figura 6.11: Diagrama de la Interrupcion C Corriente.

En la Fig. 6.12 se exhibe el diagrama de la interrupcion Externa que es la encargada de la sincronizacion


del algoritmo. Es un proceso de vital importancia debido a que en este caso la frecuencia de entrada es igual
al frecuencia de salida. Esta senal proviene de la deteccion de cruce por cero de la fase A de entrada. Al
repetirse cada ciclo se inicializan los valores del vector de referencia de salida, del cual depende la fase de
los voltajes de salida. Esta funcion se llama interrupcion de Sincronizacion y se compone de lo siguientes
procesos:

Deshabilita la interrupcion del Timer 0. Esto es necesario debido a que el periodo de la frecuencia de
salida no es un multiplo de Ts , esto provoca que cuando se presente la sincronizacion queden pendientes
la aplicacion de algunos estados en el ultimo periodo de Ts , y esto puede afectar la conversion si no es
deshabilitado el cambio de estados pendientes.

Se inicializan los angulos 6 U out(ref ) y 6 I in(ref ) para controlar la fase de los voltajes de salida.

Calculo de los voltajes de salida.

82
Ubicacion de los sectores S V y S I, para seleccionar la combinacion de estados a aplicarse en Ts .

Seleccion y almacenamiento de la secuencia de 5 estados a aplicar en el siguiente periodo Ts .

Se calculan y almacenan los tiempos de los ciclos de servicio de acuerdo a las ecuaciones (5.28)-(5.31).

Se omiten estados con tiempo pequeno.

Se llama a la funcion Auxiliar.

Se incrementan los angulos 6 U out(ref ) y 6 I in(ref ) , de manera que se refleje su desplazamiento angular
un Ts despues.

Esta interrupcion es la que tiene la mayor prioridad debido a que como su nombre lo indica, es la que
sincroniza. Es decir, la que controla la fase de los voltajes de salida respecto a los voltajes de entrada. Ademas,
ayuda a reducir el error digital propio de este proceso repetitivo cada Ts , y los retrasos consumidos en los
calculos, siendo estos menores y aceptados por ser del orden de los s.

Interrupcin
HWI
Sincronizacin

Deshabilita Calcula y almacena


interrupcin los tiempos correspondientes
Timer 0 a los ciclos de servicio

Inicializa Omite estados


de los vectores con tiempo
de referencia muy pequeo

Calcula Voltajes Funcin


de salida Auxiliar

Ubica los sectores Incrementa


S_V y S_I de los vectores
de referencia

Selecciona y almacena
la secuencia de estados Regresa
a aplicar

Figura 6.12: Diagrama de la Interrupcion de sincronizacion.

83
6.2. Acondicionamiento de senales
El acondicionamiento de senales consistio en preparar:

Tres senales analogicas de voltajes de entrada de fase-neutro (va , vb y vc ) para ser ledos por el CAD
de DSP.

Tres senales digitales de las polaridades de las corrientes de salida (poliu ,poliv y poliw ), utilizadas para
seleccionar la secuencia adecuada de conmutacion suave para casa fase .

Una senal digital de sincronizacion con la red, encargada de controlar las fases de salida del convertidor
(en este caso se sincroniza con la fase A de entrada).

La interconexion de la etapa de control y la etapa de potencia.

Entiendase por senales analogicas a senales variantes comprendidas entre 0V y 3V , y senales digitales 1
y 0, o sea, 0V y 3V respectivamente. Esto es, senales compatibles con la tecnologa CMOS del DSP.
En el acondicionamiento de los voltajes de entrada se disminuye el voltaje por medio de transformadores
de bajada de 120V a 12V , despues pasa a un divisor de voltaje resistivo para obtener 1.5V , de ah a
una amplificador operacional (de una fuente), configurado como sumador de voltaje. El sumador tiene como
entrada un voltaje constante de +1.5V y una senal de variante de 1.5V , obteniendose a la salida una senal
variante de 0V 3V adecuada para las entradas analogicas del DSP.
En el acondicionamiento de las polaridades de las corrientes es un proceso semejante al de los voltajes de
entrada, solo que se utilizan transductores de corriente (LEM), los cuales entregan una corriente proporcional
y aislada dado que es cuantificada por medio de efecto Hall. Al entregar corriente se coloca una resistencia
de carga para obtener un voltaje oscilante entre 1.5V , el cual es aplicado a un sumador. Con esta senal
variante en una entrada y otra senal constante a +1.5V para obtener una senal oscilante entre 0 3V la
cual pasa a la siguiente etapa, que es un comparador, el cual entrega una senal digital con un 1 para una
corriente positiva, y un 0 para corriente negativa. La transicion de esta senal digital activa las interrupciones
pertinentes para seleccionar la secuencia adecuada de conmutacion suave.
El acondicionamiento de las senal de sincronizacion es mas sencillo ya que se toma la senal previamente
acondicionada del voltaje de la fase A, va , y se pasa a un amplificador operacional configurado como com-
parador, el cual entrega la deteccion de cruce por cero en niveles logicos.
La etapa de control, 18 senales digitales provenientes del DSP que controlan los IGBT , se interconecta
por medio de optoacopladores de alta velocidad, HCPL4503, los cuales se conectan a los drivers de los IGBT.
Los drivers encienden los IGBT con +15V y son pagados con 15V . Existe un tiempo de retraso generado
por la respuesta del optoacoplador, el driver y el tiempo inherente del IGBT en encender, esto debe ser
considerado para evitar que se presente algun corto circuito entre fases.
En la Fig. 6.1 se ilustra que los transductores de voltaje y corriente se encuentran en la etapa de potencia,
los cuales entregan senales que necesitan acondicionarse. En el caso del voltaje el aislamiento consiste en
inducir un voltaje de menor magnitud por medio del transformador de bajada y en el caso de la corriente el
aislamiento lo da el medidor por efecto Hall, el cual entrega una medida indirecta de la corriente. Estas
dos condiciones son vitales para un adecuado funcionamiento del convertidor, por la gran diferencia entre las
magnitudes de voltajes y corrientes a las cuales trabaja la etapa de potencia y la etapa de control.

84
6.3. Circuito de Potencia.
6.3.1. Matriz de conversion
La topologa tiene una configuracion de emisor comun, Fig. 6.13, con lo cual se requiere la utilizacion de
9 fuentes de alimentacion aisladas para energizar los drivers de compuerta de los IGBTs.

Figura 6.13: Estructura del convertidor matricial configuracion Emisor comun.

Implementacion de los Interruptores CA

La fabricacion del prototipo expuesto en este trabajo se realizo con 9 interruptores CA, los cuales estan
constituidos de dos IGBTs discretos IRG4BC30KD de International Rectifier, conformado por un IGBT y
un diodo en antiparalelo. En la Tabla 6.2 se resumen sus principales caractersticas.

Tabla 6.2: Caractersticas de los IR30KD

Parametro Valor
VCES 600V
VCE(on)typ. 2.21V
@VGE 15V
IC 16A

Uno de los aspectos importantes al seleccionar el IGBT es que se puedan encender y apagar rapidamente;
en este caso el tiempo mnimo necesario de encendido es de 10s.

85
6.3.2. Filtro de entrada
En la Fig. 6.14 se observa el filtro que se utiliza en la entrada del convertidor con el fin de mejorar
la calidad de los voltajes de entrada, ademas de reducir el impacto del convertidor en la red, de modo que
reduce el contenido armonico de alta frecuencia en la corriente de entrada.

Figura 6.14: Estructura del filtro de entrada del convertidor matricial.

Para el diseno se consideraron los siguientes aspectos [78]:

Se utiliza una topologa LC simple, Fig. 6.14, la cual presenta una ganancia en la frecuencia de
resonancia. Esto puede afectar la calidad de la forma de onda de entrada, por lo que es necesario
agregar una resistor de dampingRd, Fig. 6.15.

Cuanto menor es el valor de Rd , menor es la ganancia a la frecuencia de resonancia. Sin embargo,


tambien significa una reduccion de la atenuacion del filtro.

Los condensadores del filtro estan conectados en estrella con el fin de reducir el rango de tension de los
condensadores.

La cada de tension en la inductancia se debe al valor de resistencia interna de la inductacia; cuanto


menor sea LF menor sera su resistencia interna. Un valor de CF reducido asegura un valor mayor,
pero requiere un mayor valor de LF para lograr la frecuencia de corte fo ; por lo tanto, la cada de la
tension en la inductancia es mayor. El diseno del filtro es un compromiso entre el valor del inductor y
el condensador.

La frecuencia de corte fo del filtro esta dada por:


1
fo = (6.2)
2 LF CF
En la implementacion se seleccionan dos capacitores de 10F , CF = 20F , y bobinas LF de 2.1mH por
fase. Con estos se obtiene una frecuencia de corte de :
1 1
fo = = = 776.6Hz (6.3)
2 LF CF 2 2.1 10 20 106
3

La seleccion del capacitor es importante para un adecuado funcionamiento del filtro. En este caso se
selecciona un capacitor de polipropileno metalizado por tener un buen desempeno en esta aplicacion. Ademas,
es importante colocar el banco de capacitores lo mas proximo a la matriz de conversion para mejorar el efecto
de filtrado y reducen la inductancias parasitas que producen elevados di/dt.
La presencia del filtro de entrada puede provocar problemas durante el arranque del convertidor, ya que
almacena energa en el banco de capacitores, por lo que es necesario utilizar resistencias de precierre, RP U ,

86
que despues del arranque se ponen en corto circuito. Finalmente, en la Fig. 6.15 se presenta la topologa
completa del filtro empleado en la implementacion, en la cual Rd y RP U deben de ser menores de 100; se
selecciona un valor comercial de 47.

Figura 6.15: Estructura del Filtro de entrada.

6.3.3. Circuito Clamp


Los interruptores CA de la matriz de conversion se protegen mediante un circuito clamp; este circuito
conecta las fases de entrada y salida de la matriz de conversion a un condensador electroltico a traves de
dos puentes rectificadores de diodos, Fig. 6.16.
Los diodos clamp se han seleccionado del tipo Fast recovery (FRD)ERW10-120. La corriente maxima
es de 15A y su tension maxima inversa es de 1200V. En este prototipo, el condensador es de 10F y es
descargado por una resistencia de 100K.

Figura 6.16: Estructura del circuito Clamp.

6.4. Alimentacion
La tarjeta de desarrollo del DSP incluye una fuente conmutada de 5V a 3A, con la cual se energiza el
DSP y la etapa de electronica analogica del bloque de acondicionamiento de senales.
Para el encendido/apagado los interruptores CA, los drivers de los IGBT utilizan 9 fuentes simetricas de
15, las cuales se realizan con un transformador con 9 salida independiente de 18VRM S , pasando por una

87
etapa de rectificacion, un filtrado, y se utilizan reguladores lineales de voltaje de 15V positivo (7815) y 15
negativo(7915). Se agregan unos capacitores a la entrada y salida de los reguladores, para mejorar el voltaje
de salida.

6.5. Resultados de la implementacion


En la Seccion 5.6 y en la Fig. 5.10 se observan los puntos de prueba del convertidor, los voltajes y
corrientes de salida que se exhiben en las Figuras 6.17-6.29. En las figuras de 6.17-6.28 se observa en el canal
4 (CH4), la senal de sincronizacion, que es una senal logica de deteccion de cruce por cero del va .
En la Fig. 6.29 se exhiben las tres corrientes de salida del convertidor matricial, ademas se puede
observar el balanceo de las corrientes de salida, su respectivo desfasamiento entre cada fase de 120o y una
forma senoidal.

88
Figura 6.17: Tensiones y corriente de salida en el punto u de la Fig. 5.10.

Figura 6.18: Tension VuN en el punto u de la Fig. 5.10.

89
Figura 6.19: Tension VuN en el punto u de la Fig. 5.10.

Figura 6.20: Corriente Iu de salida en el punto u de la Fig. 5.10.

90
Figura 6.21: Tensiones y corriente de salida en el punto v de la Fig. 5.10.

Figura 6.22: Tension VvN en el punto v de la Fig. 5.10.

91
Figura 6.23: Tension VvN en el punto v de la Fig. 5.10.

Figura 6.24: Corriente Iv de salida en el punto v de la Fig. 5.10.

92
Figura 6.25: Tensiones y corriente de salida en el punto w de la Fig. 5.10.

Figura 6.26: Tension VwN en el punto w de la Fig. 5.10.

93
Figura 6.27: Tension VwN en el punto w de la Fig. 5.10.

Figura 6.28: Corriente Iw de salida en el punto w de la Fig. 5.10.

94
Figura 6.29: Las tres corrientes de salida iu , iv y iw del convertidor matricial.

95
96
Captulo 7

Conclusiones y trabajos futuros

7.1. Conclusiones
En la generacion de potencia electrica, es deseable una conversion de energa eficiente para mejorar su
costo. Sin embargo, en sistemas de generacion eolicos con frecuencia variable, con puntos de operacion tpicos
en bajas velocidades del viento, la alta eficiencia es difcil de lograr. Esquemas derivados del convertidor
matricial son una posible solucion a esta problematica. En tales sistemas, se emplean dispositivos semicon-
ductores de conmutacion rapida y filtros de tamano reducido. Otra aplicacion importante del convertidor
matricial es en el enlace de sistemas de potencia que operan a diferentes frecuencias.
As, existen aplicaciones donde el empleo del convertidor matricial puede ser ventajoso. Este trabajo
presenta los principios de operacion de tal dispositivo, junto con los primeros resultados de su implementacion
en laboratorio.
La utilizacion de un DSP es una muy buena opcion para controlar el convertidor matricial debido a su
poder de procesamiento y a las multiples opciones que presenta para implementar el convertidor y el control
del mismo en un solo dispositivo, dando confiabilidad al convertidor y economa, ademas de tener buena
respuesta al ruido generado por el mismo inversor.
Se verifica la utilizacion del espacio vectorial para controlar el convertidor matricial al obtener una ade-
cuada forma senoidal en las corrientes de salida del convertidor.
Gracias a las nuevas tecnologas de fabricacion de IGBT se han superado los problemas tecnicos que se
tenan anteriormente, con lo que se puede afirmar que los convertidores matriciales son una opcion completa-
mente confiable para la industria. El desarrollo de modulos inteligentes de potencia que incluyen los 18 IGBT
en un modulo todo-en-uno, reduce los problemas de calentamiento por inductancias parasitas, es hoy una
realidad en el mercado.
Se recomienda la utilizacion de estos convertidores para una mediana potencia, eso debido a la cantidad
de piezas y requerimientos de hardware, por lo cual es muy costosos para aplicaciones de baja potencia. Esto
desafortunadamente ha retrasado la evolucion de estos convertidores y su aceptacion en la industria, como
un convertidor confiable que puede mejorar la calidad de la energa por ser factible controlar su factor de
potencia de entrada.

97
7.2. Aportaciones
Las aportaciones de este trabajo son:

La utilizacion de un DSP como unico dispositivo de control, encargado del calculo de los tiempos del
algoritmo y la conmutacion suave, centralizando el proceso en el sin delegar tareas a otros dispositivos
como PC, FPGA y circuiteria digital diversa. Ademas de tener la opcion de implementar algun tipo de
control complejo por tener disponibles recursos en el DSP.

Reduccion de los dispositivos requeridos para la implementacion del convertidor matricial, impactando
as el costo final de produccion, y reduciendo as las posibles fuentes de falla si es que se llegan a
presentar.

Aportar una metodologa de programacion del DSP, que puede ser seguida para implementar diferentes
tecnicas de modulacion para controlar la amplitud, la frecuencia y la fase de salida.

7.3. Trabajos futuros


Algunos trabajos futuros que se proponen son:

Analizar y buscar la adecuada distribucion de los dispositivos de electronica de potencia para reducir
los efectos de ruido e inductancias parasitas que afectan al convertidor. Ademas de poder minimizar
al maximo el espacio requerido para su ensamble, considerando aspectos como disipacion de calor,
ventilacion, y consumo interno de los dispositivos que controlan al convertidor.

Fabricacion de un prototipo de mayor capacidad para evaluar diferentes tecnicas de modulacion y


estrategias de control. En este aspecto se pueden explorar muchas opciones dado que el DSP se encuentra
cargado al 10 % del CPU aproximadamente, en el algoritmo de SVPWM implementado.

Analizar e implementar redes snuber para interruptores CA, de modo de reducir los impulsos de voltaje
que presenta el convertidor, mejorando la calidad de la energa que entrega. As como reducir las
perdidas en los interruptore CA por este fenomeno.

Busqueda de tecnicas de modulacion en situaciones desbalanceadas, ya sea de entrada o de salida de


voltaje.

Analizar la posible implementacion de FACTS basados en Convertidores Matriciales CA-CA y el im-


pacto real que tendra esto en la estabilidad y la calidad de energa de las grandes redes.

98
Bibliografa

[1] L. Gyugyi and B.P. Pelly. Static power frequency changers: Theory, performance and applications. New
York: John Wiley & Sons Inc., 1976.

[2] N. Mohan, T.M. Undeland, and W.P. Rabbins. Power Eletronics: Converters. Applications, and Design.
1989.

[3] SI Khan, PD Ziogas, and MH Rashid. Forced commutated cycloconverters for high-frequency link
applications. IEEE Transactions on Industry Applications, 23(4):661672, 1987.

[4] LA. Hazeltine. An improved method of an apparatus for converting electric power. British Patent No.
218.675, Jan. 4 1926.

[5] M.Schenkel. Eine unmittelbare asynchrone umrichtungfurniederfrequente bahnnuetze. Electric Bahnen,


(8):6973, 1932.

[6] VonIssendorff. Der Gesteuerte Umrlchter. Wiss. Veroff. Siemens, (14):121, 1935.

[7] H. Rissik. Mercury arc current converters. Sir Isaac Pitman & Sons, 1935.

[8] H. Rissik. The fundamental theory of arc converters. Chapman & Hall, 1939.

[9] R.D. Jessee and WJ. Spaven. Constant-frequency ac power using variable speed generation. AIEE
Trans. Appl Ind., (78):411418, 1959. Part II.

[10] K.M. Chirgwin and U. Stratton. Variable-speed constant-frequency generator system for aircraft. AlEE
Trans. Appl Ind., (78):304310, 1959. Part II.

[11] S.C. Caldwell, L.R. Peaslee, and D.L. Plette. The frequency converter approach to a variable speed
constant frequency system. AIEE Conf. paper, August 1960. CP 60-1076.

[12] D.L Plette and H.G. Carlson. Performance of a variable-speed constant frequency electrica1 system.
IEEE Trans. Aerospace, AS-2:957970, April 1964.

[13] DA Fisk. Vscf for high quality electrical power and reliability. ASME Aviation and Space Division
Conference Paper, 1968. June 16-19.

[14] D.L Lafuze. Vscf starter generator. IEEE-PESC Conf. Rec., 1974.

[15] CJ. Amato and R.B. Diczhazy. Lower order distortion terms in frequency converters. IEEE Nat.
Aerospace Elect. Conf. Rec., pages 165172, 1965. May 10-12.

99
[16] CJ. Amata. Sub-ripple distortion components in practical cycloconverters. Suppl. IEEE Trans.
Aerospace, June 1965.

[17] RA Van Eck. Frequency changer systems using the cycloconverter principle. IEEE Trans. Appl. Ind.,
pages 163168, May 1963.

[18] A Heck and M. Meyer. A static frequency-changer fed squirrel-cage motor drive for variable speed and
reversing. Siemens Rev., (11):401405, Nov. 1963.

[19] A Schonung. Varying the speed of three-phase motors by means of static frequency changers. Brown
Boveri Rev., 51(8/9):540554, 1964.

[20] J.C. Guyeska and H.E. Jordan. Cycloconverter adjustable frequencydrives. IEEE Textile Int. Conf.,
Oct 1-2 1964.

[21] J.C. Guyeska and H.E. Jordan. Static ac variable frequency drive. Nat. Elect. Conf. Rec., 20:358365,
1964.

[22] M. Brown and W.T. Harvey. A variable frequency supply for ind, motors. Appl. Large Int. Drives Conf.
Rec; London, England, pages 120123, May 5-7 1965.

[23] L. J. Lawson. Precisely controlled three-phase squirrel cage ind. motor drives for aerospace applications.
Suppl. IEEE Trans. Aerospace, pages 9397, June 1965.

[24] C.J. Amata. Variable speed with controlled slip induction motor. IEEE Int. Static Power Conversion
Conf. Rec., pages 181185, Nov. 1-3 1965.

[25] W. Slabiak and L.J. Lawson. Optimizing control systems for land vehicles. IEEE Int. Static Power
Conversion Conf. Rec., pages 186189, Nov. 1-3 1965.

[26] L. Abraham, J. Foster, and G. Schliephake. Ac motor supply with thyristor converters. IEEE Trans.
Ind. Gen. Appl, IGA-2(5):334340, Sep./Oct. 1966.

[27] L. J. Lawson, R.P. Borland, and C.G. Puchy. Optimal control system performance of an ac electric
vehicular wheel drive. SA.E. Trans., 75:672680, 1967.

[28] L. J. Lawson and W. Slabiak. A thyristor drive for high performance land vehicles. Nat. Elect. Conf.
Rec., 22:271276, 1966.

[29] P. Bowler. The application of a cycloconverter to the control of induction motors. Power Applications
of Controlable Devices Conf., London, England, pages 137145, Nov. 10-11 1965. IEEE Pub. No. 17.

[30] W. Slabiak. An ac electric individual wheel drive system for land vehicles. S.A.E. Trans., 75:664671,
1967.

[31] E. Reimersand and C.J. Amata. Performance characteristics of a controlled slip induction motor drive.
IGA 1st.AnnualMeeting Conf. Rec, page 499, Oct. 3-6 1966. (Abstract) IEEE Pub. 34C36.

[32] EAE. Rich. Concepts of gearless ball mill drives. IEEE Trans. Int. Gen: Appl, IGA-5(1):1317, Jan./Feb.
1969.

100
[33] E. Blauenstein. The first gearless drive for a tube mill. Brown Boveri Rev., 57(3):96105, March 1970.

[34] J. Langer. Static frequency changer supply system for synchronous motors driving tube mills. Brown
Boveri Rev., 57(3):112119, March 1970.

[35] H.U. WurgIer. The worlds first gearless mill drive. IEEE Trans. Ind: Gen. Appl., IGA-6(5):524527,
Sep.-Oct. 1970.

[36] H. Betz. Neu-ulm system -tie frequency changer, an installation for supply to the german federal railway.
AEG-Telefunken Prog., (1):2226, 1974.

[37] E.R. Hill and C.L Ivey. Cycloconverter poweredyankee dryer. IEEE Pulb and Paper Ind. Tech. Conf.
Rec., 1974.

[38] L. Gyugyi. Power frequency changer with controllable input displacement factor. U.S. Patent No.
3,707,665, Dec. 26 1972.

[39] L. Gyugyi. Unity input displacement factor frequency changers. U.S. Patent Nos. 3,707,666 and
3,707,667, Dec. 26 1972.

[40] B.D. Bedford. Versatile cycloconverter power converter circuit. U.S.Patent No. 3,742,336, June 26 1973.

[41] L. Gyugyi. Static power conversion arrangement and method. U.S. Patent No. 3,858,105, Dec. 31 1974.

[42] L. Gyugyi. Electrical power generating arrangement and method utilizing an induction generator. U.S.
Patent No. 3,832,625, Aug. 27 1975.

[43] L. Gyugyi. Generalized Theory of Static Power Frequency Changers. PhD thesis, University of Salford,
1970.

[44] B.R. Pelly. Thyristor Phase-Conttolled Converters and Cycloconverters. John Wiley & Sons, 1971.

[45] W. McMurray. The Theory and Design of Cyc1oconverters. The MIT Press, 1972.

[46] M. Venturini and A. Alesina. The generalized transformer: A new bidirectional sinusoidal waveform
frequency converter with continuously adjustable input power factor. IEEE-PESC Conf. Rec., pages
242252, 1980.

[47] M. Venturini. A new sine wave in, sine wave out conversion technique eliminates reactive elements.
Seventh National Solid-State Power Conversion Conf. Rec., pages E31E315, 1980.

[48] P.D. Ziogas, S.I. Khan, and M.H. Rasbid. Some improved forced commutated cyc1oconverter structures.
IEEE Trans. Ind. Appl., IA-21(5):12424253, Sep./Oct. 1985.

[49] S. Miwazawa, F.Nakanura, and N. Yamada. A new method for developing the control scheme of a cvvf
cycloconverter. IEEE Trans. Ind. Elect., IE-33(3):304308, Aug. 1986.

[50] G.M. Brown and B. Szabados. A flexible hybrid-firing controller for cycloconverter devices. IEEE Trans.
Int. Elect., IE-33(3):297303, Aug. 1986.

101
[51] N.K. Sharda, R. Mulehandani, and R. Arochiasamy. Microprocessor control of cycloconverter: Techniques
for implementation and testing. IEEE Trans. Ind: Elect., IE-33(3):281291, Aug. 1986.

[52] LA. Dessaint, H.Nakra, G. Olivier, and D. Mukhedkar. A multimicroprocessor-based controller for a
static frequency changer. IEEE Trans. Ind: Elect., IE-33(3):292296, Aug. 1983.

[53] WA. Hill and L. Misehke. Control strategy for an icebreaker propulsion system. IEEE IAS Conf. Rec.,
pages 692698, 1989. Part I.

[54] I. Kawaguchi, H. Ikeda, S. Kaga, Y. Ogihara, M. Matsukawa, and S. Tada. Suppression of harmon-
ics resonance using active filter in cycloconverter system. International Power Electronics Conference
(IPEC) Conf. Rec., pages 809816, 1990.

[55] R. Hagmann. Ac-cycloconverter drives for cold and hot rolling mill applications. IEEE /AS Conf. Rec.,
n:11341140, 1991.

[56] W.A. Hill, E.Y.Y. Ho, and I J. Neuzil. Dynamic behavior of cycloconverter system. IEEE Trans. Int.
Appl., 27(4):750755, July/Aug. 1991. 1991.

[57] H. Hakata, S. Tatara, R. Kurosawa, C.P. Lemone, and H. Hosoda. Application strategies for ac rolling
mill drives. IEEE-IAS Conf. Rec., pages 11411147, 1991.

[58] S.I. Khan, M.H. Rashid, and P.D. Ziogas. Analysis and design of improved three to single phase cyclo-
converter. IEEE-IECON Conf. Rec., pages 603610, 1988.

[59] D. Ziogas, S.I. Khan, and M.H. Rashid. Analysis and design of forced commutated cycloconverter
structures with improved transfer characteristics. IEEE Trans. Ind. Elect., IE-33(3):271280, Aug. 1986.

[60] A. Alesina and M. Venturini. Analysis and design of optimum-amplitude nine-switch direct ac-ac con-
verters. IEEE Trans Power Elec., 4(1):101112, Jan. 1989.

[61] J. Oyama, T. Higuchi, E. Yamada, and T. Lipo. New control strategy for matrix converter. IEEE-PESC
Conf. Rec., pages 360367, 1989.

[62] N. Burany. Safe control of four-quadrant switches. IEEE IAS Conf. Rec., pages 11901194, 1989. Part
I.

[63] C.L. Neft and C.D. Schauder. Theory and design of a 30-hp matrix converter. IEEE Trans. Ind Appl.,
28(3):546551, May/June 1992.

[64] L. Huber, D. Borojevic, and N. Burany. Voltage space vector based pwm control of forced commutated
cycloconverters. IEEEIECON Conf. Rec., 1:106111, 1989.

[65] L. Huber, D. Borojevic, X.F. Zhung, and F.C. Lee. Design and implementation of a three-phase tothree-
phase matrix converter with input power factor correction. IEEE-APEC Conf. Rec., pages 860865,
1993.

[66] L. Huber and D. Borojevic. Space vector modulation with unity input power factor for forced commu-
tated cycloconverters. IEEE IAS Conf. Rec., 1:10321041, 1991.

102
[67] A. Ishiguro, K. Inagaki, M. Ishida, S. Okuma, Y. Uchikawa, and K. Iwata. A new method of pwm
control for forced commutated cycloconverters using microprocessors. IEEE-IAS Conf. Rec., pages 712
721, 1988.

[68] G. Roy and G.E. April. Direct frequency changer operation under a new sca1ar control algorithm. IEEE
Trans. Power Electron., 6(1):100107, Jan. 1991.

[69] A. Ishiguro, T. Furuhashi, and S. Okuma. A novel control method for forced commutated cycloconverters
using instataneous values of input line-to-line voltages. IEEE Trans. Ind: Elect., 38(3):166172, June
1991.

[70] P. Tenti, L. Malesani, and L. Rossetto. Optimum control of N-Input K-Output matrix converters. IEEE
Trans. Power Electron., 7(4):707713, Oct. 1992.

[71] D.G. Holmes and A. Lipo. Implementation of a controlled rectifier using ac-ac matrix converter theory.
IEEE Trans. Power Electron., 7(1):240250, Jan. 1992.

[72] D.G. Holmes. A unified modulation algorithm for voltage and current source inverters based on ac-ac
matrix converter theory. IEEE Trans. lnd. Appl., 28(1):3140, Jan./Feb. 1992.

[73] W.H. Kwon and G.H. Cho. Analysis of static and dynamic characteristics of practical step-up nine-switch
matrx converter. IEE Proceedings-B, 140(2):139146, March 1993.

[74] H. Rashid Muhammad. Electronica de Potencia. Prentice Hall, tercera edition, 2004.

[75] Giri Vetankaranaman. Three-phase vector switching converters for power flow control. IEE Proc.-Electr.
Power Appl., 151(3):321333, May 2004.

[76] L.A. Zadeh and C.A. Desoer. Linear System Theory. McGraw Hill, 1963.

[77] H.K. Messerle. Dynamic Circuit Theory. Pergamon Press, 1965.

[78] Luis Carlos Herrero de Lucas. Estudio y simulacion de convertidores ca-ca directos 3f x 3f en matriz de
conversion controlados mediante sliding en fuente de corriente. PhD thesis, Universidad de Valladolid,
2006.

[79] Patrick W. Wheeler, Jose Rodrguez, Jon C. Clare, Lee Empringham, and Alejandro Weinstein. Matrix
converters: a technology review. IEEE Trans on Industrial Electronics, 49(2):276288, April 2002.

[80] A. Alesina and M. Venturini. Analysis and design of optimum-amplitude nine-switch direct ac-ac con-
verters. IEEE Trans Power Elec., 4(1):101112, Jan. 1989.

[81] J. Oyama, T. Higuchi, E. Yamada, and T. Lipo. New control strategy for matrix converter. IEEE-PESC
Conf. Rec., pages 360367, 1989.

[82] N. Burany. Safe control of four-quadrant switches. IEEE IAS Conf. Rec., pages 11901194, 1989.

[83] D.G. Holmes and A. Lipo. Implementation of a controlled rectifier using ac-ac matrix converter theory.
IEEE Trans. Power Electron., 7(1):240250, Jan. 1992.

103
[84] TMS320F2810, TMS320F2811, TMS320F2812, TMS320C2810, TMS320C2811, TMS320C2812 Digital
Signal Processors. Data Manual, Literature Number: SPRS174O April 2001 - Revised July 2007.

[85] TMS320x281x DSP Analog-to-Digital Converter (ADC) Reference Guide. Literature Number:
SPRU060D, June 2002 - Revised July 2005.

[86] TMS320x281x DSP Event Manager (EV) Reference Guide. Literature Number: SPRU065E, November
2004 - Revised June 2007.

104

S-ar putea să vă placă și