Sunteți pe pagina 1din 939

Jkob Millman

Arvin Gnzbd

HISPANO EUROPEA
--
BIBLIOTECA TECNICA

MICROELECTRONICA

Jacob Millman, Bh. D.


Profesor Charles Batchelor, Emeritus
de la Columbia University

Arvin Grabel, Sc. D.


Profesor de Ingeniera Electrnica e Informtica
de la Northeastern University

sexta edicin
totalmente actualizada

EDITORIAL HISPANO EIJROPEA, S. A.


BARCELONA (ESPAA)
Coleccin dirigida por Alfonso EnseRa, Badias,
Doctor Ingeniero Industrial, Profesor de la E. T. S. I. I. !3.
Depadamento de Ingenieria Elctrica
de la Universidad Politcnica de Barcelona

0 de ia traduccin: Enrique Belza Valls. Ingemero Inds,r,al, ex Pro-


fesor Encargado de Curso de la E. T. S. ,. ,. de Barceiona

Es propIedad, 1993
0 McGraw-Hill, ,nc.

0 de la edicin en casteiiano. Editorial Hispano Europea, S. A. Sor,


i Fontesti, 6-8. 08021 Barceiona (Espaiia).

Quedan rigurosamente prohibidas. sin la autonzac,6n escrita de los t,-


tulares del Gopyrightaa, bajo las sanciones estab,ec,das en las Leyes,
la reproduccin tota, 0 parcial de esta obra por cualquier medio o pro-
cedimiento, comprendidos la reprografa y ei tratamiento informtico. y
la distribucin de ejemplares de e,,a mediante alquiler o prstamo pu-
blico% as como la exporiac~n o impotiacin de esos ejemplares para
su distribucin en venta fuera de, mbito de la Comunidad Econmica
Europea.

DepsIto Lega,. 8.17700-1993

ISBN: 84-255-0885-l

1.* reimpresin: Junio 1993

2. ieimpres,n: Octubre ,995

LIMPERGRAF. S. L. Carrer del Riu, 17 (Na 3) 08291 Ripollet


r

MICROELECTRONICA
Indice

l- 1. Fuerzas. campos y cncrga 41


- Partculas cargada 41
Prefari 21 ~ Intensidad de campo 42
~ Potencial 42
Prlogo. Rreve historia de ta electrnica 2s ~ Concepto de barrera dc encrgw pi>-
tcncial 44
Antecedcntcs 25
La cra del tubo dc vaco 26 l-2. LS conduccin cn IU mctaIc\ 44
- Dcxuhrimicnto dc los tubos de vaco 26 - Dcnaidad de corriente 46
~ Aplicacionc~ iniciales 27 ~ Conductividad 47
-Industrias electrnicas 27
-Componentes 27 l-3. El xmumductor mtrinaco 4x
- Comunicaciones 28 -El hueco 48
~ Cumputadorcr (clculo) 28 ~ Conducci6n cn amiconductores in-
-Controles 29 trn\CCo\ SO

~ Anlisis y teora 30 1.4. Scmiconductwcs cxtrnaccos SI


- Semiconductores tipo n 52
La era del transistor 30 ~ Semiconductores de tipo p 52
~ Decuhrimicnt<> dci transisto, dc unin h,p<>- ~ Ley dc accin dc masas 53
lar. 31 -Concentracin de portadores 53
~ Invencin del circuito integrado 31 ~ Generacin y rccomhinacin dc car-
-Microelectrnica 32 ga, 55
~ Transistor dc cfccto campo 33
~ Circuitos integrados digitalc> 33 .5. Variaciones cn las propxdades del sili-
~ Circuitos analgico\ 34 cio 55
-Tcnicas de fabricacin 34 -Concentracin intrnseca 55
~ Movilidad 56
~ Indu,tria> dc la comunicaci6n y c<mt,<>i 35 - Conductividad 56
-La industria del clculo 35
-6. Difusin 56
El futuro 36 - Rclacrn de Emstcin 51
~ Corriente total 51

1.7 Semlconductorca graduada 5x


PRIMERA PAKIE - Ley de accin dc masas 5Y
~ Unin abrupta cn circuito abierto SY

Keferrncia 60
41 Temas dc rcyaso 60
6 Indice

2. El diodo de unin 61 3. Transistores de unin bipolares (BJT) 95

2-1. La unin en un circuir;, ahicrro 61 3-1. La fuente idcal de corriente controlada 97


~ Regin de la carga c>pacial 61 3-2. El transistor de unin 100
~ Comportamiento fsico de un tranis-
2-2. La unin pn polariada 63 tw bipolar 102
-Unin pn con po::wacin dlrecta 64
- Unin pn con po!al iacin inversa 64 3-3. Rcprcscntacin EbewMoll de BJT 104
-Contactos hmicw 64 - Ganancia dc corriente con gran seal 105
- La unin pn cn cortocircuito y en cir- -Modos dc trabajo del transistor 106
cuito abierto 65 ~ Concentracin de portadores minori-
- Grandes tcmionc\ directas 65 tanos 108

3-4. Caactcrsricaa en hasc comn 109


2-3. Caractersticas rcnsln-intensidad 65 ~ Caractersticas dc salida 109
2-4. Dependencia de la caracterstica V/l -Caractersticas dc entrada 111
con latcmperatura 68 - Efecto Early o modulacin del ancho
2-8. Diodos dc germanio 69 de la base 111
2-6. El diodo como elemento de un circuito 69
-Eldiodoidcal 69 3-5. Configuraan cn emwx cumun (CE) 112
- Concepto dc recta dc carga 70 ~ Las caractersticas dc salida 1 12
-Las caractersticas de entrada. 11s
2-7. Modelos de gran seal 71 ~ El modo activo invcno 11s
-Anlisis dc circuito> dc diodos mill-
ando el modelo de gran seal 72 3-6. Corte y saturacin 116
-Corte 116
2-8. Aplicaciones clcmcntalcs dc los diodos 75 - Corte CB cl tran&ror mvcrtido ll
- Rectificadores 75 -La regin de saturaci6n en rkor
~ Circuitos cortadores y fijadores 76 comn 117
- Resumen de !cnsiones cn un BJT 119
2-Y. Modelos dc diodos de pequea scfial 80
-Capacidad de difusin 83 3-7. Modelosdccontinua IlY
-Capacidad de transicin 84 3-8. El transistor de unin bipolar comu in-
terruptor 126
2.10. Tiempos de conmutxxn del diodo dc - Velocidad dc conmutacin del BJT 128
unin 84
3-Y. El transistor dc unin bipolar wmc am-
2-11. Diodos Zcncr 86
plificador 12Y
- Multiplicacin dc avalancha 86
~ Notacin 131
-Ruptura Zcncr 86
~ Modelos de diodo Zcncr 87 3- 10. Modelo BJ, para pcqu& suial 132
- Un reguladur Zcncr 87 ~ Modelo de baja frecuencia 133
- Caractcr\tica\ de temperatura 88
3-l 1. El BJT como diodo 138
2-12. Dioda de barrera Schottky 88 3-12. El pardeemisoracoplado 140
2.13. Diodo de unin cn escaln 89 3-13. Limitaciones cn Ios tnm~istorcb 142
~ Capacidad dc dcplcxin x9 ~ Corricntc dc colector mxima 142
-Expresin analtica de la conccnlra- - Mxima disipacin de potencia 142
cin de portadora minoritarios Yl -Mxima tensin de salida 143
- Descripcin del control de car@ de - Perforacin 143
un diodo 93 ~ Mxima tcncii>n nominal dc entrada 144
- Capacidad dc dIfusin Y4

Kefcrcncias 94 KCfcrcnclas 145


Tunas de repaso YS Temas de repa~ 14s
-
4. Transistores de efecto campo 147 5-l. Tecnologa de los circuita, integrados
monolticos (microelectrnica) 184
4-l. La fucntc idcal de curricntc con tensin 5-2. El proceso plana 186
regulada 147 ~ Crecimiento del cristal del sustrato 186
4-2. Transi\torc> de unin dc cfccto campo 149 - Crccimicnto cpitaxial 187
-Funcionamiento del JFET 150 ~ Oxidacin 187
- Fotolitografa 188
4-3. Caracterstica tensin-corriente de un -Difusin 1x9
JFET 151 ~ Implantacin de ionc\ 190
~ La regin hmica 152 ~Metalizacin 191
La regin de saturacmn <fc cstric-
cin 153 5-3. Fabricacin de transistores brpolares 191
~ Kuptura 154 - Fabricacin de transistores 191
-Corte 154 -Capa enterrada 193
~Fabricacin delpq 193
-1-4. Caracterstica de transferencia del JFET 154 - Transistora dc emisor mltiple 195
4-S. EIMESFEI 155 - El transistor Schottky 195
4-6. El MOSFETde acumulacin 155 ~ Transistores super-8 196
- Estructura del MOS de acumulacin 156
~ Comportamiento fsico del MOSFET 5-4. Fabricacin del FET 197
de acumulacin 157 ~ Fabricacin del NMOS dc acumula-
son 197
4-7. Caractersticas tensin-corriente de un - Autoaislamiento 197
MOSFETdc acumulacin 157 ~ Transistores NMOS de dcplexin 198
- Expresiones analfticas dc Ias carac- -Largoyanchodepuerta 198
tcrsticas tensin-corriente 157 - Fabricacin de JFET 199
-Regin hmica. 157
-Regin de saturacin 158 j-5. Tecnologia CMOS 200
~ Caractersticas del MOSFET dc acu-
5-6. Diodo> monolticos 200
mulacin decanalp 160
-Caractersticas del diodo 202
~ Comparacin entre traistrcs
PMOSyNMOS 161
5-7. Contacto metal-semiconductor 202
4-X. El MOSFET dc dcplcxin 161 5-S. Resistenciasintegradas 202
4-9. Smbolos dc los circuitos MOSFET 162 ~ Resistencia pelicular 203
4-10. Anlisis en continua de los FET 163 -Resistencias difundidas 203
- Recta dc polarizxin ~ Resistencias de ioncs implantados 204
163
~ Rcsistcncias epitaxiales 205
4-l 1. El MOSFET como resistcnaa 166 - Resistencias de estriccin 205
~Resistcncias MOS .. .. . 206
4-12. El FET como interruptor 168
4-13. El FE? como amplificador 172 - Resisrcncias de pelcula delgada 206
4-14. Modelos FET de pcquciu seal 173
-El modelo de baja frecuencia 114 5-9. Condcnaadorcs integrados 207
~ Modelo dc alta frccucncia 178 ~ Condensadores dc unin 207
-Condensadores MOS y de pelcula
4-15. Dispositivos CMOS 178 delgada 207

Referencias 180 5.10. Empacado de circuitos integrados 208


Temas dc rcpaw 1x1 5-l 1, Caractcrsticaa dc los componcntca in-
tegrados 209
j-12. Disposicin de los circuitos microelec-
trnicos 209
5. Fahricac%n de circuitos integrados 183 - Circuitor bipularcs 210
8 India

-Circuitos MO.5 210 - La puerta transmisin 245


- Cruces 210 - Familias lgicas CMOS 246
-Trazado con computador 211
6-10. Elinversor BJT 247
Referencias 211 ~ Inversor de transistor Schottky 248
Temas de repaso 211
h-11. La puerta TlL NAND 248
-Actuacin del transistor de entrada 250
-Tiempo de almacenamiento bajo 251
SEGUNDA PARTE -Diodos de enganche de entrada 251

Circuitna y aiatemas 6-12. Etapa de salida TTL 252


digitales - La etapa en totcm 252
-Salidadetrcs estada 254
6. Circuitos lgicos bsicos (digitales) 215 ~ La caracterfstica de transferencia 254

6-l. El sistema binario 215 6-13. Familias IgicasTTL 254


h-2. Algebra de Boole 217 6-14. Circuitos lgicos de emisor acoplado 256
- Sistemas lgicos 218 ~ Puerta bsica OR/NOR de emisor
~ La puerta OR 218 acoplado (ECL) 257
-LapucrtaAND 220 ~ La tensin de referencia VR 25X
- Puerta NOT (inversora) 221 ~ La caracterstica de transferencia 259
~ Funcin dc inhibicin (y habilitacin) 222 ~ Mrgcncs de ruido 262
~ La caractetistica NOR 262
h-3. Puertas OR-exclusiva, NAND y NOR 222 ~ Laetapa de salida 262
~ PucrtaOR-exclusiva 222 - Topologsa ECL OR/NOR 263
- Leyes dc Morgan 224 ~ Disipacin de potencia 263
- La puerta NAND 226 -Nmero de salidas (fan-out) 264
~ ,.a puerta NOR 226 -Familias ECL 264

h-4. (aractcrsticas dc las pucrtaa Ijjcas 227 h-15. Comparacin entre familias lgicas 264
-EI inversor ideal 22X
- Caracterstica dc transfcrcncia del inm Referencias 265
YCISCX real 228 Tema> dc repaso 266
~ Margen dc ruido 230
- Fan-out (salida cn abanico) 231
-Fan-i 231 7. Combinacin de sistemas digitales 269
-Disipacin dc potencia 231
~ Velocidad dc actuacin 232 7-I. Montajes dc puertas normalizadas 269
- Criterios de diseo 272
6-S. El inversor NMOS 233
-La carga saturada 234 7-2. Sumadores binarios 272
-Carga lineal (no saturada) 236 ~Scmisumador 272
~ La carga dc dcplerii>n 237 ~ Funcionamiento en paralelo 273
-Resumen 239 - Sumador completo 274
~Sumadorcs MS1 275
6-6. Retardo de propagacin de un inversor ~ Funcionamiento en serie 277
NMOS 239
h-7. Puertas Igicas NMOS 241 7-3. Funciones aritmtica 278
6-8. El inversor CMOS 243 ~ Sustraccin binaria 27X
h-9. Puertas lgicas CMOS 244 - Unidad aritmtica wg~ca (ALU);
-LapucrtaNOR 244 Funcin gcncrador 279
La puerta NAND 245 -Multiplicadores binarios 279
___
Indice 9

7-4. <amparador digital 280 311


7-S. Comprobador gcncradix c paridad 282
I-6. Decodificador dcmultplex 2X3
~ Sistema de codificacin binark-deci-
mal (BCD) 283
~ Dccodificadrr dc HCD a decimal 2X3 X-I. Una memoria dc 1 bit 313
~ Drmultplex 285 ~ Clula de almacenamiirnto~de 1 bit 313
- I>ccdificadr-Demult~l~~ dc 4 a 16 ~ Un interruptor sin oscilaciones 314
Iincas 285 - Flicatahlc dc fijacii>n 315
- Dccodificadi>r-excitador de lmpara 286
- Dcmultplcn de orden superior 286 X-2. Propicdadcs del circuito dc un biestable
de tijacin 315
7-l. Multplex-selector dc dato, 286 X-3. El FLIP-FLOP SR tcmporirad 317
~ Concrsln paralelo a scric 288 ~ Sistema secuencial 318
~ Seleccin secuencial dc datos 288 -EI FLIP-FLOP SK 319
~ Multplcx dc orden supuior 289
~ Igica combinacional 289 X-4. FLIP-FLOP tipa J-K, Ty LI 320
~ El FLIP-FLOP ./-K : : : 320
7-8. Codificador 290 ~ Pucsta a 1 y a 0 (Prat and clear) 321
-b.tapas dc salida 292 ~ Condicin de auto-oscilacin 321
- Codificador cou prioridad 293 - FLIP-FLOP J-K ordenador-\Ceuidor 322
~ El FLIP~FLOP tipo 323
7-9. Memoria de si>lr> Icctwa (ROM) 294 ~ El FLIP-FLOP tipo T 323
~ Convertidores de cdigo 295 ~Resumcn 323
- Programacin del ROM 296
- ROMS NMOS 2Y6 X-S. Rcgistradorcs dc dcsplazamicnto 324
~ Registrador de entrada-serie, salida
7-10. Direccinad<r hidmcns~onal de un pualclo (SIPO) 325
ROM 2YY -Registrador de entrada y salida cn
~ Ampliacin de la informacin 300 serie (SISO) 326
- Ampliacin del dircccionado 300 -Rcgistradr de entrada y salida en
serie (PISO) 326
7-l 1. Aphcacioner de los ROM 300
~ Registrador de entrada y salida cn pa-
- Tablas dc rccurrcncia 300
ralelo (PIPO) 326
~ Gcncradores de secuencia 301
- Gcncrador dc ondas 301 - Rcgistradorcs dc dcsplaramient a
-Imagen visible de sictc ~gmcntos 302 derecha e izquierda (bidireccional) 327
~Lgicacombinatoria 303 -Lnea de retardo digital 327
- Gencradw de caracteres 303 -Gcncrador dc sccucncias 327
-Almacenamiento dc programas 303 -Contador dc anillo registrador de
desplazamiento 32X
7-12. ROMS programeblrs (PROMS) 304 - Contador dc anillo Johnson 329
7-13. PROM borrahlc 304
-EPROMS. 304 8-6. Contadores asncronos 329
-EPROMS 306 - Cntador asncrono 329
- Contador rcvcrsihlc 331
i- 14. Lgica dc dkposicin programable 306 ~ Contador divisor por N 332
7-15. Disposiciones lgica, programables
(PLA) 307 X-7. Contadores sncronos 333
~ Programacin de un PLA 309 ~ Transporte en serie 334
- Transporte cn paralclo 33s
-Contador sncrono rcver&ible con
transporte en paralelo 33s
10 Indice

-Contador de dcadas sncrono 335 - Funcionamiento bsico del CCD 362


-Electrodos por bit 364
s-8. Aplicaaones dc los contadores 336 -Frecuencias mxima y mnima de
-Contador directo 331 funcionamiento 364
- Diviwr por N 337
- Mcdici dc frecuencia 338 9-8. Estructuras CCD 365
- Medicin de tiempo 33x - CCD de dos fases 365
~ Medicin de distancias 338 ~ Estructuras dc entrada y de salida 367
~ Medicin de velocidad 338 -Organizacin dc una memoria CCD 367
~ Calculadora digital (computadora) 339
9-9. Lgica de inyccci integrada 368
Referencias 339 -Fusionado de ciernentos 369
Temas de TCI)~SO 339 ~ inyeccin de corriente 370
- Icrsor 371
~ Puerta NAND 312
- Puerta NOK 373
9. Sistemas integrados a muy gran escala 341 - FLIP-FLOP 373

9-l. Rcgistradorc de dcsplazamicnto MOS 9.10. Microprocesadore y microcomputa-


dinmicos 341 dora 373
-Inversor MOS dinmico 342 -Microcomputadores 375
~ Clula dc memoria bifsica de rela- - Microcomputador de un chip 375
cin 343 - Aplicaciones 376
~ Aplicaciones 344
~ Registrador de dcrplazamicnto MOS Referencias 317
esttico 344 Temas de repaso 377

Y-2. ldapas del rcgistradrx de dcsplazamicn-


ttr de no relacin 345
-Clula de registrador dinmico de dos TFRCEKA PAKTE
fases y de no relacin 345
-Etapa dc rcgktrador de dcsplaza- Circuitos y sistemas
miento dinmico CMOS 346 arnplificadnres

Y-3. Lgica domino CMOS 347 10. ,hpas amplificadoras bsicaa baja fre-
9-4. Memorias de acceso aleatorio (KAM) 349 cnencia 383
-Seleccin lineal 349
~ Direccionado bi-dimensional 350 10-l. Ondas para una nrrada ~eno~ual 384
~ Organizacin bsica RAM 3x1 -Notaciones 38
~Ampliaci dc la memoria 352
10.2. Punto de funcionamiento del BJr 387
v-j. Clulas dc memoria de Icctura-escritura 352 ~ Estabilidad dc polarizacin 388
-RAM MOS crttico 353
- C6lula RAM CMOS esttica 354 10.3. Polatizaci del BJT para circuitos
-Clula RAM dinmica dc 4 MOS- integrados 3x9
FET. 355 ~ Rcsistcncia dc salida 390
CClula RAM dinmica dc un MOS- - Repetidores dc corriente 391
FEI. 356
- Organizacin del chip KAM din- 10.4. Fuente dc corriente Widlar 392
m,co 357 - Variacioncb dc temperatura 394

9-6. Clula\ RAM bipolarc\ 360 10-s. Fucntcs dc cok!tc dc tres trank
Y-7. Dispositivos acoplado\ L carga (CCD) 362 toies 39s
--
India ll

10-6. Polarizacin del BJT con componcn- - Anlisis dc la etapa amplificadora


tes discretos Anlisis 3Yh FE7 generalizada 420
~ Acoplamiento capacitivo 396 - La ctapa cn fuente comn 422
-Anlisis en continua 398 -La configuracin cn drenaje co-
- Incremento de corricntc por variar mn 422
R, 399
~ Incrcmcnto de corriente por variar 10-16. Amplificadorc\ BJT cn cascada 423
I,,, 400 ~ Etapas FEien cascada 426
~ Incremento de la corricntc por va-
riar v,,, 400 10-17. Etapas dc transistwes mixtas (com-
- Incrcmcnto total de corriente 400 &und) 426
Configuracin CC-CC (Darling-
10-7. Diseo de polarizacin con compo- to) 427
ncntcb discretos 401 ~ Conexin CC-CE 427
- Consideraciones de diseo 403 ~ Conexin CE-CB (Casado) 427

IV-W. Polarizacin del FET 404 10-18. El amplificador difcrcncial 428


-Fuentes decorriente -Modo diferencial 429
404
~ Circuito polarizado de cuatro re- -Modo comn 429
sistcncias 406
10-19. Anlisis de amplificadores diferen-
ciales 430
10-Y. Anlisis lineal de circuitos dc transis-
~ Ganancia A,, del modo diferen-
tIeS 408
cid 430
10-10. Amplificador en emisor comn 410
- Ganancia A,, del modo comn 431
- Ganancia o amplificacin dc co- prelacin de rechazo del modo
rriente A, 410
comn 431
-Resistencia de entrada R, 411
- Salida para seales de entrada ar-
~ Ganancia o amplificacin dc tcn-
bitrarias 432
sin A, 411
~ Efectos de la re&tencia de fuente 434
~ Resistencia de salida 412
~ Resistencias de entrada y de sa-
lida 434
10-I 1. El seguidor de emisor 413
- La ganancia de corriente 414 10-20. Amplificadores diferenciales FET 434
~ La resistencia dc entrada 414 10-21. El amplificador operacional (Amp-
- Ganancia de tensin 415 Op) 435
-La resistencia de salida 415 -EI Amp-Op ideal 436
- Etapas Amp-Op invcrsoras prc-
10-12. El amplificador en base comn 416 ticas 437
10-13. Comparacin entre configuraciones - La etapa no inversora prctica 439
del amplificador BJT. 416
~ Configuracin cn emisor comn 10-22. Aplicaciones elementales del Amp-
(CE) 416 op. 440
-Configuracin en colector comn - Amplificador sumador 440
(CC) 416 - Suma no inversora 441
~Configuracin cn base comn - Convertidor de tensin a corrien-
(CR) 417 te (amplificador dc transconduc-
tan&) 441
10-14. Amplificador en emisor comn con - Convertidor corriente-tensin
una rcsistcncia dc emisor 417 (amplificador dc transresistencia) 442
10-15. Etapas amplificadoras FET 418 ~ Integradores 443
- Circuiros equivalentes de peque-
a seal 418 443
Temas dc repaso 444 - Cascada Emisor Comn-Emisor
Comn (Ce-Ce) y fuente comn-
fucntc comn (Cs-Cs) cn alta frc-
ll. Respuesta en frecuencia de los rmplificr- cucncia . 480
dores 447
1 l-ll. El amplificador cascodo (CE-CB) 485
ll-l. Caractcrsticaa dc rcspucsta cn hc- 11-12. El amplificador operacional a alta
cuencia 447 frecuencia 488
~ Considcracioncs sohrc fidelidad 448 ~ La etapa no~inversora 488
- Rcspucstas en alta frecuencia 449 - La etapa inversora 489
- Respuesta en baja frecuencia 451
-Respuesta total 451 11-13. EL efecto de los condensadores de
~ Ancho de banda 453 acoplamiento y de paso 490
-La rcspucsta completa en baja
11-2. Respuesta dc un amplificador un frecuencia 491
escaln 453 ~ Etapas en cascada abaja frccucn-
- Tiempo de subida 454 aa 493
-Pendiente 455 - Resurwn 493
Pruebas con onda cuadrada 456
Refcrcncias 494
11-3. Ganancia dc corriente de cortucir- Temas dc repao 494
cuita cn emisor comn 456
-El parmetro f, 458
12. Amplificrdores realimentados 497
11-4. La funun gananaa gcncralizaa 459
- Determinacin del nmero de po- 12-1. Clasificacin y reprcscntacin dc los
los y dc ceros 460 amplificadora 498
La aproximacin del polo domi- - El amplificador de tensin 498
nante 460 ~ El amplificador de corriente 499
- El convertidor Tensin-Corriente
1 l-5. Rcspucsta cn alta frccucncia de una o Amplificador dc Transconduc-
ctapa en emisor comn 462 tan& 499
~ La funcin dc transferencia 463 ~ El convertidor Corriente-Tensin
- Equivalente hbrido-n unilateral 463 Amplificador de Transimpedan-
-Impedancia de entrada de Miller 464 cia 499

1 l-6. Producto ganancia-ancho dc banda 465 12-2. El concepto de realimentacin 500


11-7. Etapa cn fucntc comn a &a fre- - La fucnte dc seal (amada) 501
cuencia 466 -La scal dc salida 501
11-8. Scguidorcs dc emisor y de fuente a -Larcddcmuestreo 501
altafrecucncia 467 - 1.a red de Comparacin o Suma-
~ Ganancia de ten& 467 dora 502
- La impedancia dc sal& Z,, 469 ~ La red de realimentacin 503
La impedancia dc cntrede % 470 El amplificador bsico 503
- El seguidor dc fucntc 472 Topologas del amplificador reali-
mentado 503
11-9. Mtodo de la constante de tiempo
para hallar la rcspucsta 473 12-3. El amphficador realimenmdo idcal 504
El coeficiente 67, 473 ~ Relacin de rcforno o ganancia del
El coeficiente a, 478 lar 506
- Supuestos fundamentales 506
1 l-10. Respuesta cn frecuencia dc ctapas
en cascada 4HtJ 12-4. Propiedades de amplificadorca con
Indice 13

rcalimcntacin negativa 507 mltiple lazo 546


- Dcscnsihilidad 507 ~ Amplificador con realimenracmn
~ Distorsin no lineal SOS positiva-negativa 547
~ Keducci6n del ruido SI1 -Estructura McMillan 548
- Rcalimcntacin seguidora SSO
12-S. Impedancia cn amplificadorc!. rcali- - Rcalimcntacin salto de rana 550
mentados 512
- Rcsi&tcncia de entrada 512 Kcfcrcncias SSO
-1mpcdancia de salida 513 lcme de repax, 551
-Frmula dc la impedancia dc
Blackman 514
13. I!ktahilidad y respuesta de los amplificado-
12-6. Propiedades dc la\ topologas de am- res realimentados 553
plificadora rcalimentadoa 516
~ El amplificador paralelo-paralelo 516 13-l. Efectos de la rcalnnentacmn sobre cl
~ El amplificador serie-serie 517 ancho de banda S53
~ El amplificador paralelo-serie 518 ~ Funcin dc dos polos 554
- El amplificador serie-paralelo 518 ~ Funcin de rrcs polo\ SS4

12-7. Anlisis aproximado dc un amplifica- 13-Z. Estabilidad 555


dorrcalimcntado 519 ~ Definicin dc la cstabdidad 556
~ El amplificador sin realimentacin 519 - Estabilidad en amplificadores rcil-
-Plan general dc anlisis 520 limcntados 557

12-X. Anlisis general dc amplificadorc~ 13-3. Prueba dc cstahilidad 557


realimentados 523 ~ Criterio de Nyquist 558
-Ganancia (relacin dc transfcrcn- -Margen de fase 558
cia) con realimentacin 524 ~ Margen dc ganancia ssx
~ Proceso de anlisis 526 ~ El diagrama dc Bode 560

12-Y. Ms sohrc la impedancia cn amplifi- 13-4. Compensacin 563


cadorcs rcalimentados 530 ~ Compensacin por polo dominante 564
12-10. Triple realimentacin en paralelo 532 ~ Cancelacin polo-ccru 565
~ Las impedancias dc entrada y de -Una notapara ellector. 566
salida 534
- Opciones dc disee 536 13-5. Respuesta en frccucncia de amplifi-
cadores realimentados. Funcin de
12-l 1. El par paralel-scnc 537 transfcrcncia de doble polo 567
- Rcsistcncias de entrada y de sali- ~Modelodc circuito 56X
da ~ Respuesta en frecuencia 569
538
- Respuesta a un escaln 569
12-12. El par serie-paralelo 53Y 13-6. Margen de fase del amplificador rea-
- Impedancias de entrada y dc su- limcntado de dos polos 572
lida 540 13-7. Respuesta del amplificador realimrn-
tado de tres polos 576
12.13. El triple cn \cnc 541 13-8. Anlisis aproximado de un amplifica-
- Impedancias de entrada y dc sall- dorrealimentado multi-polo 576
da 542 -Margen de fase 578
-El polo dominante 57x
12-14. Anlisis general dc amplificadorc~
realimentados multi-etapa S43 13-Y. Determinaan apronm~ada de 105 pw
12-15. Amplificadora realimentadw de los en lazo abierto S7Y
13-10. Ms sobre la compensacin 588 - Compensacin interna 621
-Separacin de polos 589 ~ Compensacin adaptada 621
- Compensacin por capacidades - Compensacin por efecto Miller 621
en paralelo 591 -Cancelacin polo-cero 625
-Anlisis del lugar de las races
(opcional) 591 14-9. Ritmodevaiacin 626
- Resumen 592 ~ Efecto del ritmo de variarin whre
una seal de entrada 627
Referencias 592
Temas de repaso 593 14-10. Circuitos BIFETy BIMOS 628
14-l 1. Amplificadores operacionales de
tres etapas 629
14-12. Otros tipos dc amplificadores pera-
14. ~aracterist~as del amplificador operacio- cionales 630
nal 595 ~ Estructura de etapa nica 630
- Amplificadores dc instrumcnta-
14-l. Estructura del amplificador operacio- cin 631
nal 595 - El Amplificador de Transconduc-
- Estructura de dos etapas 596 tancia Operacional (OTA) 634

14.2 La etapa de ganancia con carga activa 597 14-13. Amplificadores operacionales MOS 635
-Larectadecarga 597 -Circuitos NMOS 635
-Modelo de pequea seal 598 - Amp-Op CMOS 636
~ Limitaciones dc las fuentes de co-
mmte pnp 601 Referencias 638
Temas de repaso 638
14-3. Laetapadiferencial 602
- La relacin de rccharo del modo
comn(CMRR) 602
-Resistencia de entrada R, 603 CUARTA PARTE
- La ganancia en el modo diferencial
A UM. 603 Yrocesrdo de aeiiales
y adquisicin de datos
14-4. Dcsplaramiento de nivel 608
- El multiplicador VBt 609 15. Generacin y conforman de ondas 643

14-5. Etapas de bahda 610 15-l. Osciladora senoidales 643


14-6. Tensiones y corricnfcs offset 613 -Criterio de Barkhausen 644
~ Tcnicas universales de equilibra- - Consideraciones prcticas 644
d 616
15-2. Oscilador de cambio de fase 645
14-7. Medicion dc 10s paramctros e un - Funcionamiento a frccucncia vana-
amplificador operacional 616 ble 647
-Tensin offset de entrada V,, 617
-Corriente dc polarizacin de cn- 15-3. Oscilador dc puente dc Wien 647
trada 617 - Estabilizacin de la amplitud 648
- Ganancia de tensin diferencial cn
lazoA,=A,, 618 15-4. Forma gcncral dc un circuito os%
Relacin dc rcchaz del modo lador 649
comn 618 ~ Relacin de retorno 649
- Osciladores sintonirables LC 649
14-8. Respuesta en frecuencia y compcnsw
cin 620 15-5. Oscilador decristal 650
Indice 15

15-6. Multivihradores 652 16-I. Sefialca y procesado dc seales 687


- Multivihradorcs monoestablcs 654 16-2. Toma y retencin de informacin 691
-Multivibradorcs estables 657 16-3. Multplex y demultplex analgicos 693
- Dcmultplex analgico 694
15-7. Comparadores 658
15-X. Formacin de ondas cuadradas a par- 16-4. Convertidores de digital a analgico
tir dc unasenoide 660 (D/A) 694
- Sefialador de tiempo a partir dc ~ Convertidor D/A tipo escalera 696
una senoidc 661 Convertidor D/A multiplicador 697

:5-Y. Comparador regcncrativo (disparador 16-S. Convertidores de analgico a digital


Schmitt). 661 (AID) 698
~ Disparador Schmitt acoplado en ~ Convertidor A/D con contador 698
ClST 664 -Convertidor A/D por aproxima-
ciones sucesivas 700
15-10. Generadores de ondas cuadradas y - Convertidor A/D comparador cn
triangulares 605 paralelo (Flash) 700
~ Generadorch dc onda tkmgular 666 ~ Convertidor A/D de relacin o de
~ Modulacin del ciclo de servicio doble pendiente 702
(duty) 668
- Oscilador gobernado por tensin: 16-6. Circuitos de integraan y difercncia-
VCO (Voltaje Controlled Oscilla- cin 703
tor) 668 -Corriente de polarizacin y offset
en continua 703
I S-1 1. Generadores de impulsos 670 - Ganancia finita y ancho dr handa 704
- Multivibrador monoestahlc de re- ~ Circuito prctico 705
pmcin 67, - Integrador diferencial 706
Diferenciador 706
15-12. El temporizada integrado 555 672
~ El multivihrador astahlc 673 16-7. Clculo analgico electrmco 706
16-g. Filtrosactivos RC 708
15-13. Generadores de base dc tiempo 674 - Caractersticas idcales 708
- El barrido 674 ~ Caractersticas reales dc respuca-
- Gcncradores dc barrido 675 ta en frecuencia 710
- Especificacin dc filtros 710
15-14. Generadores dc ondas en escalera 677
- Contador de almacenamiento 678 16-Y. Funciones Buttcrworth y Chcbyshev 711
-Aplicaciones 678 ~ La funcin hicuadrada 711
-Polinomios de Butterworth 712
15-15. Modulacin de una onda cuadrada 680 -Filtros Chcbyshev 714
-Modulacin de amplitud 680 -Transformacin de frecuencia 716
- Modulador recortador 680
~ Demoduladores 682 16-10. Secciones del amplificador simple 717
~ Amplificador rccortador cstabili- ~Seccioncs paso-bajo 717
rado 683 -Secciones paso-alto 720
~ Modula& de ancho de impulso 683 -Secciones paso-banda 720
- Secciones de rechazo de banda 722
Referencias 684 -Redes pasa-todo 723
Temas de repaso 684 ~ Seccin general hicuadrada de
Fricnd 726

16. Acondicionamiento J conversin de 16-I 1. Secciones bicuadradas del Amp-Op


datos 687 mltiple 726
16 India

Ld seccin de filtro universal o de - Rectificador dc pucntc 756


estado variable 721 - Medidor rectificador 756
- Multiplicadores dc tcnsih 756
16-12. Filtros gobernados 1)or candcnsa-
dor .- .. 72Y 17-4. Filtros capacitivos 756
- Rc>istcncid simulada 729 ~ Tensin de salida en carga 758
- Intcgradorcs 730 - Circuito de onda completa 759
Etapa de ganan& 731 -Anlisis aproximado 759
- Secciones unipolares 711 ~ Filtros de entrada capacitiva e in-
ductiva 760
16-13. Amplificadores logartmicos y cxpo
ncncialcs 733 17-5. Suministro de potencia regulado 760
- Amplificador logartmico con ~ Estabilizacin 762
transistores aparejados 734
- Amplificador exponencial (anti- 17-h. Reguladores monolticos 762
logartmico) 736 17-7. Regulador de conmutacin 764
- Multiplicador logartmico 737 ~ Topologa del regulador dc conmu-
tacin bsico. 765
16-14. Multiplicadora analgicos 738 - Tensin de salida regulada 766
- Cuadrados y rdccs cuadradas 739 ~ Rendimiento 766
Modulador equilibrado 740 ~ El interruptor de potencia 766

16-15. Convcrtidorcs alterna-continua de 17-8. Topologas adicionales del rcguladur


.I
prras1on 740 dc conmutacin 767
- Limitador dc precisin 741 -Tensiones de salida negativas 76X
~ Rectificador rpido dc mcdla ~ Convertidor continua-continua a
onda 741 contrafasc acoplado por transfor-
- Rectificador de onda completa 742 mador 769
- Detector activo dc media 743 ~ Generacin de laa onda de con-
Detector activo de pico 743 mtac, 770

Retcrcncia\ 744 17-Y. Amplificadorc~ dc gran seal 772


lemas de repasu 745 17-10. Distorsin armnica 713
~ Distorsin de segundo arnrmco 773
- Generacin de armnicos dc r-
drn superior 775
QUINTA PARTE -Potencia de salida 775

I7- 1 1. CLdsificacin dc loa amplificadores 776


~ Clac A 776
- Clasc B 776
ll. Circuitos y sistemas de potencia 749 ~ Clase AB 777
~ Clase c 777
17-l. Conversin dc alterna a conunua 74Y
17-2. Kectificadores 750 17-12. Rrndimienro de un amplhcador
- Rectificador dc media onda 750 clase A 771
-Tensin dc diodo 751 - Rcndimtcnro dc la conversin 777
~ Corriente (0 tensin) alterna 752
-Regulacin 752 17-13. Amphficadore~ en ct>nrrafa\c (pusb
~ Rectificador dc onda completa 754 pull) clasc B 77x
~ Tensin inversa de pico 754 ~ Kcndimiento 779
-Disipacin 7x0
17-3. Otros circuitus dc onda completa 755 - Distonin 780
17-14. Funcionemiento cIasc AB 7X 1 C. Kesamc,, de teoril de circuitos x05
17-15. Amplificadores dc potencia integra-
dos. 782 c- 1. KCdC\IcSiStiYaS 805
17-16. Consideracioncr ti-rmicas 7x3 - Fuentes dc tcns;n y dc corriente 805
-Temperatura mxima dc la unin 783 - Resistencia 806
~ Kesistcncia trmica 783 ~ Ley de Kirchhoff de las corrientes 806
- Curva de reduccin dc la diaipa- ~ Ley de Kirchhoff de las tensiones 807
Cl<> 7x4 ~ Comhmacin de rcs~stcncias cn scric
y cn paralelo 810
Il- ll. Transisrorcs dc potencia dc cfecro
campo(VMOS) 786 C-2. Tcorcmas sohrc circuitos 811
- Caracterstica\ del VMOS 7X8 ~ Tcorcma dc superposicin 811
~ Aplicacirncs 788 ~ Teorema dc Thkvcnin 812
- Tcorcma dc Norton 813
Keferencias 789 ~ Anlisis por cl mi-todo nodal 814
Tunas de repaso 7x1) ~ Anlisis dc mallas 815

816
APENDICES X18
~ l+,I operador / 819
791
(-4 Anhsis simplificado dc una red scnoi+
A-l. Valor probable dc constantes fsicas 791 dal. x20
A-2. Factores de conversin y prefijos 792 ~ Rcactanc1a 820
~ Impedancia 821
- Admitancia x22
B. Eabricantes ) especificaciones de semicon- -Anlisis de rcdcs 822
dacfnrrs ~ Twrcms dc Milla X23

B- 1. Fahricantcs dc dnpounvos clcctrni- C-S. Excitacin exponencial X23


CS
B-2. Especificaciones del diodo dc >ilicio IN C-6. Rc\pucsta dc un circuito RCa un esca-
4153 ln 825
B-3 Espraficacioncs del transistor t>ip&r -Circuito RCpaso-alto 825
dc unin n-p-n dc silicio 2N2222A ~ Descarga de un condensador a travs
B-4. Espccificac;ones para cl transist<rr dc si- dc una rcsistcncia 827
licio dc unin, de ctccto campo, dc de- -Circuito RCpax-bajo 827
flexin y dc canal N 2N 4869
B-5. Espccificacioncb del transistor de sili- C-7. El diagrama asinttico de Bode X28
cios MOS de efcct<r campo, dc acumu-
lacin y canal-P 3Nlh3 C-X. Cudripoloa 832
B-6. Espccificacioncs dc la puerta NA-ND ~ Parmetm L x34
positiva TTI. Schottky dc baja potcn- - Parmetro h 834
cia (LS7410 <1 l.SS410) con salida en
totem C-Y. Grfico de recor,idu de la \cnai X38
B-7. Especificaciones del amplificador opc-
racional LM741
R-8. Especificaciones para cl trsnsisror cn
putcncia N-P-N dc sihcio 2N3671
B-9. Especificaciones dc 105 dispositivo, de ll. Preblems,s x43
transistorc~ de uso general CA 3043 y
CA 3046 803 E. Solacinnes de una seleccih de problemaa YSI
Sobre Sos autores

Jacob Millman es profesor emrito de la Universidad de Columbia donde ocup la ctedra Charles
Batchellor de electrnica. Obtuvo sus grados B.S. (1932) y Ph. D. (1935) en Fsica, por el Instituto
Tecnolgico de Massachusetts. Su primer ao de graduado lo pas en Munich, Alemania (1932.1933).
Ens ingenieriaelctricaen el <<CityCollege de Nueva York (1936.1941 y 1946.195 1) y cn Columbia
(1952-1975). Durante la segunda guerra mundial trabaj en el desarrollo de sistemas de radar en el
Radiati Laboratory del MIT (I 942.1945).
El Doctor Millman es autor o coautor de ocho libros de texto; ,%ctr-orrics (1941 revisado en 1952);
Pulse and Di,qiral Ciruits (1956); Va< uum uhe and Smi~ondumw Ektr-anis (1958): Puiw, Digitai,
and Swirchiq Wuwjbrnzs (1965); Ektronics Deviws urrd Ci~wuits (1967); Inre~ruted Elrctronics
(1972): Elecironic Fundamentals and App1icarion.r (1975); Microele~~tronics (1979). Estos libros han
sido traducidos a dier idiomas.
El profesor Millman es colaborador y miembro vitalicio del IEEE y colaborador de la Ameritan
Physical Societya>. Recibid la &reat Tachas Award de la Universidad de Columbia (1967), la
Education Medaba del IEEE (1970) y fue propuesto para el Centenial Hall of Fame del IEEE como
uno de los mejores profesores de Ingeniera Elctrica de todos los tiempos.
Ha pronunciado numerosas conferencias fuera de los Estados Unidos: en Italia, Espaa, Israel, Grecia,
Brasil, Uruguay, Alemania, Holanda y Francia.
ArvilGrabel haejercidodesde 1964enlafacultadde laNortheaarn Universityx siendoactualmentc
profesor de Ingeniera Elctrica y de Computadores. Obtuvo los tres grados de la LJniversidad de Nueva
York. Como instructor de Ingeniera Elctrica ha enseado en el New York University Graduate Center
en los Laboratorios Bell. Ha sido profesor invitado de la Universidad de California, cn Santa Brbara y
en la Kooper Union para cl Progreso del Arte y de la Ciencia. El libro Busir, /?/cur&l &qi~rwrin~
del que es coautor, est ya cn su quinta edicin, y ha sido traducido 11seis idiomas.
Prefacio

El principal objetivo de este libro es el de servir de texto en los cursos de electrnica moderna para los
. ,. .I .<
esrua~antes ae mgemena elctrica y de computadores. Nuesrra mrenaon es ta de remarcar los conceptos
fundamentales en los que se apoya la operacin fsica, el anlisis y el diseo de circuitos integrados y
sistemas. Compaginando este objetivo con una diversidad de aplicaciones esperamos abarcar tanto la
sustanciacomolaesenciadelacuestin.Laextensinyprofundidaddel tratamientohacequeestevolumen
sea tambin un valioso auxiliar para ingenieros, cientficos y profesionales de campos afines ala ingeniera
elctrica y de calculadoras.
El texto, dividido en cinco secciones principales, est organizado de forma que suponga la mxima
tlexibilidad pedaggica sin perder la continuidad. De esta forma, cada profesor puede adaptar el material
a un cierto nmero de cursos distintos que satisfagan las necesidades e intereses tanto de alumnos como
de profesores.
La primera parte (captulos 1 al 5) estudia las caractersticas de los dispositivos .semiconductores
empleados en los circuiros Integrados (IC). Los cinco captulos remarcan las propiedades de los semicon-
ductores y estudian el funcionamiento fsico y las caractersticas de los diodos de unin, transistores
bipolares (BJT) y transistores de efecto campo (FET). El ltimo captulo describe las tcnicas de
fabricacin de los IC. Se trata de exponer los procesos empleados y las limitaciones impuestas por la
fabricacin al diseo de circuitos.
Estos cinco primeros captulos estn dedicados a quienes no tienen conocimientos previos de electr-
nica, y abarcan el materia1 fundamenta1 requerido para entender el resto del libro. Para la primera parte
slo se requeren los conocimientos fsicos y matemticos comprendidos en el primero segundo curso de
un programa tpico de ingeniera. La mayor parte de estudiantes han seguido un curso de anlisis de
circuitos antes de empezar a estudiar electrnica. Aun cuando esta preparacin es valiosa, no es
indispensable pues el anlisis elemental de circuitos empleado en este captulo queda explicado en el
Apndice C.
La segunda pane (captulos 6 al 9) trata de ci/-cuitas y sistemas digitales, y la tercera (captulos 10 al
14) de circuiros y sistemas ump/@&rrs. Si se desea puede ponerse la tercera parte (analgica) antes
que la segunda (digital). El material contenido en la primera parte suministra los conocimientos necesarios
para cualquier otra seccin. Hemos antepuesto los sistemas digitales por dos motivos:
1. En muchas universidades a los ingenieros y cientficos matemticos x les exige un solo curso de
electrnica. Evidentemente, para estos estudiantes tal curso se refiere a la electrnica digiral. Con una
seleccin cuidadosa de los temas comprendidos en las dos primeras partes se puede formar un curso, lo
que proporciona al instructor la libertad e iniciativa de elegir las materias ms adecuadas a sus fines.
2. Slo se requiere una teora de circuitos elemental, del nivel descrito en el Apndice C. Por tanto,
el estudiante no necesita ningn requkito en cuanto a ingeniera elctrica para asimilar estos temas.
El primer captulo de la segunda parte trata de las puertas lgicas empleadas en el sistema digital. Nos
referimos al funcionamiento y caractersticas de las cuatro principales tecnologas de IC. Las dos familias
detransistoresdeefectocampo(FET)sonlaNMOSylaCMOS,mientras que la lgica triinsistor-transistor
22 Microelectrnica moderna

(TTL) y la de emisor acoplado (ECL) son las familias normales del transistor bipolar de unin (BJT)
estudiado. Los circuitos integrados a pequea escala (SSI), media escala (MSI) y gran escala (LSI) y 10s
sistemas derivados de estas puertas lgicas se estudiarn en los dos siguientes captulos (circuitos y
sistemas combinacionales y secuenciales).
El ltimo captulo trata de sistemas integrados a muy gran escala (VLSI) en los que se estudia la clula
de memoria de acceso aleatorio (RAM) esttica o dinmica. Asimismo se introducen las tecnologas
empleadas nicamente en los sistemas VLSI, tales como la lgica inyeccin-integrada (1 L). CMOS, y
dispositivos acoplados.
El desarrollo de circuitos y sisremas amplfirudmes en la tercera parte (captulos 10 a 14) es semejante
al de la segunda parte. Los dos captulos iniciales estn enfocados hacia las propiedades de las etapas
amplificadoras bsicas BJT y FET. Se estudian los mtodos de polarizacin de los sistemas integrados, y
el empleo de modelos de pequea seal para valorar el funcionamiento de amplificadores de etapa nica
o en cascada. Tambin se describe el amplificador operacional (Op.Amp) como bloque constructivo
bsico, Estos amplificadores se usan para formar sistemas amplificadores realimentados. Los conceptos
fundamentales y las tcnicas desarrolladas se emplean en el anlisis y diseo de las cuatro topologas
bsicas de amplificadores realimentados. La arquitectura interior y el funcionamiento de los modernos
chips Op-Amp se vern en el captulo 14 que trata conjuntamente muchos de los conceptos vistos
anteriormente en la tercera parte.
La cuarta parte (captulos 15 y 16) examina los circuitos y sistemas de recogida de datos y procesos
de la seal. Muchos de estos circuitos se usan tanto en sistemas digitales como analgicos y emplean
puertas lgicas y Op.Amp. Se estudian circuitos para generar ondas senoidales, en rampa o de impulsos
y para la conversin de seales analgicas en digitales (o viceversa). Entre los circuitos descritos figuran
integradores, filtros activos incluyendo los capacitivos y los amplificadores multiplicadores y logartmi-
cos.
La ltima parte (captulo 17) revela al estudiante los circuiros y sistemus de potencio. Se trata la
conversin de c.a. en C.C. lo que conduce al estudio de los reguladores de tensin monolticos. Tambin
se examinan los circuitos y dispositivos amplificadores de alta tensin y gran potencia.
El texto contiene suficiente material para 2 o 3 cursos semestrales de dispositivos, circuitos y sistemas
electrnicos. Con el constante aumento de componentes en un chip integrado, la diferenciaentre elemento.
circuito o sistema electrnico se ha hecho confusa, y en este libro no se ha intentado diferenciarlos entre
si. Un bloque monoltico tal como un Op.Amp se considera a veces como un elemw~to, y un chip
microelectrnico a gran escala merece la calificacin de sistemu o por lo menos de suh-sisr~mu.
En el prlogo que sigue a este prefacio se relata brevemente la historia de la electrnica. Se espera que
tanto el instructor como el alumno lean esta fascinante historia antes de iniciar el estudio del texto.
Muchos ingenieros electrnicos disean nuevos productos, subsistemas o sistemas conectando entre
s chips integrados normales de tal forma que el conjunto cumpla los objetivos externos deseados.
Naturalmente estos ingenieros deben saber qu chips IC existen en el mercado, qu funciones desarrollan
y cules son sus limitaciones. Los diseadores de los chips deben conocer cules son las funciones que
necesitan ser dcsarrolladas y cules son las limitaciones que afectan mayormente el funcionamiento del
sistema en que se incluya el chip.
Bajo este punto de vista, el objeto de este libro es conducir al lector, paso a paso, desde un conocimiento
cualitativo de las propiedades de un semiconductor hasta la comprensin del funcionamiento de elementos
de estado slido y apreciar finalmente cmo se combinan stos para formar ICs. con caractersticas
entrada-salida tiles y definidas. Alo largo de cstc libro se estudia una gran variedad de chips integrados.
No slo describiremos lo que se fabrica sino que intentaremos llegara un profundo conocimiento de las
funciones digitales y/o analgicas que desempea el chip. Despus de estudiar cada circuito o sistema se
hace referencia a un chip especfico comercialmente adquirible y que realice la funcin deseada. Se
exponen las limitaciones de los elementos y circuitos reales frente a los ideales. Para apreciar el
funcionamiento no ideal, en el Apndice B se dan las especificaciones de los fabricantes de elementos y
Prefacio 23

de circuitos integrados representativos. La profundidad del estudio, la amplia seleccin de asuntos, y el


aspecto prctico se combinan para preparar al estudiante para actuar con eficacia inmediatamente despus
de graduarse.
La atencin prestada al aspecto pedaggico se refleja en la explicacin del comportamiento de los
dispositivos, circuitos y sistemas y en el contexto en que se estudian los asuntos especficos. Nos hemos
esforzado en asegurar la introduccin de los conceptos nuevos empleando las tcnicas analticasconocidas
y que el desarrollo de nuevos mtodos de anlisis se base solamente en conceptos vistos anteriormente.
Adan&. hemos puesto gran cuidado cn la seleccin de los muchos ejemplos y clculos numricos
incorporados en el texto.
Muchos de los procedimientos de anlisis expuestos conducen a clculos manuales que un ingeniero
maneja frecuentemente. Ta& clculos son valiosos ya que ayudan a penetrar en el funcionamiento del
circuito o sistema diwiado. Cuando se emplean conjuntamente con calculaciones simuladas, se propor-
ciona al ingeniero una potente herramienta para el diseo. Se debe estimular a los alumnos a emplear
simuladores tales como SPICE y MICROCAP II, siendo ambos aptos para ser utilizados con computadores
peJ&S.
Las preguntas de repaso al final de cada captulo son una buena ayuda para resolver los aproximada-
mente X00 problemas planteados en el apndice D. Empleando conjuntamente las preguntas y los
problemas se comprueba la captacin por parte del alumno de los conceptos fundamentales y se
proporcionaexperienciaeneldiseoy anlisisdecircuitselectrnicos. En todos los problemasnumricos
se han empleado valores realsticos de los parmetros.
La5 preguntas de repaso son una prueba de los conocimientos cualitativa de las materias del texto y
pueden servir tambin para formar parte de un examen.
Hemos recibido valiosas opiniones y sugerencias de muchos profesores e ingenieros. Todo\ ellos han
intluido en esta obra. y a todos ellos expresamos nuestro agradecimiento y aprecio. Quedamos especial-
mente agradecidos al profesor Arthur Dickcrson cuyos comentarios han resultado valiosos en la prepara-
cin de este Ilbro, y nos sentimos obligados hacia David Damstra que contribuy mucho desde el
manuscritc hasta la produccin, a los comentarios y juicios de Sanjecv Rao y a Mary Rosenberg cuyas
comprobaciones o pginas finales fueron de gran ayuda.

P.S. Yo estoy entre las dos generaciona de ingenxros elctricos que han estudiado electrnica con
los libros de Jacob Millman. He tenido el placer de ser una ver ms alumno>> suyo cuando trabajamo,
Juntos en el planteamiento y organizacin de este libro y en la preparacin detallada de los xi\ primero\
captulos. He intentado conservar el estilo de este verdadero maestro en lo que resta del texto. Los iiltrmos
once captulos son de mi exclusiva responsabilidad y por tanto reflejan la calidad del atudiante y r La
del mentor.
Agradezco a Jacob Millman la oportunidad que me ha dado de colaborar con l. Su cstilo e i~~fllucncia
han contribuido enormemente sobre m corno ensaiante y autor.
r
Prlogo.
Breve historia
de la electrnica

Para la mayora de nosotros la palabra electrnica nos sugiere una variedad de cosas desde los chips
y calculadoras hasta la televisin y los transistores. As, mientras convenimos en los trminos especficos
que forman la electrnica, su definicin es algo ambigua. En los prrafos que siguen y en el resto del
prlogo definimos la electrnica tal como la empleamos en este libro, no en el sentido del diccionario,
sino siguiendo los matices de la disciplina. Hemos elegido la historia como vehculo para conseguirlo, ya
que son los esfuerzos individuales los que contribuyen o han contribuido en el campo que verdaderamente
define la disciplina.
En sentido estricto, la elect~cinica es la ciencia y tecnologa relativa al movimiento de cargas en un gas,
en el vaco o cn un semiconductor. Obsrvese que el movimiento de cargas exclusivamente en un metal
no se considera electrnica. A principios del siglo XX se emple esta separacin para distinguir el campo
de laingenieraelctricadel entoncesnacientecampde laelectrnica. Enaquellapocalaingenieraelc-
tricatratabadedispo~itivosquedependanexclusivamentedelmovimientodcloselectronesenlosmetales,
tales como motora, gcncradore, bombillas de filamento, y sistemas de comunicacin por cable (telfono
y teligrafo). Sin embargo, a medida que nos acercamos a finales del siglo la separacinhistrica entre elec-
tricidad y electrnica va perdiendo su funcin original.
Actualmente los ingenieros elctricos prcticos cumplen diversas funciones con distintas aplicaciones
(diseo, desarrollo, produccin, investigacin c incluso enseanzza). Tratan con sistemas que pennitcn
comunicarse con todo el mundo, que manipulan grandes cantidades de datos, que permiten automatizar
complejos procesos de fabricacin, y tratan tambin con los elementos empleados para conseguirlo. El
campo de la ingeniera elctrica abarca asimismo la produccin, distribucin y conversin de la energa
elctrica. El grupo citado en la primera de las dos frases antcriares posee la propiedad comn de procesa!
informacin, mientras que el grupo citado en la segunda se puede considerar como procesado de la energa.
Esta distincin entre el procesado de informacin y el procesado de energa es lo que separa la electrnica
del resto de las ingenieras elctricas. En consecuencia, la electrnica comprende cuatro SC>>:comunica-
cin, clculo, control y componentes.
Este prlogo comprende una breve historia de la electrnica moderna, enfocada principalmente cn el
desarrollo y aplicaciones de los dispositivos electrnicos y el crecimiento de las industrias resultante de
la utilizacin de estos dispositivos en circuitos y sistemas prcticos.
La historia se divide en dos perodos de tiempo que denominamos <I-Udel tubo dr vaco y wu del
~onsistor-. La primera abarca el desarrollo habido en la primera mitad del siglo xx, y la segunda empieza
con la invencin del transistor en 1948. En el ltimo apartado se especula sobre la futura marcha de la
electrnica. Estas descripciones sostienen y perfilan los puntos tcnicos tratados en el texto.

ANTECEDENTES
Los orgenes de la ingeniera elctrica se baan en los descubrimientos de grande& cientficos como
Ampre. Coulomb, Faraday, Gauss, Henry, Kirchhoff, Maxwell y Ohm. La primera aplicacin prctica
26 Microelectrcnica morlerna

de sus trabajos. en cl contexto de la electrnica moderna, fuc en cl desatollo de los sistemas de


comunicacin. En 1837 Samuel Morse,profesorde Arteen IaUniversidaddeNuevaYork probel sistema
telegrfico. Lo significativo del telgrafo elctrico fue la introduccin de un mtodo eficaz de codificacin
a seales elctricas. Los puntos y rayas del alfabeto Morse representan el primer empleo de seales
digitales (binarias).
Cerca de 40 aos ms tarde (1 X76) Bell invent el telfono e introdujo el mtodo de codificar una
informacin (el habla) como una seal elctrica continua, y decodificndola luego en el receptor. La
invencin del fongrafo por Edison en IR77 demostr que las seales elctricas podan almacenarse y por
tanto recuperarse. El disco del gramfono puede considerarse corno primera memoria de slo lectura
(ROM).
La introduccin de las comunicaciones por radio se hasa en IU contribucin de James Clerk Maxwell
que en 1865 compil las investigaciones preccdcntcs cn una consistente teora del electromagnetismo
conocida hoy como ecuaciones de Maxwell. El mayor salto hacia adelante cs debido a las predicciones
de Maxwell sobre la existencia de ondas electromagn5ticas capaces de propagarse en el espacio. Aqu
tenemos un caso en el que la teora precede a la experimentacin ya que no fue hasta 23 anos ms tarde
que Hertz produjo tales ondas en experimentos de laboratorio. Marconi fue el primero en explotar el
empleo de las on&x Herrziunas como as se les denomin. En 1896 Marconi emiti con xito estas ondas
detectndolas desde una distancia de 2 millas. La telegrafia sin hilos tuvu su humilde origen en estos
experimentos.

LA ERA DEL TUBO DE VA&


La cra del tubo de vaco abarca la primera mitad del siglo xx. La electrnica moderna se form
tecnolgicamente durante este perodo.
El origen del vocablo electrnican se puede atribuir a H. A. Lorent~, que en 1895 admiti IU existencia
de cargas discretas que denomin elrcrrones (reintroduciendo la palabra empleada por los antiguos
griego\). Dos aos ms tarde J. J. Thompson comprob experimentalmente la existencia de los electrones.
En aquel mismo ao Braun construy el primer tubo electrnico, un primitivo tubo de rayos catdicos.

Descubrimiento de los tubos de vaco


En 1904 Fleming invent un dispositivo con dos elementos, el diodo, al que llam vlvula. Consista
en un filamento calentado que emita electrones (efecto Edison) y que estaba situado prximo a una placa
metlica. El conjunto de esta estructura estaba encapsulada en vaco.
Una tensin positiva de placa a filamento (ctodo) daba paso a una corriente mientras que una ten.Gn
negativa anulaba la corriente. Esta propiedad unilateral de la vlvula la hizo idnea para detectar seales
de radio (sin hilos).
Dos aos despus, Pickard emple como detector un cristal de silicio, y una aguja apoyada en l. ste
fue el primer diodo semiconductor, no obstante no result prctico y pronto fue abandonado, y as en 1906
pareci que los semiconductores electrnicos tenan una muerte prematura.
La invencin en 1906 del audin (triado) por parte de De Forest fue el embrin en los primeros tiempos
de laelectrnica. Rcalmentesepuedeafirmarquelaelectrnicatalcomo seconoce actualmentenoexistira
sin la invencin del triodo. El audin de De Forest consiste en intercalar un tercer electrodo (rejilla) entre
la placa y el ctodo de la vlvula de Fleming. La tensin de la rejilla regula la circulacin de cargas entre
placa y ctodo. Una pequea variacin en la tensin de la rejilla se traduce en una gran variacin de la
tensin placa-ctodo, con lo que el audin resulta ser el primer amplificador.
Prlogo. Breve hisioria de la electrnica 27

El triodo fue el primer dispositivo que mostr la propiedad del circuito llamada hoy de fuente
dependiente. Ya que retiene la propiedad unidireccional de la vlvula, el triado equivale tambin u un
interruptor controlado. Actualmente. todos los circuitos electrnicos se valen de las caractersticas de
elemento\ que se comportan como controladores de fuente o de corte.

Aplicaciones iniciales
Hacia 19 Il los avances tecnolgicos tala corno un mejor vacio y el recubrimiento del ctodo con
xido hicieron del audin un elemento seguro inicindose as la era de la electrnica prctica.
La primera aplicacin de los tubos de vaco estuvo dirigida a las comunicaciones por telfono y radio,
fundndose simultneamente en los Estados Unidos en 1912 el &stitute of Radio Engineers (IRE). Es
de admirar la imaginacin y perspicacia de estos primeros ingenieros que captaron inmediatamente la
importancia de la radio y fundaron su propia asociacin profesional. El eAmerican Institute of Electrical
Engineers (AIEE) que cuidaba de los intereses de los ingenieros elctricos convencionales fue fundado
en 1884. Ambas asociaciones se fusionaron en 1963, formndose el Institute of Electrical and Electronic
Engineers (IEEE) que asumi medio siglo de desarrollo de la profesin.
Empleando slo los diodos y triodos disponibles, del ingenio de estos nuevos ingenieros surgieron
muchos nuevos circuitos, siendo notables los de amplificadores en cascada, amplificadores regenerativos
(Armstrong, 1912). osciladores (De Forest, 1912), heterodinos (Annstrong, 1917), y multivibradores
(Eccler-Jordan, 1918).
El oscilador fue el primer ejemplo de generacin de sekdes electrnicas con medios exclusivamente
electrnicos. El aumento de ganancia tanto en el amplificador regenerativo (realimentacin positiva) como
el en cascada, junto con la modificacin de frecuencia dada por el heterodino mejor el procesado de la
sekl y la deteccin de seales dbiles. Los primeros multivihradores fueron los precursores de los
modernos flip-flop y relojes (circuitos de tiempo).

Industrias electrnicas

El amplificador tuvo aplicacin comercial cai inmediata en la tclefonia a larga distancia. Los avance&
en la tecnologa de los tubos conseguidos por las compaas telefnicas impuls una nueva industria: la
radiodifusin comercial. En 1920 la Westinghousc Electric Corporation cre la emisora KDKA en
Pittsburgh, Pensilvania. Apenas cuatro aiios ms tarde ya existan 500 en los Estados Unidos. y en 1926
ya era realidad la red de radiodifusin. Simultneamente se introdujo la radio en el mundo industrial.
Las indu\tria\clcctrnicasabarcan unoo msdc losgruposde: componentes.comunicciones,control
y clculo.

COMPONENTES

En un principio las industrias dc los componcmes se crearon para fabricar Iw distintos tipa de
dispositivos electrnicos ascomo elementos pasivos de circuitos (resistencias, condensadores, inductan-

(i\u.Llmcn,e.
Clpuic\orMilImm ,,,ililc\cII1liil1,>
di,,>.
tn e\,,ipcL Aialitron~.itincmJhaixc CI 1.1L!mrei\ld*d<ieciurlihM
IA\ ,ic,,\w,*dcidermudl,ii<>nlpnia\
.L,urimm, dc ll., caiegril.rrx,,c,nc,,,cn,c
OOii 11.1,
el j, ch /\,,>,1/1\
,dcn,l,ic,d~,
L<,,,,,/j gr,,,,,>
cias. ~ransformadvrz\. etc.). Lo\ ingenw, y uentflcos de estas organi.ac~onc\ con\q+veron grande\
avances en el desarrollo dc nuevos y mejores dispositivos, incluyendo el calentamiento indirecto del
c:ctodo. los tubos tetrodo y pentodo con un cuarto y un quinto electrodos en el interior de un triodo, y los
tubos con gas como el tiratron. Disponiendo de nuevo\ elementos pronto SCidearon nuevos circuitos que
facilitaron el mando nico de sintonizacin. el contrl automtico dc ganancia (AGC), y la operacin
multibanda.

COMURICACIONES

Las seiialcs de radio se tranun~ten mejor a trecuencw por encm~a de los _500 kHz. Cumo la frecuencia
de las seales que representan la informacin est normalmente bastante por debajo de los 500 kHz, estas
seales deben codificarse y pasarse a la frecuencia de transmisin mediante un proceso denominado
r>x~drr/nci~r~.Los primeros sistemas de emisin por radio emplearon la modulaci<n de amplitud (AM).
Para aumentar la fidelidad y reducir las interferencias atmosfricas, Armstrong ide6 y dcsarrollb en 1930
la frecuencia modulada (FM).
La televisin en blanco y negro empu en 1930 basada en el iconoxopio y el kincscope de Zworykin
(las primeras crimara y pantalla respectivamente). En 1940 la televisin en Estados Unidos estaba muy
poc divulgada, y FUexpansin se vio frenada por la segunda guerra mundial. La introduccin del color
en la televisin comenz hacia 1950, durante los aos 60 pas a ser el sistema dominante.
Las tcnicas empleadas en radiodifusin se adaptaron tambin en otras aplicaciones. LOS ststemas
telefnicos se transformaron en una de las ms importantes formas de comunicaci<in electrnica. A su
ver, circuitos creados para telefona se emplearon ampliamenre cn sistemas de recepcin de radio. El radar
(creado durante la segunda guerra mundial) utiliza comunicaciones por radio para ayudara la navegacin
tanto por aire corno por mar.
Cada una de las innovacione\ citadas hiio que se idcaran nuevos circuitos. Enlre stos esti el
amplilicador de realimentacin negativa inventado por Black (lY27), cl Iimitador de FM y el disctimi-
nadar de FM. Otro circuito desarrollado fue el generador en dientes de siena que proporciona la base de
tiempos lineal para los primeros wciloscopios y para los sistemas de dcflexin en televisin. Muchos de
los nuevos sistemas de comunicacin emplean seales discretas (impulsos) en lugar de setiles continuas.
En consecuencia hubo de desarrollarse una variedad de circuitos de impulso\ para la temporizacin y
sincronizacin necesarios en televisin, radar y otras aplicaciones y para la generacin y modulacin de
impulsos. Adems, los nuevos sistemas de comunicaciones operan a ms altas frecuencias y se basan en
elementos microondas vales como el klystron y el magnetron.

COMPUTADOKES (CLCULO)

Aun cuando los transistores y loz crcuitos mtegrados dieron pie al extraordinario crecimiento de la
industria del clculo, sus orgenes parten de la era del tubo de vaco. Durante unos 300 aos ira habido
gran inters en las mriquinas calculadoras. En 1633 Schickard (junto con bu compaero Kepler, el
astrcnomo) describi una calculadora mecnica para sumar, restar. multiplicar y dividir. Dise una rueda
con diez radios. uno de los cuales era ms largo que los dems. Esta rueda iba situada mecnicamente
junto a otra rueda similar. Cuando la primera de estas ruedas haya avanzado 10 incrementos angulares.
que corresponden a los 10 dgitos, el radio largo engarza con la siguiente rueda que avanz un paso. En
otras palabras, invent el llevar>> en aritmtica. Por la misma poca Pascal (1642) y Leibnitr (1671)
tuvieron ideas parecidas. Pero el primer esfuerzo serio para construir una calculadora mecnica fue hecho
200 aos despu& (1833) por Babbags, un profesor de matemticas ingls. Esta mquina contena todos
Prlogo. Breve historia de la electrnica 29

los elementos de una computadra digital moderna. Empleaba tarjetas perforadas (inventadas 30 aos
antes por Jdcquard, un fabricante de tapices francs) para la entrada y la salida, conteniendo ambas
memoria y una unidad aritmtica: er una mquina de programa almacenado. Sin embargo la tecnologa
de entonces no permiti convertir la tdea en una mquina prcticai
La primera calculadora efectiva fue electromecnica, no electrbnica, y fue construida en 1930 por IBM
bajo la direccin del profesor Aiken de la Universidad de Harvard. Se le llam la calculadora IBM de
secuenaa aut@tica controlada, Mark l>>.Tena 17 m de largo y 3 m de altura y era de aspecto muy basto.
Estuvo en servtcio haciendo clculos durante ms de 15 anos. La primera calculadora electrnica fue
completada en 1946 por Eckert y Mauchly en la More School of Electrical Engineering en la
Universidad de Pensilvania. Se le denomin ENIAC. Se emple para el clculo de tablas balsticas para
las fuerzas armadas y no fue una calculadora de empleo general. Contena IX.000 tubos de vaco. Ocupaba
40 bastidores con equipo y precisaba un local de 10 x 13 metros. Van Newmann. asesor del proyecto,
sugiri que la calculadora emplease la numeracin binaria y la lgica de Boole y que tuviese programas
para las operaciones bsicas.
En 1946 la IBM introdujo la primera calculadora electrnica pequeiia del tipo 603, y dos afios ms
tarde surgi la IBM 604, calculadora digital de us general, de las que se vendieron unas 4.000 mquinas
en 12 aos. As pues, se puede considerar el ao 1948 como el del principio de la industria de las
computadoras (casualmente el transistor se invent ese mismo ano).
En esa poca se dedicaron a investigaciones en este campo varias instituciones entre las que se pueden
citar las Universidades de Harvard, Princeton y Pensilvania, cl Instituto de Tecnologa de Massachusetts,
el Instituto Courant de la Universidad de Nueva York y el Instituto de Estudios Avanzados. Estos
ingenieros y cientficos apoyados por entidades gubernamentales desarrollaron conceptos que eguida-
mente se aplicaron a calculadoras comerciales de uso general.
La IBM 650 considerada como el caballo de batalla de la industria be introdujo en 1954. Esta mquina
con tubos de vaco, as como tras fabricadas por distintas compaas constituyen lo que se llama primera
generacin de calculadoraa digitales.
Durante 10s ltimos tiempos de la era del tubo de vaco se desarrollaron tambin Iab cumputadoras
analgicas. Tales mquinas se usan para resolver grandes sistemas de ecuaciones diferenciales, y se basan
en la construccin de circuitos electrnicos cuy comportamiento est gobernado por una serie de
ecuaciones anlogas a las que SCpretende resolver. El analizador diferencial desarrollad por Bush cn el
lnstltut de Tecnologa de Ma\achusctts fue la primera calculadora analgica electromecnica. Las
verriones electrnicas adquirieron realidad al inventarse el amplificador operacional.

CONTROLES

El origen de las induaias dc contml electrnico est en IU elcc~rbnica industrial, que puede definirse
como el empleo de dispositivos electrnicos en cl manejo y control de miquinas cn la industria (que no
sean de comunicacin ni de clculo). Los elementos empleado!, fueron los tiratrones, diodos gaseosos,
rectificadores de mercurio y tubos de alta tensin y gran potencia. Estos dispositivos se emplearon en
circuitos de alta tensin y potencia, rectificadores de alterna a wntinua, inversores de continua a alterna,
y circuitos de transmisin de alta tensin. Tambin se aplican a la regulacin de velocidad de motores,
reguladores de tensin, calentamiento dielctrico y por induccin, y tras varios procesos de control
rndustrial. Tambin en esta poca se empez a usare 1computador analgico en sistemas de control.
30 Microelectrnica moderna

Anlisis y Teora
Adems del crecimiento industrial se hicieron grandes progresos analticos y tericos. Lo que sigue es
una breve indicacin de la magnitud de los logros.
El anlisis de circuitos y las tcnicas de sntesis progresaron notablemente con los trabajos en equipo
en los laboratorios de la Bell y del Instituto de Massachusetts. Bode y Nyquist desarrollaron la teora del
amplificad?r realimentado y transformaron el concepto del circuito de Black en otro, extendiendo as su
empleo.
Shannon de Estados Unidos por un lado y Kotelnikov de la Unin Sovitica por otro desarrollaron una
teora sobre la informacin que producira un gran impacto en la transmisin de datos. Una aplicacin
particular fue la codificacin y modulacin de impulsos, tcnica propuesta por Reves.
Otra contribucin de Shannon fuc el empleo del lgebra de Boole en el anlisis y diseo de circuitos
deconmutacin(l937).EnGranBretaaTuringexpusoelconceptodeunamquinacalculadorauniversal,
y Wilkes desarroll la microprogramacin.
Los sistemas por muestreo introducidos por Ragazzini y Zadeh se aplicaron a funciones de control
preparando el camino para los sistemas de control basados en procesos de clculo digital.
El estudio de los materiales, en especial la aplicacin de la mecnica cuntica a los slidos condujo a
nuevos dispositivos y ms tarde contribuy en la invencin del transistor. Para aprovechar las ventajas
aportadas por la electrnica se crearon los transductores que convierten la luz, el sonido, la presin, la
temperatura o cualquier otra variable en seales elctricas.
Nuevas formas de instrumentos (osciloscopios, voltmetros de tubos de vacio, etc.) emplean la
electrnica para mediciones y para comprobacin de equipos electrnicos.
La dcada de 1950 fue de transicin. Seala el final de los sofisticados sistemas de tubos de vaco y
el comienzo de la edad del transistor. Actualmente todo el campo est dominado por los semiconductores
salvo las aplicaciones de alta tensin y gran potencia. Ciertamente, los tubos de vacio han desaparecido
de todos los cursos de ingeniera elctrica.

LA ERA DEL TRANSISTOR


La era dc la electrnica con semiconductores comienza con la invencin del transistor en IY48. Sin
embargo esta era fue consecuencia de trabajos anteriores realizados entre 1920 y 1945. Durante este
perodo el estudio de las propiedades electromagnticas de los semiconductores y metales fue la principal
ocupacin de los fsicos. Contribuyeron eficazmente Block, Davydov, Lark-Horovitz, Mott, Schottky,
Slater, Sommerfeld, Van Vleck, Wigner, Wilson y otros de universidades de todo el mundo. Se hicieron
intentos para fabricar dispositivos electrnicos compactos. En 1930 Lillienthal y Heil registraron una
patente de un dispositivo amplificador slido, y precursor de los transistores de unin y de efecto campo.
Sin embargo estos elementos no progresaban y muy probablemente ninguno de los inventores pudo
explicar la teora subyacente.
No hubo gran mpetu en el desarrollo de los elementos de estado slido hasta 1945. Los tubos de vaco
tenan sus limitaciones: consumen potencia an cuando no estn en servicio, y los filamentos se quemaban
exigiendo la sustitucin del tubo. M. J. Kelly, en aquel entonces director de investigacin y ms tarde
presidente de la Bell Laboratories, previ que unas comunicaciones telefnicas eficaces iban a requerir
conmutacin electrnica y mejores amplificadores, preferiblemente a los sistemas electromecnicos.
Form un grupo de fsicos tericos y experimentadores, adems de un ingeniero elctrico y un qumico
para investigar el estado slido. El siguiente entrecomillado est sacado de la autorizacin para trabajar
en este grupo: Las investigaciones seguidas en este caso tienen por objeto lograr nuevos conocimientos
que puedan ser utilizados para el desarrollo de componentes totalmente nuevos as como aparatos y
Prlogo. Breve historia de la electrnica 31

elementos de los sistemas de comunicacin. Uno de los principales objetivos era el de conseguir un
amplificador de estado sblido que eliminara los inconvenientes del tubo de vaco.

Descubrimiento del transistor de unin bipolar


En diciembre de 1947 se realiz una prueba en la que se presionaron dos sondas de oro prximas entre
s contra la superficie de un cristal de germanio: se pudo observar que la tensin de salida del colector
(respecto a la base de germanio) era superiora la de entrada en la sonda emisor. Brattain y Bardeen se
dieron cuenta de que esto era lo que buscaban siendo ste el nacimiento del amplificador en estado slido
en forma de transistor de contacto. La actuacin del primer transistor era verdaderamente pobre. Tena
poca ganancia y ancho de banda, era ruidoso y sus caractersticas variaban mucho de uno a otro ejemplar.
Shockley, del grupo puntero, dedujo que las dificultades procedan de los puntos de contacto. Propuso
el transistor de unin y casi inmediatamente complet la teora de su funcionamiento. El nuevo dispositivo
tena portadores de cargas de ambas polaridades, por tanto se trataba de dispositivos bipolares. Los
portadores eran los electrones, ya bien conocidos y otras partculas extraas que se pueden explicar slo
porlamecnica cuntica y que se comportaban corno si fuesen cargas positivas. Se les denomin huecos
porque representaban lugares del cristal en donde debieran haber eiectrones pero que sin embargo no los
hay. La teora de Shockley predice que con poca tensin aplicada pueden conseguirse grandes densidades
de corriente. Inmediatamente surgi la posibilidad de conseguir dispositivos prcticos importantes sin
,f%mentos <valientes.
Las propiedades elctrica!, del transistor dependen del control cuidadoso de las impurezas especficas
que contenga (del orden de un tomo de impurezas por 100 millones de tomos de germanio). En
consecuenciano se pueden fabricar elementos fiables sin cristales excepcionalmente puros a los que aadir
las impurezas deseadas. Teal, de los laboratorios Bell, pudo formar cristales de germanio con un contenido
de impurezas menor de una parte en mil millones. A partir de aqu se pudieron fabricar transistores de
unin por crecimiento, seguidos un ao ms tarde de los transistores de unin por aleacin. As, en 195 1,
tres aos despus del descubrimiento de la amplificacin en un slido ya se fabricaron comercialmente.
La compaa Ameritan Telephone and Telegraf (ATT) tom una importante decisin: no mantener
secretos estos descubrimientos. Actualmente mantiene simposios para comunicar sus conocimientos a
profesores (que a su vez los transmitirn a sus alumnos) as como a ingenieros y cientficos de otras
empresas. y ofreci licencia de sus patentes a cualquier empresa interesada en fabricar transistores. Las
primeras compaas que fabricaron transistores fueron: RCA, Raytheon, General Electric. Westinghouse
y Westem Electric (el bruo industrial de ATT). Otras empresas ya existentes o de nueva creacin pronto
empezaron a fabricarlos.
En 1954 la Texas Instruments, en su nuevo laboratorio dirigido por Teal anunci la fabricacin de
transistores de silicio. El silicio permite trabajar a 200 C mientras que la variacin de caractersticas del
germanio limitan su uso a los 75 C. Actualmente la gran mayora de elementos semiconductores se
fabrican con silicio.
Bardeen, Brattain y Shockley recibieron el premio Nobel de Fsica por su invencin del transistor y
w contribucin al entendimiento de los semiconductores. Este fue el primer Nobel concedido en 50 aos
aun dispositivo de ingeniera.

Invencin del circuito integrado


En 1958 poco despus de unirse a la Texa!, Instrument,. Kilby concibi la idea de un circuito
monoltico, es decir, la idea de emplear germanio o silicio para construir un circuito completo. Las
32 .+licrorlectrrnica moderna

resistencias se formaban con la masa del semiconductor o por difusin de un semiconductor cn otro. Kilby
form un condensador usando una capa met8lica y el semiconductor como armaduras y una capa de xido
como dielctrico (tambin ide un condensador de unin). Para demostrar sus conceptos construy un
oscilador y un multivibrador de germanio, formando circuitos interconectando los hilos de oro, si bien cn
la descripcin de la patente consta que las conexiones pueden hacerse depositando una capa de material
conductor. En 1959 Kilby anunci en una convencin del IRE el circuito slido que luego SCllam ci!-cuiro
i~rre~~xx/o.En esa misma poca, Noyce (Director de investigacin y desarrollo de Fairchild Semiconductor
y presidente del Consejo de Intel) tuvo tambin la ideade un circuito monoltico para fabricar dispositivo5
mltiples en una pieza de silicio, con la posibilidad de realizar conexiones entre ellos como parte del
proceso de fabricacin, reduciendo as el tamao, peso, cte., as como el coste por elemento activo.
Explic cmo se pueden fabricar resistencias y capacidades, y cmo SC pueden aislar unos de otros
clementos mediante diodosp-n. y cmo pueden llevane a cabo las conexiones vaporirando metal trav\
de ventanas cn la capa de xido.
La clave para la fabricacin de circuitos integrados fue cl transistor planar y la elaboracin cn masa.
El proceso planar usa transistores en los que las regiones de base y de emisor se difundan en el colector.
El primer transistor por difusin fue creado por Hoemi en Fairchild (1958). Un nuevo paso fue la
pasivacin de las uniones con una capa de xido. Se emplearon tcnicas de fabricacin fotolitogrficas y
los procesos de difusin desarrollados anteriormente por Noyce y Moore. El proceso en masa permiti
fabricar numerosos chips>>en una sola oblea. En 196 1 tanto Fairchild corno Texas Instruments fabricaban
ya comercialmente circuitos integrados siendo pronto seguidas por otros fabricantes.

Microelectrnica
Actualmente se pueden faorlcar en un solo chip de silicio, adem\ de circuito5 mdividuales, subsiste-
mas e incluso sistemas completos conteniendo millares de componentes. La vo7 electrnican se refiere
al diseo y fabricacin de estos circuitos integrados con gran dcn?idad de componentes. Moorc (fue
director de investigacin en Fairchild y presidente de Intel) ya observ en 1964 que el nmero de
componentes de un chip se ha ido duplicando cada ao hasta 1959 cuando se introdujo el transistor planar
y predijo acertadamente que esa tendencia proseguira Un chip grande mide unos 3 x 5 mm de superficte
y 0.3 mm de grueso (como unas tres veces el espesor de un cabello). Estos chips pueden contener (en
19X4) hasta unos 400.000 componentes lo que equivale a 30.000 componentes/mm. Estas cifras son
difciles de concebir sobre todo teniendo en cuenta que los circuitos integrados se claboran en una fibrica
industrial y no en un laboratorio. Los siguientes dato5 dan una idea aproximada del aumento de
componentes en un chip:

195 I ~ Transistores discretos.


1960 ~ Integracin a pequea escala (SSI) menos de 100 componentes.
1966 ~ Integracin a media escala (MSI) entre 100 y 1.000 componenteb.
1969 ~ Integracin a gran escala (LSI) entre 1.000 y 10.000 componentes.
1975 ~ Integracin a muy gran escala (VLSI) ms de 10.000 componenteb.
(En 1984 un chip VLSI te& 100.000 componentes o rn, por chip).

Las industrias electrnicas se pueden dividir en: fabricantes y usuarios de chips. Los fabricantes dc
circuitos integrados wn el ector ms importante dc las industrias de componentes, mientras que lo:
usuarios son a menudo las compaas que construyen equipos para comunicaciones, control y clculo
Desde la invencin del circuito integrado muchas innovaciones han contribuido al auge de la microelec
trnica: en lo que resta de esta seccin bc describen varias de ellas.
Prrlogo. Breve hirtoria de la electrnica 33

TRANSISTOR DE EFECTO CAMPO

Mucho5 de los trabajo5 que condujeron a la invencin del transistor Lxpolar llevan a estudiar el efecto
que sobre la conductividad de los semiconductorea tiene la aplicacin dc u campo ektriC0. Shockley
propuso en 195 I el transistor de unin de efecto campo (JFET), pero pronto f&~ron sus intentos de
fabricarlo debido a que no se pudo conseguir una superficie estable. Esta dificultad qued superada co
la introduccin del proceso plaar y la pasivacin co dixido de silicio (SiOz). En 1958 se fabric el
primer JFET por Teszner en Francia.
Las tcnicas empleadas para conseguir el JFET condujeron a un resultado an mas importante: el
transistor ~~etal.xido~setniconductor transistor de cfec10 campo,> (MOSFET). SU estrctraCSt ~OITIU-
da por un electrodo metlico (la puerta) situado sobre el SiO-1 entre dos electrodos en el semiconductor
(fuente y drenaje). La corriente en el canal entre fuente y drenaje se puede regular aplicando una tensin
adecuada etre la puerta y el wniconductor. Atalia y Kahng de Bell Laboratories (1960) anunciaron este
dispositivo. Dos arios ms tarde, Hofstein y Heiman de RCA registraron una patente por su MOSFET,
adecuado para Ia f&ximcin de circuitos integrados. Las suce~i\%s mejoras de proceso y de diseiio aS
como el crecimiento dc las industria, del cz!dculo han hecho de los dispositivos MOS los transistores ms
universalmente empleados.

CIRCUITOS INTEGRADOS DIGITALES

El auge de las industrias del clculo estimul uii IKI~VVdesarrvllii de los cIrcuitos integrados: a su 4%
los nuevos conceptos Fobre estos circuitr detem,inaron tma nueva estructura de las calculadoras. Dos de
los mayores avances corresponde a una nueva configui-acin dc los circuiros y a memorias semiconduc-
toras.
La velocidad, consumo de potencia y densidad de coiilponentes su cuestiones a tener en cuenta e
10scircuitos integrados digitales. Una primera familia Ibgica bipolar fue la,dc transistor acoplado ideada
porBuie( 1961) de Pacific Semiconductor, de laque de dcrivl~i~i~~nt~uns~sto~~tr-ur~s~sto~(TTL) normal.
El principal rasgo del TTL es el empleo de transis:lores co emisores mltiples para au!nentar la densidad
de componente\. Motorola c 1962 introdujo una linea bqxllar de alta velocidad conoada como /&w de
rmi,nr u~.~l>/u&~(ECL). Se cnsiguieron chips bipolares de gran densidad empleando transistores co
mltiples colectores (1972). Esta nueva tecnologa desarrollada simuitncamente por Hart y Slob de
Phillipb (Holanda) y por Berger y Wiedma de IBM (Alemania) se denomin /@ica de rvecci~j~
imgrzrdu (FL).
El empleo de MOSFETr result atractivo porque SCpueden conseguir gmndes densidades de compo-
nentes. Originalmente la fabricacin emple POMOS.cs decir, MOSFETs cuyo funcionamiento depende
del flujo de huecos. La me,iora en los mtodos de fabricac? condujeron ! ,empleo de elementos
rne~a,.i>xido.semicod~~~~de cx,al n (NMOS). En C\K>Ct,ans,stores la conducclo es por ios ekCtrOnCS
resultando una gran velocidad de trabajo. Actualmente la tecnologia NMOS es la predominante.
El metal-xido-semiconductor complementario (CMOS) es una configuracin que emplea tanto el
pM<]S de canaI ,, cwlo el NMOS de canal iz. En un prinupio \c aplic a relojes digitales debido a su
hajsimo consumo de potencia. Recientes progresos habidos e la reduccin del tamafio ha hecho del
CMOS una de las mejores tecnologias de los anos 1980. Se prcv que en 1990 la tecnologa CMOS
prevalezca sobre la NMOS.
Si embargo, es e las memorias semiconductoras donde el MOSFET tiene mayor fuerza. Las
n~Pmovia,\ de uue,~o uhtorio (RAM) capaces de almacenar y restituir datos (escritura y lectura rc~pt?c-
tivamete), se desarrollaron en principio empleando transistores bipolares y se comercializaron UI 1970.

Estos primeros RAM poda almacenar aproximadamente 1.000 bits de infol-macibn. Con la tecnologa
34 Microelectrnica moderna

MOS se pudo disponer de 16.000 bits RAM en 1973,64.000 bits en 1978 y 288.000 en 1982, alcanzndose
el milln de bits en 1986.
Las memorias de slo lectura (ROM) empleadas para las tablas de las calculadoras (p.ej. para hallar
los valores de sen x) se introdujeron por primera vez en 1967. En un sucesivo adelanto aparece el ROM
programable (PROM) y el de borrado (EPROM) del que los datos almacenados se pueden anular (borrar)
para almacenar otros nuevos.
Ms de la mitad de los circuitos integrados MOS fabricados en 1970 lo fueron para la industria de
calculadoras. Con la idea de normalizar el diseo de los chips conservando al mismo tiempo los circuitos
demandados por los consumidores, varios fabricantes de circuitos integrados propusieron subdividir la
disposicin de la calculadora por funciones. Este concepto condujo al microprocesador desarrollado por
M. E. Hoff de Intel (1969). En 197 1 la misma Intel introdujo el microprocesador de 4 bits seguido un ao
ms tarde por un elemento de 8 bits. Pronto comenzaron otras empresas a fabricar microprocesadores y
a los finales de la dcada de los 70 se dispona ya de unidades de 16 bits. Los progresos en los
microprocesadores condujeron ala calculadora en un solo chip. Cochran y Boone de Texas Instruments
patentaron en 197 1 una microcalculadora en un solo chip, si bien la Intel 8048 fue la primera comercial-
mente asequible.
Otra consecuencia derivada de la tecnologa MOS es el dispositivo de carga acoplada (CCD) inventado
en 1970 por Boyle y Smith de Bel1 Laboratories, y que consiste en colocar entre drenaje y fuente una
cadena de puertas prximas entre s. Las cargas introducidas en el canal bajo las puertas pueden transferirse
desde un electrodo de puerta al siguiente cuando se aplican tensiones de puerta apropiadas. Estos
dispositivos se han empleado en memorias y registradores en un RAM de 64.000 bits construido en 1977.
Recientemente el CCD ha encontrado aplicacin en fbricas, procesado de imgenes y comunicaciones.

CIRCUITOS ANALGICOS

El mayor desarrollo en los circuitos integrados analgicos se produjo en 1964 cuando Widlar que
entonces estaba en Fairchild Semiconductor cre el amplificador operacional (el pA709). Desde entonces
el amplificador operacional se ha convertido en caballo de batalla en el procesado de seales analgicas.
Se han desarrollado tambin otros circuitos comprendidos los multiplicadores analgicos, los conver-
tidores digital-analgico (D/A) y analgico-digital (A/D) y filtros activos. La mayora de estos circuitos
emplean transistores bipolares, pero tambin se han empleado MOS hasta finales de la dcada de los 70.

TCNICAS DE FABRICACIN

El aumento en la densidad de componentes debe mucho a quienes mejoraron los procesos de


fabricacin. Estas mejoras comprenden el crecimiento epitaxial(l960), la formacin de mscaras (1969)
y la implantacin de iones (1971). El ancho mnimo de lneas en los chips integrados era de 25 micras en
1961 y actualmente es de 2 micras estando previsto que sea de 1 micra en 1990. Puesto que la superficie
decrece y la densidad aumenta como el cuadrado de la dimensin lineal se espera que a finales de la actual
dcada se disponga de circuitos con densidad de componentes 600 veces mayor que la de los primitivos
circuitos integrados. Otra contribucin al diseo y fabricacin de circuitos integrados eficaz fue el diseo
con ayuda de computador. Los programas SPICE y SUPREM desarrollados en la Universidad de
California en Berkeley y en la de Stanford respectivamente usan ampliamente esta tcnica.
Desde los pocos fabricantes de circuitos integrados existentes en 1960, la industria ha experimentado
un crecimiento inusitado. Como ejemplo, en el Silicon Valley (regin al sur de San Francisco en Santa
Prlogo. Breve historia de la electrnica 35

Clara, California) entre lY67 y 1969 se formaron 24 nuevas compaas de microelectrnica. En 1984 se
dedicaron a la fabricacin de circuitos integrados ms de 100 empresas.

Industrias de la comunicacin y control


Al principio estas industrias fueron adoptando la electrnica de estado slido con cierta lentitud, pero
actualmente todo el equipo, excepto las partes de alta tensin y gran potencia, est transistorizado. Se
emplean tanto transistores discretos como integrados. Los transistores discretos seempleanprincipalmente
en aplicaciones de tensin o potencias medias incluidas las industriales y otros (etapas de salida de audio,
sistemas de encendido en automviles, arrastre de cintas, suministros de potencia, etc.).
La industria de comunicaciones ha cambiado drsticamente debido ala microelectrnica. En 1970 la
transmisin de datos era slo una pequea parte del volumen total de todas las comunicaciones. NO
obstante, hasta 1980 la transmisin digital igual o super la analgica. La adopcin de la transmisin
PCM se puede atribuir directamente a la electrnica. Los sistemas telefnicos actualmente utilizan
circuitos integrados para la conmutacin y las memorias. Los filtros activos a frecuencia vocal se equipan
con circuitos integrados analgicos. Evidentemente, los satlites de comunicaciones han sido posibles y
econmicamente viables gracias a la electrnica.
La introduccin de la comunicacin digital ha supuesto muchas innovaciones en los circuitos. Algunas
de estas innovaciones son hbiles modificaciones con las que los circuitos tradicionales se han adaptado
alas nuevas tecnologas y usos. Otras son nuevas, entre las que estn los filtros de condensador y los filtros
digitales. Un nuevo campo de la electrnica, el procesado de seales digitales, ha prosperado porque 10s
circuitos integrados han posibilitado el enlace entre comunicaciones y clculo.
Asimismo, la industria del control se ha visto muy influida por la electrnica de semiconductores. En
algunas aplicaciones tradicionales tales como la regulacin de velocidad de motoEs y los rectificadores
e inversores de potencia, el rectificador gobernado de silicio (SCR), un dispositivo bipolar de cuatro capas,
ha reemplazado al tiratrn. Al principio de la era de los transistores se emple una pequea calculadora
en el control de mquinas herramientas. La automatizacin de procesos industriales fue posible mediante
grandes calculadoras electrnicas.
La introduccin de los microprocesadores, microcomputadoras y otros circuitos digitales integrados
ha conducido a ingeniosos instrumentos y a una variedad de sistemas de control digitales. Con la
microelectrnica, las calculadoras han pasado a ser componentes integrales de sistemas de control.

La industria del clculo


Lo ms notable de la revolucin microelectrnica ha sido la creacin de una industria completamenre
nueva: la de las calculadoras.
Mientras que los orgenes de la calculadora electrnica se basan en el tubo de vaco pronto se dej
sentir el impacto de la tecnologa de los semiconductores.
La primera computadora transistorizada para un objetivo especial fue desarrollada por Cray en 1956:
La IBM 7090/7094 (1959) fue la primera calculadora de empleo general de la segunda generacin, es
decir, transistorizada. La tercera generacin se caracteriza por un circuito integrado hbrido (muchos
transistores discretos en una capa nica) (IBM 360 en 1964). Simultneamente otros fabricantes entre 10s
que figuran Burroughs, Control Data y Univac introdujeron calculadoras medias y grandes conteniendo

6 rray es fimdndorde.Cc!tKdDatacorpom queluegofdd la oay Compters.


36 Microelectrnica moderna

circuitos integrados. En las mquinas IBM serie 370, 1970 de tercera generacin se emplearon memorias
de semiconductores.
En 1965 se inici una nueva revolucin en la industria de las calculadoras cuando la Digital Equipment
Corporation introdujo su minicalculadora PDPX, primera mquina que se vendi por debajo de los 20.000
dlares. Desde entonces la minicalculadora se convirti en lo ms principal de la industria, abarcando
numerosas empresas de todo el mundo.
En los aos 80 empieza a desarrollarse e introducirse la cuarta generacin de mquinas que emplean
chips VLSI tanto para el procesado corno para la memoria, Actualmente se puede disponer de una variedad
de tamaos que van desde simples micro-procesadores a supercalculadoras capaces de desplegar decenas
de millones de instrucciones por segundo. Se han realizado muchas innovaciones para conseguir ms
velocidad, mayor capacidad y ms flexibilidad de proceso, entre las que estn los chips ms rpidos de
alta densidad, incluido el proceso paralelo, y nuevos conceptos de recopilacin y montaje. Adems la
particin del tiempo y la distribucin del clculo han influido en el uso de estas mquinas.
El impacto de la microelectrnica ha sido bien expresado por Noyce en 1977: actualmente la
microcalculadora con un coste de quizs 300 dlares tiene ms capacidad de clculo que la primera
calculadora electrnica grande ENIAC. Es 20 veces ms rpida, tiene ms memoria, es centenares de
veces ms eficiente, consume la potencia de una bombilla y no la de una locomotora, ocupa un volumen
30.000 veces menor y cuesta como mximo 10.000 veces menos. Se puede adquirir por correo o en el
comercio local.

EL FUTURO
A lo largo de la mayor parte de la vida del lector le ha sido posible tener comunicacin televisiva con
todo el mundo y de hecho con millones de kilmetros en el espacio. Lo que es sorprendente no es la cosa
en s sino el hecho de haberlo conseguido. Es aterrador que alguien desde el Johnson Space Center
pueda manejar un interruptor y dar rdenes a un vehculo espacial a un billn de kilmetros lejos, hacer
girar su cmara de televisin, enfocarla y enviar imgenes a la Tierra. (An a la velocidad de la luz necesita
cerca de dos horas para transmitir la instruccin y recibir la seal). Nada de esto sera posible sin los
adelantos en electrnica culminados con el circuito integrado descrito en las secciones anteriores. Sin
embargo esto es historia y su logro seala el camino futuro de la electrnica.
La posibilidad de transmitir imgenes de televisin desde un ingenio espacial exige que los equipos
de comunicacin, clculo y control acten al unsono como una entidad nica. Es evidente que los distintos
campos de la electrnica se van uniendo y el sistema electrnico inteligente resultante es el centro de
la edad de la informacin.
Las cada vez ms extensas comunicaciones junto con el abaratamiento de las calculadoras ha hecho
que stas se vayan introduciendo en todos los aspectos de la sociedad, Adems de las aplicaciones
industriales tradicionales, la relativa facilidad con que se puede almacenar la informacin, recuperarla,
manipularla y transmitirla ha afectado a nuestros domicilios y a nuestros trabajos. La automatizacin
(procesadores, correspondencia electrnica, etc.) est transformando nuestra forma de trabajar. El manejo
de la energa, las aplicaciones al control, sistemas de seguridad, televisin por cables, y calculadoras
personales son algunas de las aplicaciones domsticas de la electrnica. Como ejemplos de la influencia
de la electrnica en los transportes podemos citar el metro de San Francisco, el sistema de encendido en
los automviles as corno el control de gases y los sistemas de seguridad. Tal ser el impacto de la
microelectrnica que segn Noyce, al final de este siglo la electrnica ser comparable al motor elctrico
actual, que pasa desapercibido.
Prlogo. Breve hiworia de la electrnica 37

Creemos que las industrias electrnicas seguirn siendo las cuatro CB = Componentes, Comunica-
cin, Clculo y Control. Cada vez habr ms dificultad para considerarlas como entidades separadas ya
que cada vez se irn combinando ms. Asimismo la distincin entre dispositivo, circuito y sistema ser
cada vez ms confusa. En la prxima dcada la electrnica estar dominada por la tecnologa basada en
el silicio. Sin embargo las investigaciones sobre nuevos materiales, sobre todo del arseniuro de galio (Ga
As) probablemente empezarn a jugar un papel significativo. Tambin se especula con que materiales
orgnicos como el DNA puedan emplearse en electrnica a finales del siglo.
El futuro de la electrnica se deduce claramente de las siguientes estadsticas sobre el mercado de
electrnica en los EE.UU., en millares de millones:
1985 1990
Venta de elementos 215 400
Venta de circuitos integrados Il 35
Estos datos indican que la creatividad e ingenio de los ingenieros y cientficos de ayer eb el trampoln
para el maana.
PRIMERA PARTE

Dispositivos
Semiconductores

Lu> d~,pus~tivos senr~wr~ducrore~ XIII la componenres cxmrales empleados en el procesado de


seales elctricas que aparecen en los sistemas de comunicacin, clculo y control. El comporta-
rmento elctrico de estos dispositivos controla las fuentes y conmutaciones necesarias en los
circuitos de proceso de las seales. En los cinco captulos de esta seccin se expondr el funciona-
miento fsico y caractersticas de los principales elementos semiconductores. Se introducen las
aplicaciones de circuitos elementales para demostrar cmo son aprovechadas sus caractersticasen
conmutadores y amplificadores. El captulo 1 trata de los conceptos que gobiernan las propiedades
elctricas de los semiconductores. Los captulos 2 al 4 tratan de los diodos de unin y de los
transistores bipolares y de efecto campo. El captulo 5 se refiere a la fabricacin de circuitos
mtegrados.
IL El control del flujo de partculas cargadas es fundamental para el funcionamiento de los dispositivos
electrnicos. Por tanto, los materiales empleados en estos dispositivos deben ser capaces de proveer una
fuente de cargas mviles, y el proceso que gobierna este movimiento de cargas ha de poderse regular. En
este captulo se vern las propiedades fsicas de los semiconductores en cuanto se relacionan a los
dispositivos electrnicos. Estudiaremos en particular las caractersticas de los materiales que nos permitan
distinguir los semiconductores de los aislantes y de los conductores y veremos tambin el dopado de un
semiconductor con impurezas para controlar su funcionamiento.
Veremos tambin los dos procesos de transporte de cargas: 1) por desplazamiento, que es el movi-
miento de cargas producido por un campo elctrico, y 2) por difusin, que es el movimiento resultante de
una distribucin de cargas no uniforme.

l-l. FUERZAS, CAMPOS Y ENERGA


En esta seccin introduciremos las cantidades bsicas que describen los efecto& de las partculas
cargadas. Para la mayor parte de los estudiantes no es ms que un breve repaso a materias ya tratadas
anteriormente en cursos de fsica.

Partculas cargadas
El e/ecr~&~ es la principal de las partculas cargadas negativamente, cuya auqu o cantidad de
electricidad es de 1.60 x 10 coulomb. El nmero de electrones por coulomb es la r&proca de la carga
electrnica, o aproximadamente 6 x 10. Puesto que una corriente de I amperio cs igual a un coulomb
por segundo, una corriente de un picoamperio (PA o 10 A) representa el movimiento de 6 millones de
electrones. Sin embargo, una corriente de I pA es tan pequea que existen considerables dificultades para
medirla.
Tratndose de tomos, frecuentemente conviene considerar el ncleo positivo y la banda de electrones
interior como una carga positiva equivalente (el ncleo) cuyo valor es un mltiplo entero de la carga de
un electrn. El nmero de elecwones de vakncia, es decir, los que estn en la banda ms exterior,
proporcionan una carga negativa quedando el tomo neutro. Bajo ciertas condiciones uno o ms electrones
pueden escapar del tomo dejando un ion positivo. De igual forma, se pueden aadir uno o ms electrones
a la banda de valencia creando un ion negativo. Por ejemplo, los iones sodio y cloro de la sal comn son
simples partculas ionizadas, teniendo cada una de ellas una carga igual a la del electrn. El ion sodio es
positivo y el ion cloro es negativo como resultado de la supresin y adicin respectivamente de un electrn
de valencia.
En un cristal de silicio cada ion comparte un par de electrones con sus vecinos. A esta configuracin
42 Microelectrnica moderna

se le denomina hurtda c~owlrrtte. Pueden darse circunstancias en las que falte un electrn de la estructura
d$,ndo un <<hueco>> en e\i, handa. Eslo\ huecos pueden pasar de un ion a otro en el crktl produciendo
un efecto equivalente al del movimiento de cargas positivas. La magnitud de la carga asociada con el
hueco es la del electrn.

Intensidad de campo
Se dice que existe un campo ekxtr~co en tas vecmdader dc ur,a pa~ucula ~ar~:ada, eh dux, que una
partcula cargada ejerce una fuerza sobre otra partcula cargada de acuerdo con la ley de Coulomh. En
ca\ unidimen\innl en cl que IB c;~rg:, <,, csti en \,,. la fueru c.jercidn \ohre la carga y2 situada a una
di\tanci;~ arbitraria \ e\ en newton\ (NJ:

en donde E es la permitividad del medio en el que residen las cargas. Segn la tercera ley de Newton acta
sobre q, una fuerza igual y contraria.

Cn hurn m&todo pa,n describir el efecto de la\ partculas car &yada!, es valindox de la inrcnsidudde/
campo elctrico %, definida come la fuerza ejercida sobre una carga positiva unidad. As pues, la fuerza
whrr una carga <, en un ci,mtw elctrico es, en una dimensin:

c - q% / N (l-4)
en la que Yr representa la componente en x del campo
Diferenciando la Ecuacin (l-5) tendremos:

g-g Vlm (l-6)

El signo menos indica que el campo elctrico va dirigido desde la zona de mayor a la de menor
potencial.
Por definicin, laenergapotencial U es igual al potencial multiplicado porlacargaq en consideracin,
0 sea (en julios).

cl-qv J (I-7)

Si consideramos un electrn, q se sustituye por -q (siendo q la carga electrnica).


Siendo la energa relativa a un solo electrn tan pequea, es conveniente introducir la umdad de energla
(trabajo) llamada electrn-volt (eV) definida como

1 eV = 1.60 x IO- J

Naturalmente, cualquier tipo de energa, ya sea elctrica, mecnica, trmica u otra


puede expresarse
en electrn-volt.
La Ecuacin (l-7) indica que si un electrn se desplaza a travs de un potencial de IV, su energa
cintica aumentar y la potencial disminuir en 1,6 x 10~ J. o sea 1 ev. Como cada electrn posee muy
poca energa se necesita un enorme nmero de ellos para tener una corriente dbil. En consecuencia, se
puede manejar con ellos una potencia razonable.
La ley de la conservacin de la energa dice que la energa total W que es igual ala suma de la energa
potencial U ms la cintica mv*/2, se conserva constante. En cualquier caso

w = u + Imu = const (1-S)

Energa
Energa potencial 0

Como ejemplo de esta ley consideremos dos placas paralelas A y B separadas una distanciad como se
ve en la Fig. I-la teniendo B una tensin negativa V, respecto a A. Un electrn abandona A y se dirige
hacia B co una velocidad Y,>en la direccin x. iQu velocidad tendr el electrn si llega a B?
Por la definicibn de la Ec. (l-5) es evidente que slo tiene significacin la diferencia de potencial. Por
tanto podemos arbitrariamente conectar A a tierra, o sea considerar que su tensin es cero. Por tanto la
tensin en B ser V = -V, y la energa potencial ser U = -qVc,. Si igualamos la energa total en A con la en
B tendremnc
W = :I?IG:, = :mc + qV,, J (I-9)
Esta ecuacin indica que v debe ser menor que v,, lo que es evidentemente correcto ya que el electrn
>c mueve contra el campo. La velocidad final alcanzada por el electrn en este sistema conservador es
independiente de la forma de variacin de la distribucin del campo entre las dos placas y depende slo
de I diferenaa de tensin V,. Obsrvese que si el electrn ha de alcanzar el electrodo tl su velocidad
inicial debe ser suficientemente grande para que i rnd > q V,. Pues de otra forma la Ec. (1-9) nos llevara al
absurdo de que Y sea imaginaria. Vamos a elaborar ahora estas consideraciones.

Concepto de barrera de energla potencial


Partiendo de I Fig. I-IU, en la que lo\ electrodo\ \on grande\ en comparacin de d poderno\ trazx~
(Fig. l-1 h) una curva lineal de potencial Ven funcin de la distancia x (en el e\pacio entre electrodos). En
la Fig. l-l<.\e representa laenergiapotencial Uen funcin dex; lacurva L sedcduce de la hmultiplicando
cadaordenadaporlacargade unelectrn (un nmeronegativo). Laenerga total Wdel electrn semantiene
constante por lo que viene representada por una lnea horizontal.
La energa cintica cualquier dktancia ,, es la diferencia entre la energa lotkal W y I potencial U en
e\e punto. La diferencia es mxima en 0 sealando que la energa cintica es mxima cuando el electrn
abandona el electrodo A. En el punto P esta diferencia es nula, lo que indica que no extste energa cintica
por lo que la partcula queda detenida en ese punto. Esta distanciaX,, es la mxima que el electrn puede
recorrer desde A. El electrn en el punto P (donde x = x,,) se detiene momentneamente y luego retrocede
y vuelve a A.
Consideremos un punto tal corno .x2que est ms alejado que x,, del electrodo A. Aqu la energa total
W CSmenor que la potencial U, de forma que la diferencia, que representa la energa cintica, es negativa.
Esta c\ una condicin fi~icamente imp\ible ya que una energa cin6tica negativa (mr 12 41) supone una
velocidad imaginaria. Se Ilcgaalaconclutin deque la partculanuncaavanzar hasta unadistanciamayor
que ,t,, del electrodo A. En el punto P la diferencia e nula lo que significa que no hay energa cintica y
la partcula queda parada. Lu distancia I,, cs la mxima la que el electrn puede desplazarse. El anterior
nli\i\ no\ conducc la conclu\in verdaderamente importante de que nunca podrn entrar electrones
cn la kona hombreada de la Fig. I-I,. Por tanto, en el puntc P la partcula se comporta como \i hubiera
chocado con una pared o barrera slida altcrndosc la direccin de w trayectoria. Dc esta forma la barrera
de cncrgia potencial .juega un papel importante en cl nlisk de di\positivo\ \cmiconductorc\.
Hay que hacer constar que lo de colisionar con una barrera de potencial no es ms que una frase
dcxriptiva conveniente, pero sin que haya un verdadero choque entre materiales slidos.

1-2. LA CONDUCCIN EN LOS METALES


En un metal los electrones de conduccin o de valencia de un tomo estn tan asociados a un ion como
co,, cualquier otro, con lo que la ligazn con cualquier tomo individual es prcticamente nula. Segn sea
el metal. por lo menos uno, y a veces dos o tres electrones por tomo estn libres de moverse en el interior
del metal bajo la accin de campos elctricos aplicados.
La Fig. I-2 es una representacin esquemtica en dos dimensiones de la distribucin de cargas dentro
Semiconductores 45

del metal. Las zunas sombreadas representan la carga positiva neta del ncleo junto con los electrone!
internos estrechamente ligados al ncleo. Los puntos negros representan los electrones exteriores o de
valenciadel tomo. A estos electrones no cabe considerarlos como pertenecientes a un tomodeterminado:
son los que han perdido completamente su individualidad y pueden circular libremente de unoa otro tomo
dentrodel metal. Aspues, unmetal puede serconsideradocomounaregin quecontiene unaredperidica
tridimensional de iones pesados fuenemente enlazados, rodeados por una nube de electrones que pueden
moverse libremente. Esta imagen constituye la descripcin de un metal conocida como gas electrnico.
De acuerdo con la teora del gas electrnico de un metal, los electrones estn continuamente en
movimiento cambiando, la direccin de su trayectoria en cada colisin con los iones pesados casi
estacionarios. Ladistanctamediaentrecolisiones sedenominarecorridolibremedio. Comoelmovimiento
es aleatorio, el nmero de electrones que cruzan una unidad de superficie en un determinado tiempo es
nulo en promedio y por tanto es tambin nula la corriente media.
Veamos ahora cmo cambia la situacin si se aplica al metal un campo elctrico %constante. Como
resultado de estas fuerzas electrostticas los electrones se aceleran y la velocidad crecera indefinidamente
con el tiempo si no fuera por las colisiones con los iones. En cada colisin inelstica con un ion el electrn
pierde energa y cambia de direccin. La probabilidad de que despus de la colisin un electrn se mueva
en una determinada direccin es igual a la probabilidad de que lo haga en la opuesta. Por tanto, la velocidad
de un electrn aumenta linealmente con el tiempo entre colisiones, y como promedio se reduce acero en
cada colisin.
Se llega a una situacin de equilibrio cuando se alcanza una velocidad de desplazamiento v,. Esta
velocidad es de sentido opuesto al campo elctrico. La velocidad en el momento f despus de la colisin
es at siendo la aceleracin igual a q/m. En consecuencia, la velocidad de desplazamiento es proporcional
a ;z y viene dada por
c,, = I*c m/s (I-10)

en donde la constante de proporcionalidad p se denomina moviirdad de lus &crrones 4.


46 Microelectrnica moderna

De acuerdo con la teora anterior, al movimiento trmico aleatorio se superpone la velocidad de


desplazamiento de equilibrio. Este flujo dirigido de iones constituye una corriente que podemos ahora
calcular.

Densidad de corriente
En la Fig. l-3 hay N electrones diaibudos uniformemente cn un conductor de lon!#ud L y sxm5~~
A. Un electrn, bajo la influencia de un campo elctrico i recorre L metros en 7 segundos lo que da una

Area* , N Electrones

velocidad de desplazamiento vd igual a LIT. La corriente 1 es pur definian el total de cagas que paban
por una seccin en la unidad de ttempo, lo que es igual ala carga de un pofiador multiplicada por el nmero
de stos que cruzan la seccin en un segundo. De donde (en amperios):

, = YN !- _ CL!?: * (I-I 1)
7 1 L
L~d~n~id~dd~~ornente,quedesignaremos./eslacol+ienteporunidaddeseccindelmedio~~nducto~
admitiendo una densidad uniforme tendremos

J*! A!m (l-12)


A
SustituyendolaEc.(l-ll)enla(l-12)tendremos
L/NC<I .A!m (I-13)
J = -ir

ylaEc.(I-13)seconvierteen

J = yrii,, ~. p, L,, Aim (l-15)

en la que ,I = y,, 2, la densidad dc carga en coulomb por metro cbxo.


Esta dekcin es independiente de la forma del medio de conduccin. En consecuencia, la Fig. l-3
no representa necesariamente un hilo conductor y puede representar igualmente tanto una porcin de la
descarga gaseosa de un tubo como un volumen elemental de un semiconductor. Por otra parte ni p ni L~
son necesariamente constantes sino que pueden variar con el tiempo.
Conductividad
Acabamos de ver que vd es proporcional a %. De las Ecuaciones (l- 10) y (I-15) resulta

J = qnu,, = qn@ = Cr% AM (l-16)


donde

cr = qnp (&m) (l-17)

es la conductividad del material. Recordando que % L = V es la tensin aplicada a travs del conductor,
podemos deducir la coniente 1 de la Ec. (l-16) resultando la Ley de Ohm.

La resistencia R del conductor es en ohms (n)

siendo la resistividad~ la inversade la conductividad.


Como ya se ha indicado antes, la energa que adquieren los electrones del campo elctrico aplicado se
cede, como resultado de Ia colisiones, a los iones de la red. Por tanto. en el interior del metal se disipa
energG siendo la densidad de potencia trmica (en watt por metro cbico) J = at, (esta relacin es anloga
a P = VI = WR).

Ejemplo l-1

Una lnea de conduccin en un chip tiene 2,8 mm de longitud y una seccin recta rectangular de 1 x
4 micras. Una corriente de 5 mA produce una cada de tensin de 100 mV en dicha lnea. Determinar la
concentracin de electrones dado que la movilidad es de 500 cmN.s.

Solucin.

La concentracin de electrone\ pu& deducirse dc o de la Ec. (1. 17). La conducti\Gdad se determina


resolviendo la Ec. (I-l X)

IL 5x 10 1 x 2.8x 10 3
lT = vi = = 3.50 x 10 (0.m) ~
0.1 x (10 6 x 4x 10 6)
y de la Ec. (I-17) obtendremos
3.5 x 10
,j=r
4P 1.60X 10 1) x 500x 10 1
= 4.3x x 10 m = 4.38 x 10 cm

Como se vio en la Ec. (l-17) la conductividad es proporcional a la concentracin de portadoreh de


cargas. La concentracin de electrones libres hallada en el Ejemplo I-1 es un valor tpico del conductor.
Pocos portadores se hallan en los aislantes, y la concentracibn de electrones es del orden de 10 m . Los
48 Microelectrnica moderna

matenales.cuya concentraci,n de portadores est comprendida entre la de los conductores y la de los


aislantes se denominan semiconductores ctiyzspropiedades se rstudiarn en las dos phimas secciones.

1-3. EL SEMICONDUCTOR INTRINSECO


Los tres semiconductores ms empleados son el silicio, el germanio y el galio. Como los dispositivos
de silicio son los predominantes nos limitamos al estudio de ste.
La estructura cristalina del silicio consiste en una repeticin regular tridimensional de una clula
unitaria en forma de tetraedro con un tomo en cada vrtice. La Fig. 1-4 es una representacin simblica
de esta estructura en dos dimensiones. Los tomos de silicio tienen 14 electrones, cuatro de los cuales son

de valencia, por lo que el tomo es tetravalente. El ncltx jnico inerte del silicio tiene una carga + 4
medida en unidades de carga electrbnica. La fuerza de enlace entre tomos vecinos es el resultado del
hecho de que cada electrn de valencia de un tomo de silicio es compartido por uno de sus cuatro vecinos
ms prximos. El enlace covalente se representa en la Fig. l-4 por las dos lneas que unen cada ion con
cada uno de sus vecinos. Los electrones de valencia sirven de unin de un tomo con el siguiente con 10s
queresultaqueestoselectronesquedenfuenen~enteunidosalncleo.Portanto,apesardeladi~ponibilidad
de cuatro electrones de valencia, pocos de ellos estn libres para contribuir a la conduccin.

El hueco
A temperatura nIuy baja (dlgamo\ 0 <Kj la estructura rdeal repwentada cn la Fig. l-4 es baslntc
aceptable y el cristal se convierte en un aislante ya que no hay disponible ningn portador libre de
electricidad. Sin embargo a temperatura ambiente algunos de los enlaces covalentes se rompen debido al
Electrn libre

suministro de energa trmica al cristal lo que posibilita la conduccin. La situacin queda representada
en la Fig. l-5. En este caso, un electrn que normalmente forma parte de un enlace covalente se ha
representado fuera del enlace y por tanto libre para circular al azar por el cristal. La energa E, necesaria
pararomperelenlacecovalenteesde l,l evparael silicioa temperaturaambiente. Laausenciadelelectrn
en el enlace covalente est representada por un pequeo crculo en la Fig. l-5 y tal enlace covalente
incompleto se denomina hueco. La importancia del hueco radica en que puede servir de portador de
electricidad comparable en su efectividad al electrn libre.
El mecanismo por el cual los huecos contribuyen a la conductividad se explica cualitativamente de la
siguiente forma: Cuando un enlace est incompleto de forma que haya un hueco, es relativamente fcil
que un electrn de valencia de un tomo vecino abandone el enlace covalente para llenar el hueco. Un
electrn que deja su enlace para llenar un hueco deja a su vez otro hueco en su posicin inicial. Por tanto,
el hueco se mueve efectivamente en direccin contraria al electrn. Este hueco en esta nueva posicin
puede ser llenado por un electrn de otro enlace covalente y por tanto el hueco se desplazar un lugar en
sentido opuesto al movimiento del electrn. He aqu un nuevo mecanismo de conduccin de laelectticidad
que no suponc electrones libres. En la Fig. I-6 se reoresenta esquemticamente este fenmeno: un circulo
con un puntu repre\entaun enlace completo y uncrculo vacorepresentaun hueco. LaFig. l-60 representa
una wce~in de 10 iona con un enlace roto, o hueco. en el ion 6. Imaginemos ahora que un electrn del
i;x~ 7 pau al hueco del 6, resultando la configuracin dc la Fig. Mb. Si comparamos esta figura con la
I -hu se vc como si el hueco de esta ltima hubierapasado del ion 6 al 7 movindose hacia la derecha, y
esta observacin determina que el movimiento del hueco en una direccin significa el traslado de una
carga ndgativa a igual distancia pero en sentido opuesto. Por lo que respecta a la circulacin de corriente
elctrica, los huecos se comportan como cargas positivas de igual valor que las del electrn. Podemos
considera que los electrones son entidades fsicas cuyo movimiento constituye un flujo de corriente. El
argumento de que los huecos equivalen a portadores de cargas positivas libres puede justificarse con la
mecnica cuntica.
SO Microelectronrca moderna

Conduccin en semiconductores intrnsecos


La estructura del cristal representada en las Figs. 1-4 y l-5 supone una muestra de silicio puro, es decir,
que no contenga tomos ajenos. Estos cristales puros constituyen un .semiconductor intrnseco. Como se

Figura t-6. Mrcaniamopor el cual un huecocontribuyea la conductividad


ve en IaFig. l-5 laroturade un enlace covalente se traduce en un electrn libre y un hueco. En consecuencia
la concentracin de huecos p y la de electrones n deben ser iguales
p=n=n, (l-20)
siendo n, la concentracin intrnseca. La agitacin trmica genera nuevos pares electrn-hueco, mientras
desaparecen otros por la recombinacin. El valor de n, depende de la temperatura, y sus variaciones se
vern en la Seccin l-5.
Tanto los huecos como los electrones participan en el proceso de conduccin. Debido a que los
mecanismos por los que se mueven los huecos y los electrones en el cristal difieren entre s, la movilidad
de estos portadores es distinta. Para distinguir los valores de huecos y electrones se emplean los subndices
y y n. Estos portadores se mueven en direcciones opuestas en un campo elctrico, pero como son de signo
contrario ambas corrientes son en el mismo sentido. La densidad de corriente J resulrante de un campo
elctrico i se deduce de la Ec. (l-16) modificada para comprender ambos portadores, y es

J = q(n/L,, + pl*,)c! = u% AlmI (l-21)


La conductividad es.
m = q(w,, + P&) (Cl.m) (l-22)
Tabla 1.1 Propiedades del silicio intrnseco
PImpiedad Val01-
Nmero atmico 14
Peso atmico 28.1
Densidad (gicm) 2.33
Pennitividad relativa (constante dielctrica) ll.9
mmos/cm 5.0 x IV2
Encrgi E,, a 0 K (CV) 1.21
Energa E,, a 300 K (ev) 1.12
Rc\i\tividd a 300 K (11 .cm) 2.30 x 10
Movilidad electrones p,, 300 K [irn/( I 500
Movilidad de huecos p!, a 300 K [cml(V.S)J 475
Concentracin intrnseco a 300 K (cm ) I .4.5 x 10
Constante difusin electrtrne\ D,, 300 K (cm/\~ 34
Con\tante clilusin huecos D,, il 300 K (cm/s) 13

Fuente: S. M. Sze (ed.), VSLI Technology, McGraw-Hill Boak Company, Nueva York. 1981.
Ejemplo I-2

Una barra de silicio intrnseco tiene 3 mm de longitud y una seccin recta rectangular de 50 x 100
micras. Determinar la intensidad del campo elctrico en la barra y la tensin a travs de ella cuando circula
una corriente de 1 FA, todo ello a 300 K.

Solucin

La intensidad de campo se puede deducir de la densi&ad de corriente y de la conductividad

Valindonos del valor de I, dado en la Tabla I-l tendremos

En la que el factor 10~reduce la resistividad de R cm a Q m.

C = 4.60 x 10 Vim : 4.60 x 10 Vkm


La tensin a travs de la barra es
Vh,,, = TL = 4.60~ 10 x 3x 10 ; 1380 v

El resultado obtenido en el ejemplo l-2 indica que para obtener una pequea corriente (1 F A) se
necesita una tensin extraordinariamente alta. Sin embargo, esto no es de extraar ya que la concentracin
de portadores intrnsecos se parece mucho ms a la de un aislante que a la de un conductor. As pues, los
semiconductores intrnsecos no son adecuados para dispositivos electrnicos. En la seccin 1-4 veremos
un procedimiento con el que se puede aumentar la concentracin de portadores.

1-4. SEMICONDUCTORES EXTRNSECOS


Para aumentar el nmero de portadores el procedimiento corriente es el de introducir en un sermcon-
doctor intrnseco una pequea cantidad de impurezas cuidadosamente controlada. La adicin de impure-
zas, frecuentemente tomos trivalentes o pentavalentes, forma un semiconductor arvnsrco o dopudo.
Cada tipo de impureza forma un semiconductor con una clase de ponadores predominante. El nivel normal
52 Microerecrronrca moderna

de dopado es del orden de I tomo de impurezas por cada 10 a 10 tomos de silicio. Las propiedades
fsicas y qumicas son esencialmente las mismas del silicio y slo varan marcadamente Ias elctricas.

Semiconductores tipo II
La Fig. l-7 representa la estructura del cristal que se obtiene al dopar con una impureza pentavalente.
Cuatro de los cinco electrones de valencia ocupan enlaces covalentes y el quinto queda inicialmente sin
enlace y constituir un portador de corriente. La energa necesaria para desligar del tomo este quinto
electrn es slo del orden de O,OSeV para el silicio, energa considerablemente menor que la necesaria
para romper un enlace cavalente. Como impurezas pentavalentes adecuadas est el antimonio, el fsforo

Si se dopa un material semiconductor intrnseco con impurcras tipo n, no slo aumenta el nmero de
electrones sino que el nmero de huecos desciende por debajo de lo que tena el semiconductor intrnseco.
Esta baja en el nmero de huecos cs debida al gran nmero de electrones presentes lo que aumenta el ritmo
de recombinaaones de electrones con huecos. En consecuencia, 105 portadores dominantes son los
electrones negalivos, y el dopado con donadores lleva a un semiconductor de tipo n.

Semiconductores de tipo p
El boro, el galio y cl indio son tomos trivalentes que aadidos a un semiconductor intrinseco
propocionan electrones para completar nicamente tres enlaces covalentes. La vacante existente en el
cuarto enlace forma un hueco como se ve en la Fig. l-8. Este tipo de impurezas posibilitan portadores
positivos ya que se crean huecos que pueden aceptar electrones. Por ello las impurezas trivalentes se
Semiconductores 53

denominan acrptudonx y forman Ios semiconductores de tipo p en los que los portadores predominantes
son los huecos.

Ley de accin de masas


Hemos visto que al aadir impurezas de tipo n decrece el nmero de huecos, y de igual forma al aadir
impurezas del tipo p disminuye la concentracin de electrones libres por debajo de la del semiconductor
intrnseco. Un anlisis terico (seccin l-7) nos demostrar que en condiciones de equilibrio trmico el
producto de las concentraciones de cargas positivas y negativas libres es constante e independiente de la
cantidad de donadores o aceptadores. Esta relacin se denomina /ey de arcin de mmm y viene dada por
np = nf (l-24)
La concentracin intrnseca ti, cs funcin de la temperatura (seccin 1-S).

De todo ello sacamos la conclusin de que las impurezas en un semiconductor intrnseco no slo
aumenta la conductividad sino que sirve tambkn para producir un conductor en el que los portadores dc
carga sean predominantemente huecos o electrones. En un semiconductor de tipo n los electrones SC
denominan portadores mayoritarios. En un material de tipo,> los huecos son los portadores mayoritario\
y los electrones los minoritarios.

Concentracin de portadores
Hemos ya indicado anteriormente que para ionizar los tomo5 dc impureras se requiere muy poca
energa. La temperatura a la que normalmente trabajan los dispositivos electrnicos (> 200 K) propor-
ciona energa trmica suficiente para ionizar virtualmente todas las impurezas. Este hecho, junto con la
ley de accin de masas nos permite determinar la densidad de carga en un srmiconductor.
54 Microelectrnica moderna

Sea IV,, la concentracin de tomos donadores y N, la de tomos aceptadores. Puesto que todas estas
impurezas estn prcticamente ionizada producirn una densidad de iones positivos y de iones negativos
:v, ) N, respectivamente. Para mantener el cristal elctricamente neutro la densidad de cargas positivas
dehe ser igual il IR roncentracin de cargas negativas como se indica en la Ec. (l-25)
ND + P = N, + n (l-25)

Consideremos un material de tipo n en el que N, sea igual u cero. Como el nmero de electrones es
mucho mayor que el de huecos en un semiconductor de tipo n (n p), la Ec. (l-25) se reduce a

La concentracin p de huecos en el semiconductor de tipo n SCobtiene a partir de la Ec. (l-24) o sea:


n:
P=- (l-27)
%
De igual forma. en un wlriconductor tipop con N,, = 0 tendremos

P = NA (I-28)

Una pieza de iduo upo II tiene 3 mm de longitud y una seccin recta rectangular de 50 x 100 micras
La concentracin de donadores a 300 K es de 5 x 10 cm~ que corresponde a un tomo de impureza pol
IO tomos de silicio. Por la pieza circula una corriente de 1 PA. Determinar la concentracin de electrone!
y huecos, la conductividad y la tensin entre extremos. (Obsrvese que se trata de una muestra de tipo n
que tiene las mismas dimensiones v corriente que el silicio intrnseco del Eiemplo l-2).

De I\ Ec. ( , -26) y ( I-27). y empleando lo\ valore\ de r,, y p,, dados en la Tabla I l tendremo\:

n = N,, = 5 x lOI cm

Cl.45 x loy
P= = 4.2 x 10 cm s
5 x 104

Como ,/ ,> ,,, ,(>Io hay que considel-ar cn IU Ec. (I-22) IB concentracin dc clcctronc\, por lo que Ii,
conducttwdad ser
(T = ynpq, = I .60 x I 0 l4 x 5x l(Y4 x 1.5x 10 = 0.12 (Ilzm)
Semiconductores 55

La tensin deducida de?L, en donde segn la Ec. (1-21) / = J/o, es


10-e (0.3)
V bar = JL=LL= = 0.05 v
Cr A,, (5 x IO-) (lo-*) X 0.12
Comparando los resultados de los Ejemplos 1-2 y 1-3 se ve claramente la utilidad de emplear
semiconductores extrnsecos en los dispositivos electrnicos. Para tener una pequea corriente de 1 pA
hay que aplicar una tensin de 1.380 Va la muestra intrnseca, mientras que son suficientes 50 mV en la
muestra de tipo n. La reduccin de la tensin en 28.000 veces iguala exactamente la reduccin de la
resistividad (de 2,30 x 10 I/o= 8,33 R cm). El enorme aumento del nmero de electrones libres (de
1,45 x 10 a 5 x 10 cm~) tiene lugar cuando slo un tomo de silicio de entre 100 millones es sustituido
por un tomo de impureza
Cabe aadir donadores a un cristal de tipop, o inversamente aadir aceptadores aun material de tipo
II. Si se igualan las concentraciones de donadores y aceptadores en el semiconductor, ste permanece
intrnseco. Los huecos de los aceptadores se combinan con los electrones de conduccin del donador para
no dar ningn portador libre adicional. Por tanto, en la Ec. (l-25) siendo ND = N, observamos que p = n,
y en la Ec. (l-24) que n2 = n: o n = n, = concentracin intrnseca.
Ampliando los conceptos anteriores cabe indicar que si la concentracin de tomos donadores aadidos
aun semiconductor de tipop supera la concentracin de aceptadores (ND >N, ) el material pasa de ser del
tipop al tipo n. Inversamente, la adicin de un nmero suficiente de aceptadores auna muestra de tipo n
la convierte en un semiconductor del tipo p. Esto es precisamente lo que se hace en la fabricacin de
transistores integrados. Para determinar la concentracin de portadores en estas circunstancias ND se
reemplaza por N,-N, en las Ec. (l-26) y (l-27) cuando el material tipo p se pasa a semiconductor del tipo
n. Anlogamente cuando un semiconductor de tipo n se convierte en tipo p, N, de la Ec. (l-28) y Il -29)
se sustituye por NA-N,.

Generacin y recombinacin de cargas


En un semiconductor intrnseco el nmero de huecos es igual al nmero de electrones libres. La
agitacin trmica genera R nuevos pares electrn-huecos por unidad de volumen y segundo, mientras
desaparecen otros pares como consecuencia de la recombinacin: dicho de otra forma, los electrones libres
caen en los enlaces covalentes vacos con la prdida de un par de portadores mviles. Como promedio,
un hueco (o un electrn) existe durante 7 (o T,,) segundos antes de recombinarse. A este tiempo se le
denomina vida media del hueco (o del electrn). Estos parmetros son muy importantes en los sistemas
semiconductores porque indican el tiempo requerido para que las concentraciones de electrones Y de
huecos motivadas por el cambio vuelvan a sus concentraciones de equilibrio.

1-5. VARIACIONES EN LAS PROPIEDADES DEL SILICIO


La conductividad de un semiconductor dada en la Ec. (l-22) depende de la concentracin de huecos
y de electrones y de la movilidad. Ya que los sistemas semiconductores estn sometidos a muy diversas
temperaturas de trabajo, las variaciones en los parmetros debidas a ello tienen su importancia.

Concentracin intrnseca
En un semiconductor intrnseco la densidad de pares hueco-electrn aumenta con la temperatura.
Tericamente la concentracin intrnseca n, vara con T segn
56 Microelectrnica moderna

donde /$,,, es la energa necesaria para romper un enlace covalente a 0 K en electrn-volt, k es la constante
de Boltrmann en electro-volt por grado Kelvin y A,, es una constante independiente de 7.
En los semiconductores extrnsecos el aumento de nz con la temperatura afecta tambin a la densidad
de cargas. Por ejemplo, consideremos una muestra de tipo n con una concentracin de donadores N,
sometida aun aumento de temperatura desde 300 a 400 K. La densidad de electrones n a 400 K no debe
variar apreciablemente de su valor a 300 K porque las impurezas donadoras ionizadas proporcionan la
casi totalidad de pottadores. No obstante, la ley de accin de masas indica que la concentracin de huecos
y crece. Anlogamente, en semiconductores de tipo p. II crece moderadamente con la temperatura y p 7
N,, se mantiene constante.

Movilidad
Dentro del margen de temperaturas entre 100 y 400 K la movilidad de electrones y huecos vaa
proporcionalmente a T. Para el silicio m = 2,5 para los electrones y 2,7 para los huecos. La movilidad p
decrece con la temperatura porque hay ms portadores y stos son ms activos a temperaturas altas. Cada
uno de estos factores favorece el nmero de colisiones y p decrece.
La movilidad es tambin funcin de la intensidad del campo elctrico y del nivel de dopado. En un
silicio de tipo n, 1 es constante una temperatura darla slo si % <IO Vicm. Con ;/ > 10 Vicm, p,, es
inversamente proporcional a %y la velocidad se acerca a los 10 cm/s (la velocidad de saturacin). Entre
10 y 10 V/cm I-(,,vara aproximadamente como lJ2.

Conductividad
La conductividad de un semiconductor intrnseco crece al aumentar la temperatura porque el aumento
de pares hueco-electrn es mayor que el descenso de su movilidad. En un semiconductor extrnseco y
dentro del campo entre los 100 y 600 K el nmero de portadores mayoritarios es casi constante, pero la
menor movilidad hace que la conductividad decrezca con la temperatura.

1-6. DIFUSIN
Adems de por una corriente de conduccin, el transporte de cargas en un semiconductor puede
realizarse por un mecanismo denominado difusin, lo que normalmente no sucede en los metales. A
continuacin veremos los rasgos principales de la difusin.
Es posible que la concentracin de partculas en un semiconductor no sea uniforme. Tal como se indica
enlaFig. 1-9laconcentracindehuecospva~aconladistanciaxenelsemiconductoryexi~teungradiente
de concentracin dpidxen la densidad de portadores. La existencia de ese gradiente implica que si se traza
una superficie imaginaria (indicada con trazos en la figura) la densidad de huecos en un lado de tal
superficie es mayor que la del otro lado. Los huecos tienen un movimiento aleatorio motivado por la
energa trmica. De acuerdo con esto los huecos se movern continuamente adelante y atrs a travs de
esa superficie y cabe esperar que en un cierto intervalo de tiempo, mayor nmero de ellos crucen la
superficie desde el lado de mayor densidad al de menor que no en sentido contrario. Este transporte de
huecos constituye una corriente en el sentido positivo de x. Obsrvese que este transporte de cargas no es
Figura i-9. Representacin de una densidad no uniforme de huecos y la densidad de corriente de difusin resultante.

el resultado de la repulsin mutua entre cargas del mismo signo sino que es simplemente el resultado de
un fenmeno estadstico. Esta difusin es exactamente anloga a la que existe en un gas neutro si hay un
gradiente de concentracin en el mismo. La densidad de corriente de difusin de huecos J,>es proporcional
al gradiente de concentracin, y viene dada por

A/m (l-31)

en donde D (metros cuadrados por segundo) se denomina consfrrnte de difusin de los hucwx. Puesto que
p de la Fig.!l-9 decrece al aumentarx, dpidx es negativo y se precisael signo menos en la Ec. (1-3 1) de
forma que J ser positivo en la direccin positiva de x. Existe otra ecuacin similar para la densidad de
corriente dedifusin de electrones. [(, es reemplazada por n y el signo menos por el ms en la EC
(l-31)].

Relacin de Einstein
Puesto que tanto la difusin como la movilidad son fenmenos estadsticos termodinmicos L> y F no
son independientes. La relacin entre ellos viene dada por la ecuacin de Einrein

en donde , es la tens~on equ,va,enre ac remperaturax definida por

_T-
T 1 V (l-33)
q 11,600
siendo k la constante de Boltrmann en julios por grado Kelvin. Obsrvese la diferencia cntreky k, la
ltima es la constante de Boltzmann en elects-volt por grado Kelvin. (En el apndice A-l se dan los
valores de ky k. De la Sec. l-3 se deduce que k = I ,60 x 10~k. A temperatura ambiente (300 K), 1/, =
0,0259V y p = 38.60.
(En la tabla 1-l se dan los valores medidos de p y los calculados de L) para el silicio.)

Corriente total
Puede existir simultneamente dentro de un semiconductor un gradiente de tensin y un gradiente de
concentracin. En tal caso la corriente total de huecos es igual ala suma de la corriente de desplazamiento,
[Ec. (l-16) con la n reemplazada por p] y la corriente de difusin (Ec. l-3 1) o sea

J,> = w,,P% ~ @,> 2 Alm (l-34)

Anlogamente, la corriente de electrones es

Jn = YIM% + yO,, 2 Aim (l-35)

1-7. SEMICONDUCTORES GRADUADOS

El semiconductor representado en la Fig. 1-10~ tiene una concentracin de huecos que es funcin de
,x\,es decir que el dopado es gradual (no uniforme). La densidad de electrones debe variar algo con ,t a
consecuencia de la ley de accin de masas. Supongamos que existe un equilibrio trmico y que no se
inyectan portadores desde ninguna fuente externa (excitacin nula). En estas condiciones no puede haber
un movimiento estable de cargas y s slo el movimiento aleatorio debido a la agitacin trmica. Por tanto
la corriente total de huecos debe ser cero y tambin lo ser la corriente total de electrones. Como p no es
constante cabe esperar una corriente de difusin de huecos no nula. Para que desaparezca la corriente total
de huecos deber existir una corriente de desplazamiento de huecos que sea igual y contraria a la de
difusin. Puesto que una corriente de conduccin requiere un campo elctrico llegamos a la conclusin
de que un dopado no uniforme genera un campo elctrico en el interior del semiconductor. Hallaremos
ahora ese campo y la variacin de tensin correspondiente a lo largo de la pieza.
Haciendo J,p= 0 en la Ec. (l-34) y haciendo uso de la ecuacin de Einstein D,, = r*,,V T (Ec. l-32)
tendremos

~=!!r&J Vim (l-36)


P dx

Si I concentracin de dopado />(.v)es conocida, puede clculrs~ cl campo Z(x) y de 6 = d\/l<lx


podemos calcular el potencial

v, T> Tipop Tipo n


\ \ /
Semiconductores 59

Integrando la Ec. (I-36) entre x, donde la concentracin es p, y la tensin V, y x2 donde p = .P? y


V = V? tendremos
PI
v2, = v, - v, -= VI hlp. v (l-38)

Obsrvese que la diferencia de potencial entre dos puntos depende nicamente de las concentraciones
en ellos y e independiente de su separacin x-xi. La Ec. (l-38) puede expresarse de la forma
p, = Plt, I:,dlr (l-39)

Esta es la relacin de Bolamann de la teora cintica de los gases.

Ley de accin de masas


Partiendo de J,, = 0 y procediendo como antes se llega a la ecuacin de Boltzmann para los electrones

ll, = Il?< Llbl (l-40)


Multiplicando las Ecs. (l-39) y (l-40) tendremos
nip, = n2P2 (l-41)
Esta ecuacin indica que el producto np es una constante independiente de x y por tanto del dopado
en condiciones de equilibrio trmico. En un semiconductor intrnseco n = p = n, y np = n,, que es la
ley de accin de masas introducida en la Ec. (l-24).

Unin abrupta en circuito abierto


Consideremos el caso especial de la Fig. l-10/1. La mitad izquierda de la barra es de tipo I, con una
concentracin constante N,, mientras que la mitad derecha es de tipo n con una densidad uniforme N,. El
plano sealado con lnea de trazos es una unin metalrgica @n) que separa las dos porciones de distinta
concentracin. Este tipo de dopado en el que la densidad cambia abruptamente de p a n se denomina en
escaln. La unin queda localizada en el plano en el que la concentracin es nula. Como se ha descrito
antes, la teora seriala que entre las dos secciones existe un potencial llamado fensidn de mnracro V,. La
Ec. (l-38) nos permite calcular V,, con lo que

PU (l-42)
V = V?, v, In po v
n

ya quep, = p,,,,= concentracin de huecos en equilibrio trmico en el lado p y p, = P,,,j= concentracin de


electrones en equilibrio trmico en el lado n.
De la Ec. (l-28). P,~,,= N,, y de la Ec. (l-23) p,,,, = n,/N, de forma que

V,=V,ln~ v (l-43)

La misma expresin para Vo se obtiene del anlisis correspondiente visto anteriormente, basado en
igualar la corriente total de electrones Itt acero (problema l- 18). La uninpn, se estudiar detalladamente
en el captulo 2.
60 Microelectrnica moderna

REFERENCIAS
1 Shocklcy, W.: Electrons and Hola in Semiconductors, D. Van Nostrand, Princeton, N.J. (reimpresin), 1973
2 Yang, E. S.: Fundamentals of Semiconducior Dcviccs. McGraw- Hill Book Company, Nuwa York,l978.
3 Sze, S. M.: Physics of Semiconductor Deviccs. 2. cd., John Wiley & Sons, Nueva York, 1979.
4 Adler, K. B.. A. C. Smith, y R. L. Longini: Introduction to Semiconductor Phybia, val. 1, SEEC, Juhn W~lcy
& Son\. kueva York. 1965.

TEMAS DE REPASO
1-l Definir la intensidad de campo elctrico.
1-2 Definir la energa potencial.
l-3 Definir el electrn-volt.
1-4 Dar la descripcin &a-electrnica de un metal.
l-5 Definir la movilidad.
1-6 Definir la conductividad.
l-7 ;Por qu un semiconductor intrnseco a 0 K acta como un anlnlz!
I-X i,QuE el un hueco? i,Cmo contribuye ala conduccin?
1-9 (a) iQu es la concentracin intrnseca de huecos!
(h) i,Cul cs la relacin entre I densidad en el tema l-90 y la concentrsacln de elcctroncs!
l-10 iCul es Ia diferencia entre semiconductores intrinseco~ y extrnseco??
l-11 Representar en dos dimensiones un cristal de silicio conteniendo un tomo dc impureza donadora.
l-12 Repetir el tema anterior con un tomo dc impurcra receptora.
l-13 iQu tipo de semiconductor resulta al dopar Glicio con impurer = (ii) donadora, y (h) receptora?
l-14 Ertahlecer la ley de accin dc mahab.
1.15 Un semiconductor tiene unac concentraciones N, y N, de donadores y receptores respectivamente. i,Qu
relacin dehc emplcane para determinar las concentraciones n de electrones Y ,> dc hueco\?
l-16 Describir la recombinacin.
1-17 Definir la vida media de un portador.
1.18 La raistencia de un semiconductor extrnseco, ;aumenta o disminuye con la temperatur&? Expliquebe
brevemenlr.
1.19 Repetir el tema anterior para un xmiconductor intrnseco.
l-20 Definir la tensin equivalente de temperatura.
l-21 iQu condicione\ debe haber para que exista difuin?
1-22 Definir la conrtanw de difusin para: (a) huecos y (b) cIcctrones.
1.23 ;,Esrn relacionxlas la difurin y el desp!azamienro? i,Cmo?
1.24 Ewibir una ecuacin para la corriente netadc cIcctroncs cn un emxonductor y rxprewel sigmficado IGcu
de cada trmino.
l-25 Definir un semiconductor graduado.
1.26 ;,Por qu debe existir un campo elctrico en un \emiconducror guduado?
1-27 i,Dc qu parmetros depende I <iilcrcnci;l dc potencial de contacto cn una unjn ,>n 2 c\cillil\ C CI.CUI~O
abiUtCl?
El diodo de unibn

La uninpn es el bloque constructivo bsico del que depende el funcionamiento de todo dispositivo
semiconductor. Basndonos en las propiedades de los semiconductores descritos en. el captulo 1
desarrollaremos el comportamiento de la unin pn. Dirigiremos especialmente la atencin en las caracte-
rsticas volt-amperio y en los modelos de circuitos representando el funcionamiento de la unin. Puesto
que la unin pn es por s misma un dispositivo de dos elementos (diodo) estudiaremos tambin SUempleo
como elem~ntn de circuito

2-1. LA UNIN EN UN CIRCUITO ABIERTO


Cuando un cristal de semiconductor se dopa con aceptadores por un lado y donadores por el opuesto,
re forma una uninpn (Fig. 2-l). En esta figura los iones donadores se representan con el signo ans y
los electrones con un pequeo punto negro. Los huecos estn dibujados con pequeos crculos vacos y
los iones aceptadores con el signo menos. Se suponequelaunindelaFig. 2-l haalcanzadoelequilibrio
y que el semiconductor tiene una seccin recta uniforme.

Iones aceptadores Unin Iones donadores

Regin de deplextn

Figura 2-l. Representacinesquemticade una uninpn

Regin de la carga espacial


Inicialmente existe un gradiente de concentracin a travs de la unin lo que hace que los huecos se
difundan hacia la derecha y los electrones hacia la izquierda. Vemos pues que los huecos que neutralizaban
62 Microelectrnica moderna

los iones aceptadores prximos a la unin en el silicio de tipop han desaparecido como consecuencia de
la combinacin con los electrones difundidos a travs de la unin. De igual forma, los electrones en el
silicio de tipo n se han combinado con huecos que han cruzado la unin desde el material p. Los iones no
neutralizados en las proximidades de la unin se conocen con el nombre de cargas descubiertas y se
traducen en una densidad de cargapy como puede apreciarse en la Fig. 2.2a. Como la regin de la unin
no contiene cargas mviles se la denomina regin de deplexin de carga espacial o de transicin. El ancho
de esta regin es del orden de unas pocas dcimas de micra (aproximadamente como la longitud de onda
de la luz visible). Slo existen portadores fuera de esta regin; hacia la izquierda son predominantemente
huecos @ = N,) y hacia la derecha, electrones (n TZNJ.
En la seccin l-7 se demostr que de una concentracin de cargas no uniforme resulta un campo
elctrico y la diferencia de potencial en la unin. La distribucin de carga, que es cero en la unin, forma
un dipolo elctrico, es decir, que es negativo en un lado y positivo en el otro. La fomu de la curva dep,
en funcin dex depende de cmo est graduada la unin (la unin abrupta es estudiada en la Sec. 2- 13).

Densidad de carga P y
t
kRegi6n de 4
deplexin (
~ I
EI diodo de unin 63

La intensidad del campo elctrico y las variaciones de potencial se obtienen de la distribucin de cargas
y de la ecuacin de Poisson.

cn la que t 25 la pcrminvidad (constante aelctrica del medio). Normalmente E se expresa como E = ~,t,
siendo E, la constante dielctrica relativa y E,, cs la permitividad en el vaco. Recordando que %= -(dl/idr),
la integracin de la Ec. (2-l) da

X(x) = Jo.., + dx G-2)

Como se reprewna en la Flg. 2.2h, 6 es negativa porque el campo va dirigido de derecha (ms) a izquierda
(menos). Obsrvew que 5 <-WJ = 5 (W,,) = 0; es decir, $e admite que no existe campo fuera de la regin
de carga espacial.
LaFig. 2.L<~representalavariacindel potencialelectrosttlcoen lareginde transtctn,yes laintegral
negativa de la funcin / (x) de la Fig. 2.2h. Esta variacin constituye una barrera de energa potencial
(Sec. 1-l) que se opone a la prosecucin de la difusin de huecos a travs de la barrera. En la Fig. 2.2d se

ve la forma de la barrera de energa potencial contraria al flujo de electrones que cruan la umn dade el
ladon. LaFig. 2-2dessimilarala2-2csalvoqueestinvertidayaquelacargadeloselectronesesnegativa.
Obsrvese la existencia en la zona de transicin del potencial de contacto V, visto en la Sec. l-7.
En circuito abierto la corriente total de huecos debe ser nula. Si esto no fuera cierto, la densidad de
huecos en un extremo del semiconductor ira creciendo indefinidamente con el tiempo, cosa que
evidentemente es fsicamente imposible. Puesto que la concentracin de huecos en el lado I, es mucho
mayor que en el lado n, una gran corriente de difusin de huecos tiende a atravesar la unin desde el
material p al w. Como aparece un campo elctrico en la unin en sentido tal que una corriente de
desplazamiento tenderacrurardesdeel ladon al/~paracontrarrestarlacorrientededifusin. Lacondicin
de que la corriente de huecos resultante sea nula nos permite calcular la altura de la barrera de potencial
,/,, (oc. I 41) en funcibn de la concentracin de donadores y aceptadores. Con las densidades de dopado
habmxdes el valor de V, es del orden de algunas dcimas de volt.
Tambin IU corriente total de electrones debe ser nula: por tanto la difusin de electrones desde el tipo
II al p dehe verse contrarrestada por el desplazamiento de electrones desde p a n.

2-2. LA UNIN pn POLARIZADA


La caracteristica elctrica esencial de la umn p es que permite la cxulacin de pomadores cn un
64 M~roekctronrca modernu

sentido y la impide prcticamente en el otro. Veremos a continuacin cmo se lleva acabo 1~accinde
~wtificadov al aplicar una tensin exterior a la unin.

Unin pn con polarizacin directa


En la Fig. 2-3a se aplica una tensin Vi, a la unin, con el polo positivo de la batera conectado al lado
p y el negativo al lado n. De acuerdo con la Fig. 2-2 suponemos que no hay ninguna cada de tensin a
trav& de IU parte de semiconductor fuera de la regii,n dc dcplcxidn ni en los contactos metlicos. En
conxcuencia la tcn\in aplicada reducir 13 barrera dc potencial en I cuanta Y,, es decir, perturbndoi
cl cquilihrio establecido entre la difusin y el desplazamiento de portadora a travs de la unin. La
consecuencia de la disminucin del potencial de la unin es permitir que pasen huecos desde el lado p al
~2.Anlogamente, ahora pueden difundirse electrones desde el lado II al p. El desplaamiento de huecos
hacia la derecha y de electrones hacia la izquierda constituyen una corriente cn cl mismo sentido. As, la
corriente resultante que atraviesa la unin es la suma de las corrientes de huecos y de clcctrones. Una ver
los electrones (y huecos) cruzan la unin se convierten en portadores minoritarios en la regin p (o n) y
forman una corriente minoritaria inyectada. Esta corriente de difusin puede ser importante si lo es el
nmero de portadores disponibles. La tensidn aplicada con la polaridad indicada en la Fig. 2-3~. que da
origen a esta corriente, se denomina~~ola~izai~in diwcta y la unin est poiur-&da dir-eanwnte

Uninpn con polarizacin inversa


La polaridad de la tensin aplicada en la fig. 2-S (opuesta a la de la Fig. 2-3~) polaria mvzrkm~cntc
la unin. El efecto de esta tensin es incrementar la barrera potencial en 9Vu y en consecuencia reducir
el tlujo de portadores mayoritarios (huecos en el tipop y electrones en el n). No obstante, los portadores
minoritarios (electrones en el tipop y huecos en el n) ya que estn por debajo de la altura de la barrera de
potencial no re ven afectados por este aumento de la barrera. Sin embargo. las condiciones iniciales de
equilibrio resultan afectadas y circula una pequefia corriente de ii u I, a travs de la unin (opuesta a la
polxizacin directa). Esta corriente, representada por 1, se denomina (/>,,eni~ dr sutur-min irirer-su y cs
muy pequea ya que existen pocos portadores minoritarios. De cuanto antecede se deduce que 1, ec
independiente de la tensin inversa aplicada.
El mecanismo de la conduccin con polarizacin inversa puede tambin describirse de la siguiente
forma: La polaridad de V,, es tal que hace que tanto los huecos en el tipo I, y los electrones en el n se
aparten de la unin. En consecuencia la regin de densidad de carga negativa se extiende ms ala izquierda
k la unin (Fig. 2.2u), y la regin con densidad de carga positiva se alarga hacia la derecha. Este proceso
no puede proseguir indefinidamente porque un flujo continuo de huecos hacia la irquierda requiere que
stos sean suministrados a travs de la unin desde el silicio tipo n. Como hay slo unos pocos de tales
portadores, la corriente resultante es virtualmente nula. La pequea corriente de saturacin que haya es
debida a los pares electrn-hueco generados trmicamente. Los huecos as formados en cl silicio tipo n
vaganpordondelauninysonforzadosporelcampoelctricoacru~arla.Puedcaplicarseunra~onamiento
anlogo a los electrones generados trmicamente cn el material tipop.

Contactos hmicos
Al comentar las polariucionzs directti e mvrrsa supusinro\ que la tensin externa I i, se aplicaba
directamente ala unin, dando lugar a un aumento o disminucin del potencial electrosttico en la unin.
El diodo de unin 65

Para justificar este supuesto debemos puntualizar cmo se realizan los contactos elctricos al semicon-
ductor desde el circuito exterior de polarizacin. En la Fig. 2-3 se sealan los contactos metlicos de que
estn provistos los materiales p y n homogneos, con lo que se han aadido dos uniones metal-semicon-
ductor, una en cada extremo del diodo, y debemos esperar que se produzca una tensin de contacto en
estas uniones adicionales. Sin embargo deberemos suponer que tales uniones representadas en la Fig. 2-3
se han fabricado de forma que no sean rectificadoras. Dicho con otras palabras: el potencial de contacto
en estas uniones es constante independientemente del sentido y magnitud de la corriente. A un contacto
de este tipo se le denomina conkzcro hmico. Considerando que la diferencia de tensin a travs de la
unin metal-semiconductor se mantiene constante y que la cada de tensin en el cristal es despreciable,
aproximadamente toda la tensin aplicada aparecer como un cambio de la altura de la barrera de potencial
de la uninpn.

La unin pn en cortocircuito y en circuito abierto


Si la tensin V, de la Fig. 2-3 fuera cero, la unin pn estara en cortocircuito. En estas condiciones no
habra ninguna corriente (1 = 0) y el potencial electrosttico V, petmanecera sin variacin e igual al valor
en circuito abierto. Si circulara alguna corriente (1 f 0) el metal se calentara. Al no haber ninguna fuente
exterior de energa, la necesaria para calentar los conductores metlicos debera suministrarla la barrapn,
y por tanto sta debera enfriarse. Naturalmente, en condiciones de equilibrio trmico, el calentamiento
del metal y el enfriamiento simultneo de la barra es imposible por lo que llegamos ala conclusin de que
1 = 0. La suma de tensiones a lo largo de un circuito cerrado debe ser cero y por tanto el potencial V, de
la unin debe estar compensado exactamente por el potencial de contacto metal-semiconductor del
contacto hmico.

Grandes tensionesdirectas
Consideremos la situacin cuando V!, de la Fig. 2.30 aumente hasta aproximarx a k,,. Cuando I,, = b,,
desaparece la barrera y la corriente tendera acrecer arbitrariamente. De hecho la barrera no puede nunca
quedar reducida a cero pues la resistencia del cuerpc del cristal y la de los contactos hmicos limilan la
corriente. En esta\ condicione\ no se puede suponer que toda la tensin V,, se manifieste travs de la
unii,n. En resumen. si \,, se hace comparable a VtJ la corriente en una unin,~w real viene gobernada por
la resis~cncia de los contactos hmico\ y por 1%del cuerpo del semiconductor.

2-3. CARACTERSTICA TENSIN-INTENSIDAD


La naturaleu aproximadamente unilateral de la uninpw fue desarrollada en la Sec. 2.2. Ahora vamos
J describir cuantitativamenlc la (~u~-ii~~/e~-i\ii(~ir
wit-om~~wio que relaciona la tensin aplicada a la unin
con la corriente que produce. Una particularidad significativa de esta caracterstica es que relaciona lo que
ocurre en la vecindad de la unin con las cantidades en los terminales exteriores accesibles. La unin ,XJ
junto con sus contactos hmicos, es decir, sus terminales, forman un dispositivo dc dos elementos
denonCxid0 diodo dr ruiih
El anlisis terico de la unin/wz (vanse las Ref. 1 a 4 al final del captulo) nos conduce ala relacin
dada en la Ec. (2-3) representada en la Fig. 2.4.

I,, = I,(c~ 1) A (2-3)


66 Microelectrnica moderna

El sentido positivo de 1, es del ladop al n (en el semiconductor) siendo V, positivo en una polarizacin
directa. Uno de los factores de los que depende 11en la Ec. (2-3) es la clase de semiconductor empleado.
Para el silicio 11 es aproximadamente igual a 2 con corrientes normales. La tensin equivalente de
temperatura VTviene dada en la Ec. (l-33) reproducida por comodidad en la Ec. (2-4).

A temperatura ambiente (T = 293 K), VT= 25 mV.

La corriente Is de saturacin inversa depende de la concentracin de huecos y electrones en la zona de


la unin. Asl, sirve como factor de escala de las corrientes de la unin; para densidades especificadas
de portadores un aumento del rea se traduce en un aumento de la capacidad de corriente de la unin.

La Ec. (2-3) indica que con polarizacin directa y V, varias veces mayor que V,, lo que hace que el
exponente sea mucho mayor que 1 , 1, vara exponencialmente con la tensin aplicada. En este caso, la
Ec. (2-3) puede aproximarse en

El resultado era de esperar ya que un descenso en la barrera de potencial permite que los portadores
se difundan ms a travs de la unin. Anlogamente, cuando Vo es varias veces VT, 1, es negativa de valor
1, para polarizacin inversa. Tanto el signo negativo sealando una corriente de n a p como el valor
constante de corriente para polarizacin inversa son congruentes con lo visto en la Sec. 2-2.
Como sea que las corrientes directa e inversa difieren entre s en varios rdenes de magnitud se emplean
dos escalas distintas de intensidad para representar las caractetisticas de la unin como en la Fig. 2-4b.
La porcin a trazos de la caractexstica de polarizacin inversa indica que a una tensin V, la unin acusa
una desviacin brusca de la Ec. (2-3). A esta tensin puede existir una corriente inversa fuerte y la unin
est en la regin de ruptura, fenmeno que se estudiar en la Sec. 2-l 1.
En la Fig. 2-5 est representada la caracterstica directa del IN4153, que es un diodo de silicio de
conmutacin rpida. En ella puede verse que existe una tensin de codo, de partidao umbral V! por debajo
de la cual la corriente es muy pequea (menos de 1 % de su valor nominal). En esta figura 5 es de
aproximadamente 0,6 V por encima de los cuales la corriente aumenkirpidamente. La caracterstica del
diodo indica que por debajo de la tensin umbral la corriente es despreciable
El diodo de unin 67

El parmetro q puede deducirse de la naturaleza exponencial de la caractcrstlca volt-amperio. De la


Ec. 12-51 tendremos

0.43v,
1og II, = log 4 + ~ C-6)
rlV1

Figura 2.6. Camcrerstica logarimic.3 de un diodo de silicio IN4153 a 25 C

La representacin de log 1, en funcin de V, nos da una lnea recta de pendiente U,434/q V, de donae
se deduce q. En la prctica esta relacin lineal se observa con niveles de corriente bajos. En la caracterstica
logartmica del IN 4153 a T = 25 C de la Fig. 2-6 se.cumple la relacin lineal cuando 1, < 25 mA. De la
pendiente, q es aproximadamente igual a 2. A niveles de corriente mayores la pendiente disminuye ya
que la tensin total aplicada no aparece ntegra a travs de la unin sino que comprende tambin la cada
hmica en los contactos y en la masa del semiconductor. Adems se ha determinado que con corrientes
altas q se aproxima a la unidad, siendo
Ejemplo 2-1

Determinar el cambio de la tensin del diodo correspondiente a un cambio de 10 a 1 de I,j, a 300 K.

log? = 0.434(VmIVI V,,,)


y vm V,,, = 2.303~1/, Ios-
l,> I

AT = 300 -K, VJ = 26 mV de lil Ec. 2-4 y co l,>i/l,>,= 10, L;,, V!,, = 60 q mV. Portanto punlq = 2 la
variacindeV necesariaparaquelacorrientevarede IOa I,esde 120mV.Siq = I,lavariacinnecesana
ser de 60 rnvi!

2-4. DEPENDENCIA DE LA CARACTERSTICA Vil


CON LA TEMPERATURA
La caracterstica del diodo de le ecuacin (2-J) tvxe dos tmuno>, kj e I, que dependen fuertemente
de la temperatura. La Ec. (2-4) expresa la relacin funcional entre V, y la temperatura. El anlisis terico
de una unin de silicio indica que I, cambia un 8 9%por grado centgrado. Los diodos reales slo llegan
estos resultados aproximadamente, debido a que existen componentes de la corriente de saturacin inversa
debidas a fugas superficiales. Datos experimentales demuestran que 1, vara un 7 WY, y puesto que
(I .07)O = 2 se llega a la conclusin de que la corriente de saturacin inversa se duplica cada 10 C de
aumento de temperatura.
Conociendo 1~ la temperatura TI podcmos calcular I\ a cualquier otra temperatura T.

l,(T) = &(l~,) x 2 1 A 12-7)

Si la tensin aplicada es constante, un aumento de temperatura cauza un incremento en lb. Sin embargo
se puede reducir la tensin al aumentar T mantenindose la corriente a su valor inicial. Se ha determinado
que a temperaturas prximas ala ambiente
EI diodo de unin 69

2-5. DIODOS DE GERMANIO


En el comercio se encuentran diodos de unin fabricados con germanio que se utilizan en circuitos.
La base de su funcionamiento es la misma descrita para los diodos de silicio, estando la caracterstica
volt-amperio dada por la Ec. (2-3). Existen dos diferencias: (1) TJ= 1, y (2) el valor de ISen un diodo de
germanio es del orden de tres o cuatro veces mayor que en uno de silicio de igual tamao y con la misma
densidad de dopado. Otra particularidad distintiva para la caracterstica volt-amperio del germanio esque
la tensin umbral es Vv I 0,2 V

2-6. EL DIODO COMO ELEMENTO DE UN CIRCUITO


En esta seccin empezaremos a estudiar las propiedades del circuito de un diodo. Como punto de
partida describiremos las caractersticas de un diodo ideal.

El diodo ideal
Un diodo ideal es un dispositivo de dos elementos que se representa con el smbolo de la Fig 2-7 y
tiene la caracterstica volt-amperio de dicha figura.

"" I
(0) (b)

Figura 2-l. (a) Smbolo y (b) Caracterstica tensin-corriente de un diodo ideal.

Observando la curva se ve que la corriente en este dispositivo circula slo en una direccin por lo que
el diodo ideal resulta ser un elemento de circuito unilateral. Esta cualidad tiene inters en la conmutacin
ya que de ella se deriva una caracterstica ON-OFF(cerrado-abierto). Obsrvese que cuando Vo es cero, i,
puede tener cualquier valor positivo, y cuando i, es cero, V, puede tener cualquier valor negativo,
condiciones que corresponden a un interruptor. Esta propiedad del diodo se utiliza ampliamente para la
rectificacin y formacin de ondas ya que es la nica apropiada para la transmisin y procesado de seales
de la polaridad adecuada.
La unin pn descrita en las secciones anteriores de este mismo captulo slo se aproximan al ideal.
Comparando las Figs. 2-4~ y 2.7h se ve que el diodo real tiene una pequea pero no nula corriente inversa
y que existe una cada de tensin con la polarizacin directa. Adems, la caracterstica no lineal de la unin
p?~requiere mtodos grficos de anlisis del tipo descrito en lo que resta de esta seccin.
10 Microelectrnica moderna

Concepto de recta de carga


El circuito representado en la Fig. 2-8~ contiene un diodo prz real cuya caracterstica volt-amperio es
la de la Fig. 2.8h. Aun cuando el smbolo de un diodo fsico es el mismo que para uno ideal, en este texto
los diferenciamos como se ve en las Fig. 2.7~ y 2.8a.

Segn la ley de Kirchhoff- para el circuito de la Fig. 2-80 tendremos:

- V,,, + lL,R + Vl, = 0


y despejando 1,.
I VA4
II, = R V,, + R A (2-Y)

Esta ltima ecuacin define una lnea recta denominada recfa de cargu representada tambin en la Fig.
2.8b. Obsrvese que la pendiente de esta recta y sus intersecciones con los ejes dependen slo de R
y de V,. La ecuacin (2-9) y la caracterstica del diodo deben satisfacerse simultneamente: su punto de
interseccin Q es el nico que lo cumple. Los valores de la intensidad en el diodo y la tensin a travs
de ste se representan loy y V,,, respectivamente. El subndice Q se emplea para designar valores de reposo
que exIsten en el CIrCUlto.

El concepto de recta de carga tiene ms aplicacin que la dada para el diodo. Consideremos un
dispositivo cualquiera en serie con una resistencia R y una fuente VA, tal como se indica en la Fig. 2-9. De
la ley de Kirchhoff y despejado 1, tendremos:
1 VA,
i,= -R~x+R A (Z-10)
El diodo de unin 71

Estaes laecuacin delarectadecarga: su interseccincon lacaractersticavolt-amperiodeldispositivo


contenido en el recuadro determina los valores de trabajo de la corriente y tensiones en el circuito.
Obsrvese que la recta de cargapasapor los puntos ix = 0, Y = V, e ix = V,, 1 R, va = 0, independientemente
de la caraclerktica del dispositivo, siendo su pendiente igual a 1 1R

Es instructivo estudiar los cambios que se producen en el punto de operach del circuito de la Fig.
2-h al variar V, y R. Estos cambios quedan representados en la Fig. 2- 1Ou en el caso de ser R constante
y VA, variable. En caso de que vare R y se mantenga constante V la representacin ser la Fig. 2.1Oh.
En esta ltima figura se observa que si V,, crece (o decrece) 1, tam%in crece (o decrece). Obsrvese que
con pequeos cambios en V, la porcin de caracterstica del diodo entre puntos Q adyacentes es
aproximadamente lineal. Sin embargo, para grandes variaciones de V,,, como sera desde VA,, a V,, la
porcin de curva del diodo no es lineal, En la Fig. 2.IOb es evidente que si crece R, disminuye /Ba.

2-7. MODELOS DE GRAN SEAL


Es conveniente representar el diodo por una combmacmn de elemenros de circuito lineales e ideales
formando un circuito equivalente o modelo. Mientras el diodo se utilice junto con otros elementos o
dispositivos, el modelo nos permitir calcular las corrientes y tensiones en la red valindonos de los
mtodos ordinarios de anlisis de circuitos.
El diodo ideal (Fig. 2-7) es un dispositivo binario en el sentido de que existe en slo uno de dos posibles
estados: es decir, que en un momento dado el diodo estar ON u cw. Consideremos un diodo real cuya
caracterstica sea la de la Fig. 2-l 1. Si la tensin aplicada a ese diodo supera la tensin umbral Vycon el
nodo A (lado p) ms positivo que el ctodo K (lado n) el diodo tiene polarizacin directa y est en ON.
Estar en OFFsi la tensin aplicada es menor que V y, polarizando el diodo en sentido inverso.
Como se observa en la Fig. 2-l la los dos segmentos lineales se aproximan a la caracterstica directa
del diodo. Esta representacin la forma una fuente de tensin Vy en serie con una resistencia R
(normalmente entre 5 y 50 R para los diodos de silicio) como en la Fig. 2-l lb. Esta caracterstica linea i
es vlida porque con vg 4yla corriente directa es tan pequea que se puede despreciar. Adems, la cada
de tensin en el diodo es pequea frente a la tensin aplicada al circuito, de forma que la diferencia entre
la caracterstica lineal y la real supone un error despreciable. Efectivamente, el estado en conduccin ON
puede considerarse como un diodo ideal en serie con una batera Vy y una resistencia R, Hay que tener
en cuenta que los nicos terminales accesibles para las mediciones son los A y K.
72 Microelectrnica moderna

Pendiente = ;;

En estado de corte (OFF) la caracterstica se aproxima a una recta que pasa por el origen como se
representa en la Fig. 2.12a, siendo la pendiente igual a l/R,. Esta representacin da pie al circuito
equivalente de la Fig. 2. 12h.
Como la resistenciaR es en general de varios centenares de ohmios y an ms, muchas veces se puede
suponer que es infinita yconsiderar al diodo con polarizacin inversa como un circuito abierto. Cuando
se requiere ms precisin se puede emplear el circuito de la Fig. 2.12~. La fuente de corriente Is se emplea
para indicar la corriente de saturacin inversa constante. La resistencia R, de la Fig. 2-12~ puede tambin
tener en cuenta el aumento de la corriente inversa al acrecentarse la tensin inversa debido a las prdidas
superficiales.

Anlisis de circuitos de diodos utilizando el modelo de gran seal


Un mtodo general para el anlisis de circuitos que contengan varios diodos, resistencias y fuentes
consiste en atribuir (suponer) el estado de cada diodo.i Para el estado en conduccin CIN, se reemplaza el
diodo por el circuito de la Fig. 2- ll h, emplendose el de la Fig. 2. 12h para los diodos en corte OFF. Una
vez sustituidos los diodos por sus circuitos equivalentes toda la red es lineal y tanto las tensiones como
las intensidades pueden calcularse con las leyes de Kirchhoff. La suposicin de que un diodo est en
conduccin se comprueba si la corriente en l es en sentido directo. Si la corriente va de nodo a ctodo
la primera suposicin era acertada. Si la corriente va en sentido inverso (de ctodo a nodo) el supuesto
de que est en conduccin es falso y debe reemprenderse el anlisis suponiendo el diodo en corte OFF.
De forma anloga, comprobamos si el diodo est en corte hallando la tensin a travs de l. Si esta
tensin es en sentido inverso o es en sentido directo pero menor que Vyel diodo est en OFF. Si esta tensin
El diodo de unin 73

es en sentido directo y mayor que Vyel supuesto es incorrecto y debe suponerse en conduccin al reanudar
el anlisis.
En el siguiente ejemplo, as como en el texto, emplearemos el mtodo de anlisis que antecede.

Ejemplo 2-2
Determinar la tensin de salida v. en el circuito de la Fig. 2.13~1 con las tensiones de entrada siguientes:
(a) v, = v2 = 5 V; (b) v, = 5 V, vi = 0, y (c) v, = v2 = 0. Se usa un diodo de silicio que tiene R,=30 Q, Vr =
0,6V,I=OyR,+-=.

Observemos que. no estn sealadas las referencias (tierra) en la Fig. 2- 13~1.Todas las tensiones
inkadas estn medidas respecto a la referencia con cadas de tensin consideradas positivas. El circuito
de la Fig. 2-13~1 est reproducido en la Fig. 2.13b en la que se ha incluido el punto de referencia.
DI

figura 2.14. Circum equivalente para el Ejemplo 2-2: (a) los dos diodos conado? (b) diodo DI en corte Y D2 conduciendo; (c)
los dos diodos en conduccin.
74 Microelectrnica moderna

(a) Con v1 = vz = 5 V supondremos que DI y 02 estn en corte. La sustitucin de los diodos por el
modelo de la Fig. 2-12h siendo Rr + m nos lleva al circuito de la Fig. 2-14~. La observacin de este
circuito pone en evidencia que no circula corriente alguna. En consecuencia la cada de tensin a travs
de cualquier resistencia es nula, y por la ley de Kirchhoff V,, = V,, = 0 < (Vy) confirmando as la primera
suposicin. Por tanto la Fig. 2- 12h es vlida para esta situacin y vemos que v0 = 5V.
(h) Supongamos que DI est en corte y D2 en conduccin con vi = 5V y v2 = 0. Utilizando los modelos
de las Figs. 2-1 lh y 2-126 se obtiene el circuito de la Fig. 2-14h. Aplicando la ley de Kirchhoff al lazo
interior tendremos que
-5 + A7001D2 + 0 6 + 301D2 + 2701D> = 0

Despejando l,ji
5 ~ 0.6
1O2 = = 0.88 mA
4700 + 30 + 270

Como /,, es positivo (en sentido directo) la suposicin de que 02 est en conduccin es correcta. En
el lazo exterior no hay corriente, por lo que

c, = 5 - 47001,~~ = 5 - 4700 x 0.88 x 10m3 = 0.864 V


Tambin puede calcularse Y,,de
D,, = 0.6 + 3001,n = 0.6 + 300 x 0.88 x 10m3 = 0.864 V
Al no existir corriente en el exterior
V,, = o,> - 5 = 0.864 - 5 = ~4.136 V

El valor negativo de V,,, confirma nuestra suposicin de que DI est en corte. As, el circuito de la Fig.
2.14h representa las condiciones del circuito y el valor calculado de v,, = 0,864 V es la tensin de salida.
Si en lugar de suponer que D2 est en conduccin hubiramos supuesto que est en corte, l,,? hubiera sido
cero. Sin corriente en ningn diodo v,, = 5V haciendo que V,,> = 5v. Como este valor es mayor que vy=
0,6V, nuestra suposicin hubiera sido errnea. De igual forma, si se consideraDI en conduccin y 02 en
corte, la ley de Kirchhoff aplicada al lazo exterior nos dara

-5 + 47001,,, + 0.6 + 301,,, + 2701,,, + 5 = 0

El clculo conduce a un valor negativo de I,,, y el supuesto anterior es falso.


(c) El circuito equivalente de la Fig. 2.14~ es aplicable cuando v, = v>= 0 suponiendo ambos diodos
D, y D, en conduccin. Por razones de simetra, en ambos diodos existe la misma corriente 1. La lev de
Kirchhoff exige suministrar una corriente 21 a estas ramas. Para el lazo interior la expresin es:

-5 + 4700 x 21 + 0.6 + (30 + 27011 = 0

de donde / = 0,454 mA.


El valor positivo indica que la suposicin es correcta, y por tanto

u,, = 0.6 + (30 + 27O)I = 0.6 + 300 x 4.54 x 10m4 = 0.736 V

Observamos en el Ejemplo 2-2 que existen valores dispares de Y que dependen del estado de los diodos.
Cuando ambas entradas son altas (p. ej. 5V) la salida es tambik alta. La salida es baja cuando una
olas dos entradas son tambin bajas. Los circuitos coneste tipo de comportamiento se denominan puertas
AND (Y) y se estudiarn detalladamente en el captulo 6.
El diodo de unin 15

2-8. APLICACIONES ELEMENTALES DE LOS DIODOS


Muchas clases de circuitos aprovechan la particularidad ON-OFFde los diodos para modificar notable-
mente la forma de ondas elctricas. Ahora expondremos las bases de tales circuitos, y en sucesivos
captulos trataremos de algunas aplicaciones especificas.

Rectificadores
Consideremos el circuito de la Fig. 2.15a en el que la combinacin de un diodo ideal y una resistencia
de carga en serie se alimenta con una tensin senoidal. Durante el primer semi-ciclo de la onda de entrada
el diodo est en conduccin (ON) existiendo una corriente v,/R,. Durante el semi-ciclo negativo de ;J$el
diodo est en corte (OFF)de forma que la corriente es nula (Fig. 2.15). Como slo circula corriente durante
medio ciclo a este circuito (Fig. 2.1%) se le denomina rectrfrrudor- de mediu onda. Es significativo que
el valor medio (componente continua) de la corriente a lo largo de un periodo no es cero mientras que el
valor medio de la tensin en el mismo periodo s lo es. Este hecho constituye la base de los circuitos
rectificadoresempleadosparaconvertirlaco~ientealterna,nomlalmentedisponible,encorrientecontinua
necesaria ala mayor parte de los sistemas electrnicos.
El circuito de la Fig. 2.16~ emplea el condensador C a manera de filtro para convertir la onda de la
Fig. 2.1% en la casi constante (C.C.) de la Fig. 2.16h. A continuacin describiremos cualitativamente cl
efecto del condensador en la respuesta del circuito. En el instante f = f, la tensin a travs del condensador
es V, y en ese momento la tensin de entrada es igual a V, actuando sobre el diodo.
16 Microelectrnica moderna

Despus de f, la tensin del condensador, es decir, la tensin de salida Y,,sigue a la tensin de entrada
hasta tz cuando Y,,llega a Vm. Despus de fz la tensin de entrada disminuye a mayor ritmo que la descarga
del condensador cortndose el diodo. Esto hace que la descarga de C sea a travs de R,. Si la constante de
tiempo R,C es mucho mayor que el periodo T de la onda de entrada, la descarga ser lenta, con lo que
entre rz y f,, I,, baja muy poco, repitindose a partir de aqu el proceso. En los circuitos de rectificadores-
filtro (Sec. 17-4) las variaciones (rizado) de la onda de salida son notablemente menores de lo que aparece
en la Fig. 2.l6h resultando I,, virtualmente constante.

I
1 1 I
(0) (6)

Figura 2.17. (0, Circuito equivalente rectificador: (h) onda de comen,e mostrando los ngulos de encendido y de extincin

Empleando un diodo real en la Fig. 2-150 se llega al circuito equivalente de la Fig. 2-170, vlido para
polarizacin directa. La co-riente i se deduce por la ley de Kirchhoff:

i = yu-v _ V,,, sin wf - V, A (2-l 1)


RL RI

que es mayor que cero slo cuando v, >Vy. Asi, la onda de corriente representada en la Fig. 2.l7h no se
inicia cuando ot = 0, sino que hay un ngulo umbral o de ignicin 0, dado pal

qs, =,,,-1y (2.12)


,>,
Anlogamente existe un ngulo de e.uincin al final del semi-ciclo positivo, cuyo valor es n 0,.
Este circuito puede emplearse como cargador de bateras (en calculadoras) sustituyendo R, de la Fig.
2-150 por v,,, tensin de la batera, en serie con una resistencia limitadora de corriente R,. En ese caso,
el ngulo de cebado viene dado por la Ec. (2-12) cambiando Vypor Vy+ V,,.

Circuitos cortadores y fijadores


Los circuitos cortadores se usan para seleccionar para su transmisin aquella parte de la onda que est
por encima o por debajo de un nivel de referencia. Bajo este punto de vista el rectificador de la Fig. 2.1%
es un circuito cortador ya que slo se transmiten a la salida las tensiones de entrada superiores a Vy. Muy
frecuentemente los diodos empleados se polarizan con una tensin de referencia que determina la parte
de seal que ha de transmitirse.
El circuito de la Fig. 2-180 es un simple circuito cortador. Suponiendo que el diodo sea ideal, vemos
que v,>se iguala a V, cuando el diodo D est en conduccin y Yo,= v, cuando est en corte. La transicin
de OFF a ON tiene lugar cuando la tensin de entrada alcanza el valor de referencia V, como puede verse
en la onda de la Fig. 2-186.
El diodo de unin 17

(al
Fi@m 2-18. ,a, Cmxiro recortador de diodo, y (h) su onda de salida.

El circuito equivalente de la Fig. 2-19 caracteriza al cortador de la Fig. 2-18~ cuando se emplea un
diodo real (no ideal). En la Fig. 2.19h se ve claramente que v,, es v, cuando D est en corte. La corriente i
se deduce

f= ll, - v, - v, A (2.13)
R/ + R

IPdonde

c, = iR, + V, + V, = R+R4 , + &pR+V,) v (2-14)


/ f

Como la polarizacin directa requiere que i > 0, en la Ec. (2.13) observamos que el pase de ow a ON
tiene lugar cuando Y,se iguala a Vy + V,. Este punto de transicin supone un cambio abrupto de pendiente
en la grfica de v0 en funcin de Y,,llamada caracferstica de trunsferencia, y representada en la Fig. 2-20.
La pendiente en esta figura es igual a la unidad mientras D est en corte 10 que indica que v,, = v,. En estado
de conduccin, la pendienk viene dada por el coeficiente de v, en la Ec. (2.14). La onda de la Fig. 2-20
muestra cmo se emplea la caracterstica de transferencia para determinar la tensin de salida de una seal
de entrada.
K R

Obsrvese que la caracterfstica de transferencia de la Fig. 2-20 se deduce de la aproximacin lineal de


la caracterstica del diodo que supone una transicin brusca de la polarizacin inversa ala directa. En la
realidad tal transicin no es abrupta sino gradual, y por tanto tiene lugar no en un punto sino a lo largo de
una pequea zona de tensin que normalmente es de 0,l o 0,2 V. Esto hace que la onda de salida difiera
algo de la trazada en la Fig. 2.20. Afortunadamente, en muchas aplicaciones v, es significativamente ms
18 Microelectrnica moderna

grande que las pocas dcimas de volt de la regin de ruptura por lo que la representacin lineal aproximada
es vlida.
0 0
t 4
Pendiente = _

Figura Z-LO. Caracterstica de transferencia de circuito recortador mostrando la onda de entrada y la de salida resultante.

Ejemplo 23

Esbozar para el circuito de la Fig. 2-21~ en el que la tensin de entrada es la onda en dientes de sierra
de la Fig. 2. 21b: (a) la caracterstica de transferencia Y,~en funcin Y, y (b) la onda de salida Y,,. Los
parmetros del diodo son R/= 10 Q Vy = 0,6 V e lJ = 0.

Solucin

Los circuitos equivalentes con polarizacin directa e inversa vienen dados en las Figs. 2.22a y 2-22b
respectivamente. Con polarizacin inversa la relacin de salida es v,, = vSya que no existe corriente alguna.
En la Fig. 2-2247 la salida puede expresarse

o, = V, - V, - iR, = 6 0.6 - 1Oi = 5.4 ~ 1Oi V


La corriente, hallada resolviendo la ecuacin de Kirchhoff para las tensiones es
VR - v, - 0, 6 - 0.6 - u, 5.4 - ,
i= A
Rf + R = 10 + 1000 1010

(0, Cbj
Figura 2-21. (0) Chuto para el Ejemplo 2-3; (h) onda de enrrada para el Ejemplo 2-3.
El diodo de unin 19

El punto de ruptura se deduce de la ecuacin de la corriente, y es el valor de v, para el que i es cero;


por tanto
ti, = V,< V, = 6 - 0.6 = 5.4 V

El diodo est en conduccin (OX) cuando vs<5,4V y en corte (0~) cuando I, > 5,4V. Sustituyendo 1
en la ecuacin de v,, resulta
R(V, V,)
L,, = LL
R, + R c, +
R, + R
IOL., lOOO(6 0.6)
= 2 + 5.35 V
= 10 + 1000 + 10 + 1000

La caracterstica de transferencia est representada en la Fig. 2-22~ y en la Fig. 2-22d se ve la onda de


salida deducida de la de entrada y de la caracterfstica de transferencia.
De la onda de salida pueden deducirse tres particularidades. La primera es que el circuito de la Fig.
2-2laeselque seveenlaFig. 2-18aperoconeldiodoconectadoalainversa. Comoconsecuenciatenemos
que el circuito de este ejemplo transmite tensiones de entrada por encima de un nivel dado (aproximada-
mente V, Vy) mientras la porcin de la seal de entrada por encima de V, + Vyqueda cortada en la Fig.
2-18~. La segunda particularidad es que para R R, como es en este caso, la tensin de salida es
prcticamente constante con Ve Vy= 5.4 V cuando el dlodo est en conduccin. La diferencia real es
80 Microelectrnica moderna

del orden de 1% lo que corresponde a la relacin RJR, y por ello muchas veces resulta conveniente
simplificar el modelo del diodo atendiendo a su tensin umbral despreciando el efecto de R,. La tercera
observacin es que la tensin de salida y la caracterstica de transferencia tienen la misma fomn. Por
tanto, podemos emplear esta tcnica en el laboratorio para obtener la caracterstica de transferencia
aplicando una entrada en diente de sierra y trazando la onda de salida en un osciloscopio.
Combinando las propiedades de los circuitos cortadores de las Figs. 2.18~ y 2-21~ se llega al cortador
de dos niveles de la Fig. 2-23~. Si v! y R representan el equivalente de Thvenin en los terminales de salida,
las Figs. 2.23n y 2.23b son idnticas. Para estos circuitos la tensin de salida queda restringida a estar
entre V,, y V,, (aproximadamente). El anlisis de este circuito forma parte del problema 2-26. Puesto que
DI y 02 de la Fig. 2. 23h evitan que la salida supere V,, o quede por debajo de V,, se les puede llamar
diodos limitadores.

2-9. MODELOS DE DIODOS DE PEQUEA SEAL


Los circuitos descritos en la seccin anterior utilizan el funcionamiento ON-ow de los diodos. En estas
aplicaciones la seal aplicada (normalmente variables con el tiempo) es grande en comparacin con el
nivel de polarizacin (la tensin de referencia constante) y para describir el diodo se utilizan los modelos
de las Figs. 2-l 1 y 2-12. Vamos a estudiar ahora la situacin creada cuando la amplitud de la seal es
pequea comparada con la polarizacin. Para representar el diodo es conveniente emplear el circuito
42
El,diodo de unin 81

equivalente de pequea seal o incremental para permitirnos relacionar la componente de la respuesta


debida a la seal aplicada (excitacin) v$f). Para desarrollar el modelo de pequea seal resulta til el
circuito de la Fig. 2.24a.
En el circuito de la Fig. 2-24~2, Vm CV,, de forma que el diodo se mantiene en todo momento con
polarizacin directa. El valor instantneo de la tensin v(t) aplicada al conjunto diodo-resistencia es:
u(t) = v,, + u,(t) = v,, + v,,, senwt v (2-15)

En cada momento podemos trazar una recta de carga (Fig. 2- 24b) en la forma descrita en la Sec. 2.5.
Los valores mximo y mnimo de v(t) son V, + Vm y V, - Vm respectivamente, y para tot = n n (siendo n
un nmero entero) v = VA,. Como puede verse en la fig. 2-246, la corriente i, est formada por una
componente senoidal superpuesta al valor de referencia IDa y viene expresada por
D = La + id(f) = IDQ + Id senwr A (2-16)
En la Ec. (2-16) i, es el valor instantneo de la corriente del diodo e I,, la componente en continua de
i, e i, la componente variable con el tiempo de i,, cuyo valor de pico viene dado por I, . La forma de la
corriente expresada en la Ec. (2-16) es debida al hecho de que la caracterstica del diodo Q, y Qz puede
aproximarse a una lnea recta cuya pendiente es igual a la relacin volt-amperio del diodo en Q. En esta
zona el diodo se comporta linealmente. Es decir, el valor de reposo IDe (en continua) viene fijado por la
tensin de polarizacin constante V,, y la componente senoidal i,(r) la produce la excitacin v$t).

Figura 2.25. Circuito equivalentede pequeasealde la Fig. 2.24a

Las componentes de tensin e intensidad variables con el tiempo en el circuito de la Fig. 2-24~ se
pueden determinar analticamente (en lugar de hacerlo grficamente como en la Fig. 2-246) aplicando la
ley de Kirchhoff al circuito equivalente de pequea seal de la Fig. 2-25. Aqu el diodo se sustituye por
su resistencia incrementa1 rd z l/g, siendo g, la conductancia incremental dada por:
di.g
u (2-17)
gd=du,, Q
Obsrvese que gd es sunplemenre la pendiente de la caracterstxa del diodo Calculada en et punto de
trabajo Q y en consecuencia el valor de rd es funcin de la corriente de reposo. Para hacer uso del circuito
de la Fig. 2-25 tenemos que establecer previamente los valores de reposo de tensiones y corrientes del
diodo.
Para un diodo de unin, empleando la Ec. (2.3), la Ec. (2-17) se convierte en:

(2-18)

Lo ms frecuente es que lOa 1, con lo que la Ec. (2-18) se reduce a


82 Microelectrnica moderna

y podemos observar que la resistencia incrementa1 vara en razn inversa con la corriente. A 7 = 20 C,
V, = 25mV; por tanto, rd = 25 ~~11,~estando lDu en miliamperios y rd en ohmios. Para un diodo de silicio
(TJ= 2) e I,, = 5mA, ro = 1OQ.

Ejemplo 2-4

El circuito de la Fig. 2-24 se utiliza a 20 C, siendo \,, = 9V, V,,,= 0,2 V y R, = 2 k Q. En el modelo
de gran seal del diodo, Vy = 0,6V, R, = 10 Q y 11= 2. Determinar: (a) la componente alterna de la tensin
a travs de R, y (h) la tensin total a travs de ella.

Solucin

(0). En primer lugar hay que determinar los niveles de polarizacin del modelo en continua de la Fig.
2.26a De la ley de Kirchhoff se deduce I,,

9 - 0.6
1 = = 4.18 mA
w 2000 + 10
Segn la Ec. (2-19) la resistencia incrementa1 es
2 x 25
~ = 12.0 (1
Id = 4.18

Haciendo uso del modelo de pequea seal de la Fig. 2.26b obtendremos la componente alterna de la
tensin de salida de la relacin del divisor de tensin:
2000
c<>.ac x 0.2 sen wt = 0.199 sen Wf v
2000 + 12
La tensin total v,,(t) es la suma de las componentes constante y variable. La tensin de reposo a travs
de R, es:

Voc> = IL>~RL = 4.18 x 10 3 x 2 x 10 = 8.36 V

0 sea
u,, (2) = 8.36 + 0.199sen wf V

Las ondas de la Fig. 2.27~~1 lo que se vera si se aplicase la salida a un osciloscopio. En la Fig. 2.27u,
con el mando del selector puesto en continua (dc) el osciloscopio traza la curva de y(, que es la tensin
instantnea de salida: observamos que la componente alterna apenas si se aprecia. Sm embargo, la Fig.
El diodo de unin 83

2.27h con el selector en alterna (ac) y la sensibilidad aumentada, la componente alterna se puede medir.
En efecto, el modelo de pequea setial cumple una funcin anloga a la de pasar el selector de continua a
alterna. Eliminando el nivel de referencia o reposo (en continua) podemos apreciar el efecto que tiene la
entrada variable con el tiempo sobre la salida tambin variable con el tiempo.

Capacidad de difusin
Para obtener los resultados del Ejemplo 2-4 hemos hupuato que la frecuencia dc la excitacin senoldal
era suficientemente baja para poder despreciar el efecto del almacenamiento de carga!, en el diodo. A
frecuencias de excitacin ms elevadas los efectos del almacenamiento de cargas se tienen en cuenta por
medio de la capacidud de difusii>n C, en el modelo de pequefia seal de la fig. 2.28n. El origen de C,, se
puede describir cualitativamente con el siguiente razonamiento: En una unin polarizada en directo.
algunos huecos se difunden desde el ladop al n. En consecuencia en el lado n y junto a la unin tenemos
una concentracin de huecos mayor de lo normal debido precisamente a esa difusin. Este exceso de
densidad de huecos puede considerarse como una carga almacenada en la vecindad de la unin. La cuanta
de ese exceso la establece el grado de polariatcin directa. Al irse apanando de la unin decrece el exceso
84 Microelectrnica moderna

de huecos por su recombinacin con los electrones mayoritarios. Lo mismo puede decirse de los electrones
que pasan al ladop. Si ahora se aplica una seal que incremente en A V la polarizacin directa, la mayor
difusin de huecos (electrones) motiva una variacin AQ en la carga almacenada cerca de la unin. En el
lmite, la relacin A Q/A V define la capacidad de difusin C,. En un diodo de unin en el que un lado
est mucho ms dopado que el otro (como es frecuente) la capacidad C, (deducida en la Sec. 2-13) valdr
(en faradios)

(2.20)

La vida media T de los portadores en la Ec. (2-20) mide el tiempo de recombinacin para el exceso de
portadores minoritarios. Como T = r& la vida de los portadores puede considerarse como una constante
de tiempo de difusin

Capacidad de transicin
Como modelo del diodo con polarizacin inversa be utiliza el circuito equivalente de la Fig. 2.2%. La
resistenciar, es la resistencia incrementa1 [como se define en la Ec. (2.19)] con el subndice rque significa
que el diodo tiene polarizacin inversa. El elemento C, llamado capacidad de deplexin, transicin,
barreru o de cargu espacial representa la variacin de la carga almacenada en la regin de deplexin
respecto ala variacin de tensin en la unin. Anteriormente (Sec. 2-2) se indic que el aumento del nivel
de polarizacin inversa haca incrementar el ancho W de la zona de deplexin. Un aumento en W va
acompaado de iones adicionales descubiertos en la regin de la carga espacial. Ya que existen iones
posmvos en un lado de la unin y negativos en el otro, C, equivale a un condensador paralelo en el que

c,=- W
F (2-2 1)

donde W es el ancho de la LWIB de deplexin, A el rea o seccin de la unin y E la permirivldad del


semiconductor. Debemos tener en cuenta que W es funcin de la tensin de polarizacin inversa por lo
que C, depende de la tensin. En una unin en escaln (que veremos detalladamente en la Sec. 2.13), W
es inversamente proporcional ala raz cuadrada de la tensin de polarizacin inversa.
Los condensadores en los modelos de la Fig. 2-28 se aproximan en alto grado a los efectos del
almacenamiento de cargas en un diodo. Tanto con polarizacin directa como con inversa existen las
capacidades de deplexin y de difusin, pero con polarizacin directa el valor de la capacidad de deplexin
es tan pequeo al lado de C,] que generalmente se desprecia. Anlogamente en un diodo con polarizacin
inversa existe una pequea difusin de portadores, pero esta capacidad es despreciable frente a C,O.

2-10. TIEMPOS DE CONMUTACIN DEL DIODO DE UNIN


La respuesta transitoria de un diodo al pasar del estado de conduccin al de corte (o al revs) supone
que transcurre un cierto intervalo de tiempo antes de que alcance el nuevo estado. Ya que esto supone una
limitacin prctica importante, en los siguientes prrafos estudiaremos la conmutacin de ON a ow. En la
Fig. 2-29 se representa grficamente la secuencia de hechos que acompaan ala polarizacin inversa de
un diodo en conduccin. Consideremos que se aplica la tensin de entrada en escaln vVde la Fig. 2.29b
al circuito diodo-resistencia de la Fig. 2-29~ y supongamos que durante un lapso de tiempo largo antes de
i = 0 el diodo ha estado polarizado en directo con la tensin Y, = V,. En el instante f = 0 la tensibn aplicada
pasa sbitamente a -V, mantenindose a este nivel para f > 0. Si suponemos que R, y V, son mucho ms
grandes que R,y y respectivamente, la corriente en et circuito ser i,, = V>,/R,.,siendo este el valor indicado
en la Kg. 2.29~ para t 5 0. La polarizacin duecta motiva que un mayor nmero de portadores atraviesen
la unin de forma que la densidad de portadores minoritarios en exceso es alta. Con polarimcin inversa
el exceso de portadores minoritarios en las proximidades de la unin es virtualmente nulo. Por tanto una
inversin sbita de la tensin no puede ir acompaada de un cambio de estado del diodo hasta que el
nmero de portadores minoritarios en exceso quede reducido a cero. Es decir, estos portadores deben
retroceder a travs de la unin hacia el lado original. Este movimiento de carga produce una corriente en
sentido inverso. El perodo de tiempo durante el que el exceso de portadores minoritarios decrece hasta
cero, o sea entre I = 0 y f = t, se denomina tiempo de almacenamiento f,. Durante ese tiempo el diodo
conduce fcilmente y la corriente determinada por la tensin aplicada y la resistencia de carga exterior es
-VJR,.. La cada de tensin en el diodo baja ligeramente debido al cambio de la corriente en la resistencia
hmica del diodo pero sin invertir (Fig. 2-29d). En el instante f = r, = tvel exceso de densidad de portadores
minoritarios queda anulada. A continuacin de este tiempo la tensin del diodo empieza a invertirse hacia
-V,, y la corriente decrece hacia Ir.

El tiempo transcurrido entre f, y el momento en que el diodo se ha recuperado completamente se


denomina tirmpo de rransicin r,. Este tiempo de recuperacin se completa cuando los portadores
minoritarios que se hallan a alguna distancia de la unin se han difundido y atravesrzio la unin y cuando
adems la capacidad de transicin de la unin polarizada inversamente se cargue a travs de R,. a la tensin
-V,.
Normalmente los fabricanw especifican el tiempo de recuperacin inversa r,, del diodo en condiciones
de trabajo tpicas, en funcin de la forma de onda de la corriente de la Fig. 2. 29~. El tiempo f,, es el
transcurrido desde que se inviene la corriente en r = 0 hasta que el diodo se ha recuperado hasta un punto
determinado por la corriente o la resistencia del propio diodo. Si el valor especificado de R, es de algunos
centenares de ohmios los fabricantes corrientemente especifican el valor de la capacidad C, en paralelo
86 Microelectrnica moderna

con R, del circuito de medida utilizado para determinar f,,. Existen comercialmente diodos con I,, que van
desde menos de un nanosegundo aun microsegundo para diodos destinados a conmutar corrientes altas.
En el IN4153 el tiempo de recuperacin inversa es de unos pocos nanosegundos en las condiciones de
prueba dadas en el Apndice B- 2.
El tiempo de recuperacin directa r,,es el tiempo necesario para que la tensin del diodo pase del 10
al 90% de su valor final cuando se pasa de IX+ (corte) a ON (conduccin), Puesto que f,; << f normalmente
i,, se desprecia.

2-11. DIODOS ZENER


La multiplicacin de avalancha y la ruptura Zener son los dos procaos que provocan la zona de ruptura
en la caracterstica con polarizacin inversa de la Fig. 2.4a reproducida en la Fig. 2-300. Los diodos que
posean una disipacin de potencia adecuada para trabajar en la regin de ruptura se denominan Diodos
Zener y su smbolo es el de la Flg. 2.30h, (la denominacin de diodo Zener se emplea inde-
pendientemente del mecanismo de ruptura). Estos elementos se emplean como reguladores de tensin as
como en otras aplicaciones que requieran una tensin de referencia constante.

Multiplicacin de avalancha
Consideremos la siguiente situacin en un diodo con polariractn inverw un portador generado
trmicamente (parte de la corriente de saturacin inversa) cae en la barrera de la unin y adquiere energa
de la tensin aplicada. Estos portadores chocan con un ion del cristal e imparten suficiente energa para
romper un enlace covalente. Adems del portador original se ha generado un nuevo par electrn-hueco.
Estos portadores pueden adquirir energa suficiente del campo, chocar con otro ion del cristal y crear otro
par electrn-hueco. De esta forma cada nuevo portador produce portadores adicionales debidos a la
colisin y accin disruptiva de los enlaces. A este proceso se le llama mu&~/icucin de malancha. El
resultado es una corriente inversa elevada y se dice que el diodo est en la regin de ruptura o avalancha.

Ruptura Zener
Aun cuando los portadores disponibles inicialmente no adquieran energa suficiente para romper
cnlacesesposible iniciarla ruptura porrupturadirectade los enlaces. Puestoque existe un campoelctrico
en la unin puede aparecer una fuerza suficientemente elevada sobre un electrn para romper su enlace
covalente. El nuevo par hueco-electrn formado acrecienta la corriente inversa. Obsrvese que este
proceso llamado ruptura Zener no implica colisiones de portadores con iones del cristal.
Laintensidaddecampoelctricoji aumenta alaumentarlaconcentracindeimpurezas parauna tensin
aplicada fija. Se ha determinado que la ruptura Zener se produce con un campo de aproximadamente 2 x
10 V/m, valor que se alcanza con tensiones de 6V o ligeramente menos en uniones fuertemente dopadas.
En diodos poco dopados la tensin de ruptura es ms elevada y el efecto predominante es la multiplicacin
por avalancha. Existen diodos de silicio con tensiones de avalancha desde unos pocos volts hasta unos
centenares de ellos con potencia de hasta 50W.

Modelos de diodo Zener


La caracterstica del diodo Zener puede aproximarse a una relacin volr-amperio lineal, en forma muy
parecida a los diodos con polarizacin directa. El modelo de la Fig. 2-31~ resulta de tal representacin.
En muchos casos la caracterfstica representada en la Fig. 2-30~ es virtualmente vertical en la ruptura por
lo que la resistencia estticaR, = 0.

En la Fig. 2.31h se representa el modelo dinmico o de pequea setial. La rrs~stencru irwzmicu Raes
la inversa de la pendiente de la caracterktica volt-amperio en la zona de trabajo. Relaciona la variacin
de la corriente de trabajo AI, con la variacin de tensin A V, haciendo A Vz = rzAlz Idealmente, r, es igual
acero lo que corresponde auna caracterstica vertical en la regin de ruptura. Para valores de VK del orden
de unos pocos volts, rz es del orden de unos pocos ohmios. Sin embargo, con corrientes por debaJo de Iz, de
la fig. 2-30~ en el codo de la curva, r. puede llegar a valer algunos centenares de ohmios. Estos valores
de r: se obtienen tambin para Vz > 1V y para niveles de tensin bajos, particularmente con corrientes
inferiores aun mA.
La capacidad de un diodo de avalancha es la capacidad de transicin y por tanto vara inversamente a
una potencia de la tensin. Puesto que C, es proporcional a la seccin recta transversal del diodo, los de
gran potencia tienen una capacidad muy elevada. Son normales valores de C, comprendidos entre 10 y
10.000 picofaradios.

Un regulador Zener
El diodo Zener de la Fig. 2.32~ se emplea para mantener una tensin de salida V,, = V inde-
pendientemente de las variaciones de la resistencia de carga R, y de la tensin no regulada VT >Vz. Para el
anlisis del regulador se utiliza el circuito equivalente de la Fig. 2- 32h en el que se supone R. = 0. La
ecuacin que describe este circuito es, segn las leyes de Ohm y de Kirchhoff:
88 Microelectrnica moderna

En esta ltima ecuacin vemos que 1, = V4R, aumenta (disminuye) cuando disminuye (aumenta) la
resistencia de carga. Sin embargo, la corriente f, es independiente de R,; por tanto lz vara con las
variaciones de la carga pero la salida se mantiene constante en V, 1, viene limitad tanto en los valores
bajos como altos de la corriente. La limitacin alta proviene de la mxima capacidad de disipacin de
potencia del diodo Zener. La corriente /,, (Fig. 2.30~) representa el valor mnimo de la corriente del diodo
para que tenga lugar la regulacin. Por debajo de I,, la regulacin es pobre y la tensin de salida se desva
de V,. El campo de tolerancia de 1, restringe los valores de la carga para los que se alcanza la regulacin.
Para un diodo dado estos lmites de Ix a su vez limitan los valores mnimos y mximos de V, para el buen
funcionamiento del circuito.
Algunos fabricantes especifican el valor del,, mnimo, por debajo del cual no puede usarse el diodo.
Muchos diodos comercialmente asequibles presentan un codo agudo en su caracterstica an en el margen
de los microampetios. En el caso de no ser conocida %, una forma emprica de estimarla es tomando para
ella de un 5 aun 10% de la mxima corriente nominal.

Caractersticas de temperatura
Un punto de inters en relacin con los dwdos Zener y con todo\ los dispositivos de smiconductores
en general es su sensibilidad al calor. Su coeficiente de temperatura viene dado por la variacin porcentual
de la tensin de referencia por cada grado centgrado de variacin de la temperatura del diodo: este dato
lo suministran los fabricantes. Este coeficiente puede ser positivo o negativo y generalmente estar
comprendido entre k O,l% y grado. Si la tensin de referencia es superior a 6 V, cuando el mecanismo
fsico corresponda a la multiplicacin por avalancha el coeficiente ser positivo. En cambio por debajo
de los 6 V cuando tiene lugar la ruptura Zener el coeficiente ser negativo.
Los diodos de referencia compensados trmicamente proporcionan una tensin virtualmente constante
dentro de un amplio margen de temperaturas. Estos dispositivos constan de un diodo Zener con
polariLacin inversa y coeficiente de temperatura positivo, combinando en un mismo chip con otro diodo
polarizadoen directaydecoeficientede temperaturanegativo. A maneradee,jemplo,el diodode referencia
de silicio Motorola IN8241 de 6,2V tiene un coeficiente de temperatura de k O,OOS%C a 7,s mA en la
zona entre -55 a + lo0 C. La resistencia dinmica es solamente de 10 0. La estabilidad de tensin con el
tiempo de algunos de estos diodos de referencia es comparable a la de las clulas convencionales normales.

2-12. DIODOS DE BARRERA SCHOTTKY


Launin formadaporunmetal y un \cmiconductorextrinsCcopu~d~ ~~rrectlficadorauhmlca. Debido
a la diferente concentracin de portadores en los dos materiales existe una barrera. Los contactos hmicos
El diodo de unin 89

empleados para las conexiones en dispositivos semiconductores existen cuando se trata de eliminar el
efecto de la barrera. stees el caso de la unin entre aluminio y silicio fuertemente dopado usada en la
fabricacin de circuitos integrados. Sin embargo, cuando se usa silicio ligeramente dopado (o arseniuro
de galio) la unin aluminio-silicio es rectificadora y los dispositivos as formados se denominan Barrera
Schotky o simplemente diodos Schott!-zy.

Diodo de SdICI.
-

En 1aFig. 2.33a se establece lacomparacinentre las caractersticas volt-amperio de un dlodo Schottky


y un diodo de unin de silicio. Observemos que ambas caractersticas tienen el mismo perfil por lo que la
Ec. (2-3) define tambin el comportamiento de la barrera Schottky. Sin embargo entre estas dos
caractersticas se aprecian dos diferencias fundamentales: (1) en el diodo Schottky la tensin umbral Vy
es menor, y (2) la corriente de saturacin inversa es mayor. Ambas particularidades son consecuencia de
la mayor concentracin de electrones en el metal. Con mayor nmero de portadores disponibles se obtienen
corrientes similares a tensiones ms bajas, y en forma anloga este nmero de portadores acrecientan la
corriente de saturacin inversa.
El principal uso de estos dispositivos en circuitos integrados es por conmutar ms rpidamente de lo
que lo hace el diodo de unin. Debido a que es un dispositivo de portadores mayoritarios (recurdese que
en un metal no hay portadores minoritarios) el tiempo de almacenamiento es despreciable y el tiempo de
recuperacin inversa comprende nicamente el tiempo de transmisin visto en la Fig. 2-29~.

2-13. DIODO DE UNIN EN ESCALN


En esta seccin presentaremos con ms aproximacin cuantitativa vanos de los concepto> descritos
anteriormente en este mismo captulo. La unin en escaln, introducida por primera vez en la Sec. l-7 se
emplea aqu para estudiar la capacidad de deplexin C,, variaciones en la densidad de portadores
minoritarios, y la capacidad de difusin C,.

Capacidad de deplexin
Una unin abrupta se forma cuando hay un cambio abrupto de iones aceptadores en un lado con iones
dadores de otro lado. Una unin as se forma entre el emisor y la base de un transistor planar. No es
necesario que las concentraciones de dadores y receptores sean iguales. De hecho es frecuentemente
ventajoso tener una unin asimtrica. La Fig. 2-346 es un grfico de la densidad de carga en funcin de
YO Microelectrnica moderna

la distancia a la unin, en la que la concentracin de aceptadores N, se supone mucho mayor que la de


dadores N,. Puesto que la carga neta debe ser cero, se deduce que:
NAW, = NDW,, rn~? (2-23)

Si NA N,>, entonces W>I W,, = W. La relacin entre tensin y densidad de carga viene dada por la
Ec. (2-l):
&V YNI,
Vim (2-24)
dx E
Las lneas de flujo elctrico parten de los iones dadores positivos y terminan en los iones receptores
negativos. As pues r.n hay lneas de flujo a la derecha de x = W,, de la Fig. 2.34, y (i = -dV/& = 0 (IX =
W,~= W. Integrando la Ec. (2-24) sujeta a esta condicin tendremos:
dV
- L ~- YN,, (X - WI = 40 V/m (2-25)
dr E
El diodo de unin 91

Despreciando la pequea cada de tensin a travs de W, podremos escoger arbitrariamente V = 0 en


x = 0. Integrando la Ec (Z-25) con esta condicin tendremos
- qND
v= 2t (9 - 2Wx) v (2-26)

La variacin lineal de la intensidad de campo con relacin ax y la dependencia de la tensin respecto


al cuadrado de x quedan reflejadas en las Figs. 2-34~ y 2.34d. Estas grficas deben compararse con las
correspondientes curvas de la Fig. 2-2.
En x = W, V = V, = tensin de la unin o barrera, o sea

=!!!w!!T (2-27)
i 2E
En esta seccin hemos empleado el signo V para representar la tensin a una distancia cualquierax de
la unin. Ahora emplearemos V, para la tensin exterior aplicada al diodo.
Puesto que el potencial de barrera representa una tensin inversa, se rebaja aplicando una tensin
directa: As
v, = v,, Vo v

siendo V, negativo para una polatizacin inversa aplicada y V,, la tensin de contacto (Fig. 2. 24. Esta
ecuacin y la 2-l 5 confirman cualitativamente que el espgor de la capa de deplexin crece con la tensin
aplicada. Ahora vemos que W vara como fi = pz -V,
Si A es el rea de la unin, la carga Q en ladistancia W es:
Q = qN,,WA C
La capacidad de deplexin incremental C, es:

(2-28)

De la Ec. (Z-27), dWldy = clq NdW, y por tanto

c,=$ f: (2-29)

Estaecuacines exactamentelamismaque se obtiene para uncondensadorplanoparalelo de superficie


A y distancia W entre placas con un dielctrico de pennitividad i, lo que ya se anticip en la Ec. (2-21).
Despejando W de la Ec. (2-27) y sustituyendo en la Ec. (2-29) tendremos

CT= A[2(~~v,,j]i F (2.30)

A veces conwene escribir esta ecuacin como


I?
C, = A Co F (2-3 1)

siendo C,, la capacidad de la unin por unidad de supetiiue y cun polaridad nula (V, = 0).

Expresin analtica de la concentracin de portadores minoritarios


Si la tensin a travs de un diodo se aplica en sentido directo, la barrera de potencial en la unin se
92 Microelectrnica moderna

rebaja y huecos del lado (1 entran en la regin w, y anlogamente electrones del tipo IZ pasan al lado p.
Definiremos/~,, corno la concentracin de huecos en el semiconductor de tipo n. Si designamos porp,,,, el
pequeo valor de la concentracin de huecos &enerados trmicamente, la concentracin de huecos
inyectados o en exceso p,, serp = P,~-pntr A medida que los huecos se difunden en el lado n encuentran
abundantes electrones con los que se recombinan. Por tanto p,,(x) decrece con la distancia x en el material.
n. Se ha determinado que el exceso en la densidad de huecos cae exponencialmente con x.
p;(x) = p;(O) l -XfLa = p,,(x) pnCj mm 3 (L-32)

en donde II,,(O) es cl valor de la concentracin inyectada de minoritarios en la unin x = 0. El parmetro


L,, denominado longitud de difusin de huecos est relacionado con la constante de difusin D{, (Sec. 1-l 1)
y con el tiempo de vida medio T,, segn

L=(Dr)
i 0 ,J 3 (2-33)
L,, representa la distancia desde la unin en la que la concentracin inyectada ha bajado hasta l/& de
bu valor en x = 0. Se puede demostrar que LI, es igual a la distancia media que un hueco inyectado recorre
antes de recombinarse con un electrn, por tanto, L,, representa el camino libre medio para los huecos.
En la Fig. 2-350 puede verse el comportamiento exponencial de la densidad de portadores minoritarios
en exceso en funcin de la distancia en cualquiera de los lados de la unin. La zona sombreada por debajo
de la curva en el lipo n (o tipo ~7) es proporcional a la carga de los huecos (o electrones inyectados).
Obsrvese que ,z!,indica la concentracin de electrones en el material tipop a una distancia .v de la unin,
y ni) (0) el valor de tal densidad en x = 0

En la Sec. 2-2 ya x seal que una polarkacin directa V rebaja la altura de la barrera y aporra mas
pwfudor-es yue WUCEII la unin. Por tanto p,,(O) debe ser funcin de V. De la relacin de Boltrmann [Ec.
(l-19)] parece razonable que [I, (0) deba depender exponencialmente de V. Se ha hallado que:

p,,(O) = p,&vI- rn~ 3 (2-34)

ESta ecuacin da Ia concentracin de huecos en cl extremo de la regin 7s(en r = 0 justamente afuera


de la regin de transicin) en funcin dc la concentracin ,I,,, de portadores minoritarios en equilibrio
trmico (alejado de la unin) y de la tensin V, constituye la llamada /cy de /u uni~in. Una ecuacin similar
intercambiando las JI y las II dar la concentracin de electrones en la regin p en funcin de V.
La Fig. 2.3% representa la densidad de equilibrio de portadores minoritarios cuando una tensin
exterior polariza inversamente la unin. Lejos de la unin los portadores minoritarios igualan a los valores
p,,,, y n,>,,de equilibrio trmico. situacin que es tambin la de la Fig. 2-35~1. A medida que los portadores
mmonrarios se aproximan ala unin son barridos rpidamente y su densidad va disminuyendo hasta cero
en la unin. Este resultado se deduce de la ley de la unin Ec. (2.34) ya que la concentracin ,u,(O) se
reduce acero con una tensin negativa en la unin.
La carga inyectada con polarizacin inversa viene dada por el rea de las xmas sombreadas de la Fig
2.3% Esta carga es negativa ya que representa menos carga de la posible en condiciones de equilibrio
trmico sin tensin aplicada.

Descripcin del control de carga de un diodo


De la Ec. (l-34) se deduce que la corriente de difusin de hurxus 1!,(O) que atravresa la unin bajo
plarizacin directa eh proporcional a la pendiente cn el origen de la curva II,, de la Fig. 2.350. La
correspondiente corriente de difusin de electrones I,, (0) es proporcional ala pendiente en el origen de la
cunea R,,de la misma figura Tericamente se puede demostrar que la corriente de desplazamiento
de portadores minoritarios que atraviesan la unin es despreciable frente a la corriente de difusin de
portadores minoritarios. Por tanto, /{JO) represent~a la corriente total de huecos que pasan la unin
de kquicrda a derecha, e I,,(O) cs la corriente total de electrones que la cmran de derecha a izquierda, por lo
que la corriente total I en el diodo ser la suma de ambas, o sca

/ L l,,(O) + I,,(O) A (2.35)


La conientc inversa de saturacin de hueco!, (o electrones) LI>proporcional a la pendiente en .I = 0 de
la curvap,, (o I,?,,)de la Fig. 2-3Sh. La corriente inversa de saturacin es la stuna de ambas y es negativa,
Para umphficar el razonamiento supondremos que uno de los dos lados , por ejemplo el de material p
est mucho ms dopado que el n de forma que toda la corriente 1 que atraviesa la unin es debida a 105
huecos que pasan del lado ,> al n, o sea que / = 1,x0). Segn la Ec. (l-34)

haciendo uso de la Ec. (3-32) parap,, (x). La corriente de huecos / viene dada por Y>(r)dc la Ec. 2-36 con
I = 0, sea
AqD,,pW 4
I= (2-37)
L

El exceso de carga minoritaria Q existe slo en el lado II y wene dado por la superficie sombreada en
la regin n de la Fig. 3-351 multiplicada por la seccin recta A del diodo y por la carga electrnica 9, Por
tanto, de la Ec. (2-32) se obtiene

Q= Ay/>(o) t ti, d,l = AyL,,p(O) c (2-38)


I,
Eliminando p(O) de las Ecs. (Z-37) y (Z-38) rendremo,

hiendo 7 = Lp/D,>= T!,vida media de los huecos IEc. (2.33)]


94 Microelectrnica moderna

La Ec. (2-38) es una relacin importante conocida como descripcin del control de la carga de un
diodo y establece que la corriente de un diodo (consistente en huecos que cruzan la unin desde el lado p
al n) es proporcional a la carga Q de exceso de portadores minoritarios almacenada. El factor de
proporcionalidad es la inversa de la constante de tiempo (tiempo de vida media 7) de los portadores
minoritarios. Por tanto, en estado de equilibrio la corriente 1 suministra portadores minoritarios al
mismo ritmo en que desaparecen por la recombinacin. La caracterizacin de un diodo por su control de
carga describe el dispositivo en funcin de la corriente 1 y de la carga Q almacenada, mientras que la
caracterizacin por medio del circuito equivalente lo hace en funcin de Z y de la tensin Ven la unin.
Una ventaja inmediata a la representacin por el control de carga es la de sustituir la relacin exponencial
entre I y Vpor la relacin lineal entre 1 y Q. La carga Q es simplemente un parmetro cuyo signo determina
cuando el diodo est Dolarizado en directo o en inverso. Si Q es positivo la polarizacin ser directa, y
viceversa.

Capacidad de difusin
En la Sec. 2:8 se introdujo la capacidad de difusin C, como modelo del almacenamiento de portadores
minoritarios en la vecindad de un diodo con polarizacin inversa. Podemos ahora deducir este elemento
basndonos en la descripcin del control de carga recin visto. De las Ecs. (2-39) y (2-17) tenemos:

en la que gli z dt/dV es la conductancia


incrementa1 del diodo. Sustituyendo la expresin de la resistencia
incrementa1 del diodo r<i= lig, dada en la Ec. (2.18) en la Ec. (2-40) resulta

co=715 F (2-41)
1IVT
Vemos que la capacidad de difusin es proporcional a la corriente 1,. En la deduccin anterior hemos
supuesto que la corriente 1, en el diodo es debida slo a los huecos. Si no se cumple esta suposicin, la
Ec. (2-40) da la capacidad de difusin C, debida nicamente a los huecos, y se puede deducir una
expresin similar para la capacidad de difusin C,, debida a los electrones. La capacidad de difusin total
es la suma de C,, y C,,

REFERENCIAS
1 Gray, P.E., D. De Wltt, A.K. Boothroyd, y J.F. Gibbons: Physical Electronu and Circuit Mudel& of
Transistors, 01. 2, SEEC, John Wiley & Sons, Nueva York, 1964.
2 Millman, J., y C.C. Halkias: Integrated Electronics, McGraw-Hill Book Company, Nueva York, 1972.
3 Yang, E.S.: Fundamentals of Semiconductor Devices, McGraw-Hill Book Company, Nueva York, 1978.
4 Muller, R.S., y T.I. Kamins: Device Electronics for Integrated Circuits, John Wiley & Sons, Nueva York,
1977.
5 Ghausi, M.S.: Principles and Design of Linear Active Circuits. McGraw-Hill Book Company, Nueva York.
1965.
El diodo de unin 95

TEMAS DE REPASO
2-1 En una unin WI. idnde es mxima la intensidad del camoo elctrico? Exolouese

2-2 Qu es la regin de deplexin?


Los huecos y los electrones iconstituyen la carga espacial?
Los iones dadores y aceptadores constituyen la carga espacial?
2-3 Cul es el mecanismo para la mayor parte de la corriente en una unin con polarizacin directa?
AQupolaridad debe tener la tensin externa para tener polarizacin directa?
2-4 Con polarizacin inversa jaumenta o disminuye el espesor de la regin de deplexin?
Qu pasa con la tensin en la unin?
2-5 Qu es un contacto hmico?
iSe puede medir directamente la tensin de contacto de un contacto hmico?
2-6 Escribe la relacin volt-amperio para una uninpn y expresa el significado de cada trmino.
2-7 Por qu la corriente de saturacin inversa puede considerarse un factor de escala de la corriente del diodo?
2-8 iQu se entiende por tensin umbral?
2-9 iCmo puede determinarse q de la caracterstica logarhnica?
2.10 (a) iCmo vara con la temperatura la corriente de saturacin inversa de un diodopn?
(b) Con corriente constante, jcmo vara la tensin del diodo con la temperatura?
2.11 iQu parmetros de un diodo de germanio difieren de los de uno de silicio?
2-12 (a) Esboza la caractetstica volt-amperio de un diodo ideal.
(b) Explica cmo esto semeja un interruptor.
2.13 iCul es el significado de la recta de carga?
2.14 Dibuja un modelo de diodo de gran seal con polarizacin directa.
2.15 Explica cmo un diodo funciona corno rectificador.
2.16 Explica la accin del condensador de filtro en un circuito rectificador.
2.17 Describe el funcionamiento de un diodo cortador.
2.18 iQu se entiende por caractersticas de transferencia?
2.19 (a) Dibuja el modelo de pequea seal de un diodopn wn polarizacin directa e mversa.
(b) Explica el significado fsico de cada elemento.
2.20 Con polarizacin directa y corriente del diodo creciente, jaumenta o disminuye (a) la resistencm mcremental,
y (b) la capacidad de difusin?
2.21 Explica cmo se usa el circuito equivalente de pequea seal para determinar la respuesta en un circuito que
contenga un diodo.
2.22 ~Por qu no aparece la fuente de polarizacin constante en el modelo de pequea seal de un circuito
conteniendo un diodo?
2-23 La capacidad de deplexin iaumenta o disminuye al crecer la tensin inversa?
2.24 Explica el significado fsico de los tiempos de almacenamiento y de transicin.
2.25 Describe el mecanismo fsico que produce: (a) la ruptura por avalancha y (b) la ~~lpturaZener.
2.26 (a) Esboza la caracterstica volt-amperio de un diodo Zener.
(b) Sealar en el esbozo el codo de la curve.
(c) Qu significa ese codo?
2.27 Dibuja los modelos de grande y de pequea seal del diodo Zener
2-28 iEn qu se diferencia un diodo de barrera Schottky de un diodo de umn de sthcto!
2-29 Esboza la densidad de portadores minoritarios en un diodo pn de unin abrupta con polarizacin directa e
inversa.
2.30 iQu se entiende por descripcin del control de la carga de un diodo?
r Transistores
bipolares
de unin
(B JT)

El transistor de unin bipolar es uno de los principales dispositivos de semiconductores empleados en


la amplificacin y conmutacin. El objetivo de este captulo es describir los principios fsicos que
gobiernan el funcionamiento del transistor bipolar de unin y tratar a este elemento como un elemento del
circuito. El desarrollo de las caractersticas volt-amperio del transistor, de la pequea seal y del circuito
equivalente se basa en los correspondientes conceptos vistos en el captulo 2 para el diodo de unin. En
particularsepresentanlas ecuacionesdeEbers-Mollquedescribenelfuncionamientodel transistorbipolar
en las variedades activa, saturacin, en corte e invertida. Se estudia el compon;lmiento de una etapa en
emisor comn tanto como amplificador corno conmutador. Debido a su importancia en los circuitos
integrados trataremos tambin del par emisor-acoplado (diferencial).

3-1. LA FUENTE IDEAL DE CORRIENTE CONTROLADA


Los amplificadores y conmutadores electrnicos se valen de las propiedades de las fuentes controladas
para cumplir su misin. En tales circuitos se emplean abundantemente los transistores porque poseen
caractersticas de fuente gobernada. Para fijar la atencin en algn aspecto importante de la actuacin del
transistor es bueno examinar las propiedades del circuito de fuente gobernada, y ver cmo puede usarse
ste como amplificador y conmutador.
Una fuente de corriente controlada ideal. como la representada en la Fig. 3-10 es un elemento del
circuito consistente en tres terminales, uno de ellos comn a la entrada y ala salida. El par de terminales
de entrada (l-3) suministran la corriente de control i, y una fuente de corriente de intensidad Ai, acta de
par terminal de ulida (2-3). El parmetro A relaciona la intensidad de la fuente con la corriente gobernada
98 Microelectrnica moderna

lo que corrientemente se denomina ganancia de corriente. Fsicamente., A est relacionado con los
procesos que suceden en el dispositivo empleado para tener la fuente gobernada.
En la Fig. 3-k se pone en evidencia que el efecto de i, se transmite ala salida por medio de la fuente,
mientras que la seal aplicada al terminal de salida no afecta a la corriente de control. Este procesa
unitaleral permite que aquellas partes de un circuito en las que se aplica la seal de control queden ais-
ladas de elementos del circuito conectados ala salida.
En la Fig. 3.lh se conecta a la entrada una fuente de seal Y$en serie con una resistencia R$, y se sita
una resistencia de carga R, a travs de la salida de la fuente gobernada. La corriente de control es i, = v,R$
y la tensin de salida
u2 = Ai,R, = AR,
~ u (3-l)
R,
EnlaEc. (3.l)vemosquecuandoAR, IR, >1 entonces / v2 1 > 1 v, / y se tiene amplificacin de tensin.
Adems si A > 1 como normalmente es el caso, se tiene tambin ganancia de corriente ya que la de salida
es mayor que la de entrada. Tambin es cierto que la potencia disipada en R, es mayor que la suministrada
por Y Por tanto, una fuente controlada es capaz de dar una ganancia de potencia. Una consecuencia de la
amplificacin es la de que la potencia necesaria para el control es menor que el conjunto de la potencia
gobernada. Esto, junto con la propiedad unilateral permite gobernar la fuente como aun interruptor.
Lascaractersticasvolt-amperiode salida sonunabuenaayudaparaponerdemanifiestoladependencia
de la intensidad de la fuente en la variable de control. Para la fuente gobernada de la Fig. 3-k estas
caractersticas son las representadas en la Fig. 3-2h como familia de cuwas de vz en funcin de v para
distintos valores de i,. La caracterstica horizontal indica que i2 es independiente de vz (Esto es cierto para
cualquier fuente de corriente ideal.) Para demostrar el funcionamiento como interruptor, consideranox
circuito de la Fig. 3-3~~.El dispositivo en el recuadro tiene las caractersticas de salida trazadas en la Fig.
3.2h en la que puede verse la recta de carga representando la ley de Kirchhoff para el bucle de salida. La
Tramivtores de unin bipolares (BJT) 99

(4 (b)
Hgura 3.3. (a) Cmwm empleando una fuente de corriente gobernada, Ch) la onda de entrada

onda de tensin de entrada es la de la Fig. 3.3h y la de corriente, tambin de entrada, la de la Fig. 3.20.
Supongamos que el valor de i! = V,/R, corresponde a la corriente I,, de la Fig. 3.2~. Cuando b$= 0 (0 < f
5 7 ,), i, = 0 el punto de trabajo est en Q, resultando v2 = Vz2e il = 0. Esta situacin corresponde a la de
un Interruptor abierto. Para t >T, la corriente de control es I,, haciendo que el punto de trabajo pase a g,:
en Qi, Y* = V,, y i, = I,,, lo que corresponde a un interruptor cerrado con una tensin Vzo a travs de el.
Las ondas de salida resltantes estn representadas en la Fig. 3-2h. El razonamiento anterior nos lleva a
la conclusin de que en los terminales de salida 2.3 el dispositivo se comporta como un interruptor cuyo
estado (abierto o cerrado) depende de la seal aplicada a los terminales l-3. Adems, la tensin y la
corriente de salida, que dependen slo de los elementos exteriores V12 y R,, estn gobernador por la:
corriente de entrada i,. Obsrvese que si se desea que la cada de tensin a travs del interruptor controlado;
sea nula, debe seleccionarse la corriente de control V<P, igualada a I,,. Con esta corriente de entrada, la
de salida es VJR, y v2 = Vz2 i,R, = 0 correspondiendo al punto Q, de la Fig. 3.2b.
1
t
100 Microelectwhica moderna

Tambin puede demostrars el funcionamiento como amplificador mediante cl circuito de la Fig. 3-3~1.
~m~~ere~os que v, = V,, + Vn, sen w siendo V,,, CV,,. La tensin V,, se emplea para polarizar el
dispositivo al punto Q de la caracterstica de salida (Fig. 3.4h). Adems supondremos que la componenre
senoidal de I,, la sefial, produce una corriente i, come indica la Fig. 3.4~. Esta variacin de i produce la
corriente i, y la tensin I~ representadas. En las condiciones fijadas anteriomw~te en estaseccin. la
amplitud de la componente senoidal de 1~cs mayor que V,,, demostrando nueumcnte que la .sra/ queda
amplificada. Es de observar que la tensi~de polarizacin V, es esencial pava el proceso de amplificacin.
Faltando V,, la corriente de salida se anula siempre que la amada senoidal sea negativa. Esto hace que el
circuito acte como cortador o rectificador siendo la salida slo una porcin de senoide. As pua, en
contraste con el funcionat+nto de interruptor gobernado, IB actuacin como amplificador necesita que
para el nivel de seal utIlizado cl punto de trabajo quede restringido a la mitad de la caracterstica
volt-mpetio. Si los niveles de la seal de entrxia son muy inferiores inferiores que el nivel de polarizacin, el
anlisis de los circuitos amplificadores lleva por s mismo al empleo de modelos dc pequea henal que se
vern en la Seccin 3.10.
Hay que hacer constar que los dispositivos reales slo se aproximan a la caracterstica ideal. Por tanto.
para usaren amplificadores conviene que los dispositivos muestren unas caractersticas de corriente (o dc
tensin) constantes dentro de los valores de funcionamiento.

3-2. EL TRANSISTOR DE UNIN


El transistor bipolar de unin (BJT), llamado tambin transistor de unin o transistor bipolar es
un dispositivo de tres elementos fomwio por dos uniones unidas a una capa semiconductora comn. Los
dos tipos de transistores de unin estn representados en la Fig. 3.5. En el transis;rol-,~,r,~de la Fig. 3-5u
la regin comn de tlpo n est entre do, capas de tipo/,. Anlogamente cn el ~I.~IISS~OI. U,M dc Iii Fig. 5%
nay una regdn /,,entre dos capas de npo ,,. Los tres elcmemos de un BJT SCdenominan ~vnisor, haw y
cokror y se indxan con los smbolos de la Fig. 3-6. La flecha en el emisor seala la direccin de la
corriente cuando la unin emisor-base est con polarizacin directa. En ambos casos, se consideran
positivas las corrientes /, , 1, e IC cuando se dirigen hacia el interior del transistor. En la figura se indica
tambin la tensin entre cada par de terminales mediante un doble subndice. As por ejemplo VC8
representa la cada de tensin entre el colector(C) y la base (B).
Transistores de unin bipolares (BJT) 101

Larepresentacindel transistor bipolar de 1aFig. 3.5h muestra una estructura simtricaque ne permite
elegir como emisora a cualquiera de las regiones n. Sin embargo, en un transistor real como el npn planz
de un circuito integrado como el de la Fig. 3-7 el emisor y el colector tienen diferencias marcadas.

La Fig. 3-7a representa la seccin recta del transistor, distinguiendo con el sombreado las dlantas
concentraciones de impurezas. En la vista superior de la Fig. 3.7h puede verse cmo estn hechos los
contactos del aluminio a las regiones de colector, base y emisor. Obsrvevz cmo, debido al dopado
102 Microelectrnica moderna

selectivo del bloque de silicio queda una regin p entre dos n formando as un trauistor rr,~. El nombre
de transistor planar o plano proviene del hecho de que los tres terminales C, B y E van conectados a los
contactos del aluminio al colector, base y emisor respectivamente estando los WPSCOWX~OSsohr-e un
mismo plano.
Tal como se aprecia en la Fig. 3-7 la superficie ocupada por el emisor es notablemente menor que la
del colector. Esta diferencia es debida a que en la mayor parte de aplicaciones del BJT la regin de colector
manipula ms potencia que el emisor por lo que precisa ms superficie para disipar el calor. La segunda
diferencia estriba en las densidades de dopado de las regiones de emisor y de colector. Generalmente el
emisor sirve como fuente de cargas mviles. Se emplea una densidad de dopado alta (y de ah la
designacin n +) para resaltar la facultad de hacer ms portadores asequibles. Es decir, cuando la unin
emisor-base tiene polarizacin directa el emisor inyecta electrones a la base, desde donde se deiplaran
hacia el colector. Si el diodo colector-base est polarizado inverso, los electrones portadores minoritarios
en la base son barridos al interior de la regin del colector donde se convierten en el mayor componente
de la corriente del colector. Al colector, como su nombre indica, no se le pide normalmente que ceda
muchos portadores, por lo que su nivel de dopado no necesita ser tan alto corno para el emisor (la pequea
regin n *del colector ayuda a formar un buen contacto hmico). La regin de la base se dopa a un nivel
intermedio entre los del emisor y el colector, por los motivos que se exponen en el captulo 5.

Comportamiento fsico de un transistor bipolar


Las prestaciones esenciales de un BJT como elemento de un circuito pueden apreuar~e consldcrando
la situacin representada en la Fig. 3-8. En ella hay un transistor pnp con unas fuentes de tensin que
polarizan en sentidodirecto launin emisor-base <VE, positiva) y en sentido inverso la unin colector-base
(0 negativa). Al estudiar el diodo pn en el captulo 2 vimos que VE, (V,,) aparece a travs de la muy
reducida regin de carga espacial del emisor (colector). El campo elctrico queda confinado en la regin
de deplexin, siendo nulo en el resto del semiconductor. Por tanto, el potencial es constante en cada regin
(emisor, base o colettor) y no existen corrientes de conduccin. En consecuencia, en un BJT las
componentes de la c&iente son todas corrientes de difusin.

Imagmemos de momento un transistor ideal cuya base est tan ligeramente dopada cn i-umparawm
con la regin de emisor que podamos despreciar todas las corrientes debidas a los electrones. Supondremos
tambin que el espesor de la regin de la base es pequeo frente a la longitud de difusin, de tal forma
que podamos despreciar tambin la recombinacin en esta regin. En este transistor ideal una tensin
directa V,, inyecta huecos a la base, y todos stos pasan a travs de la base a la regin del colector. Esta
accin tiene la consecuencia de que la corriente de colector sea igual a la de emisor l I I = / 1, / para
cualquier tensin inversa de colector V,,. Este transistor posee precisamente la caracterktica de la fuente
de corriente controlada descrita en la seccin 3-1 con una ganancia de corriente unidad (A = 1). Las
caractersticas de salida de este transistor ideal son las de la Fig. 3.2h con il = -16,I, = 1 E, y v2 = -V,,.
pigura 3-y. Corp,,snres de co,,lcLe en un flmiliior CO la l enmor-base con poiarizacin ct,recra y la lll colectoi~bae
CO poiarKacln IPF4

Consideremos ahora el comportamiento de un tranststor practico (no Ideal) cn el crcu~to de la Fig.


3-8. Ya no podcmos olvidamos de la recombinacin o los efectos de la concentracin de clcctrones cn cl
transistor real. En la Fig. 3-9 estn sealadas las diversas componentes de corriente en un transistorynl;
polarizado para corresponder al circuito de la Fig. 3. 8. En esta situacin volveremos a suponer que no
existe campo elctrico en el semiconductor fuera de la regin de dcplexin, con lo que aparecen las
rensione5 V,, y V,, en las uniones de emisor y de colector respectivamente. La unin emisor-base
polarizada en directo inyecta muchos huecos en la base (inyeccin directa) donde se convierten en
portadores minoritarios. Los electrones que cruzm la unin desde la base al emisor (inyeccin inversa)
se mantienen pocos al disear el transistor, dopando menos la base que el emiror. En la estrecha regin
de base los huecos se difunden hacia la unin colector-base, y un pequeo nmero de ellos se recombinan
con elcctronc? cn la base siendo sta una parte de la corriente de la base. Los huecos que llegan ala unin
colector-hase son enviados al interior del colector debido a la polarizacin inversa. En las condiciones de
polarizacin de la Fig. 3-9 estos huecos constituyen la mayor componente de I,. Sin embargo hay otra
pequea componente de la corriente de colector debida a los portadores generados trmicamente. Lo:
huecos generados de esta forma en la regin de la base penetran en el colector y los electrones generado:
trmicamente en el propio colector cruan la unin introducindose en la base. Estas dos corriente:
104 Microelectrnica moderna

trmicas constituyen la corriente de saturacin inversa de la unin colector-base indicada en la Fig. 3-P.
En consecuencia, tal corno se ve en esa figura es evidente que la corriente del colector est formada de
dos componentes, una debida a los huecos inyectados en el diodo emisor-base, y la otra atribuida a los
portadores generados trmicamente que cruzan la unin colector-base.

3-3. REPRESENTACIN EBERS-MOLL DEL BJT


Ld actuacin del transistor bipolar puede describirse en trminos conceptualza o cuantitar~vos, vendo
en la figura 3-5 que este dispositivo est formado por dos uniones pn acopladas. La regin de la base es
comn a ambas uniones formando el enlace entre ellas. El transistor bipolar se fabrica con una regin de
basesumamenteestrecha(considerablementemenorqueunalongituddedifusin). Enconsecuenciaexiste
una intaaczin elctrica significativa entre las uniones tal como se explic en la sec. 3-2, a la que se le
denomina accin fransis&x Las componentes de corriente que comprenden las 1, e Ic estn sealadas en
la Fig. 3. IOa para un transistorpn~. Las tensiones V,, y V iR son las cadas de tensin entre emisor y base
y entre colector y base respectivamente. Admitiendo que no exista cada de tensin alguna en los
semiconductores que forman las regiones de emisor, base y colector, estas tensiones son las existentes en
las respectivas uniones. Con ambas tensiones referidas respecto a la base, a esta conexin se le denomina
configuracin en base comn. La corriente de emisor de la Fig. 3-lOatiene dos componentes. h comente
relacionada con el diodo emisor-base se representa I,, y la relacionada con el diodo colector-base se
designa I,,. La componente
I aK l,, es laparte del,,> acoplada al emisora travs de la base, y anlogamente
t~&~, es la fracclon de Z,, acoplada al colector.
Basndonos en las consideraciones hechas en el prrafo anterior podemos construir el modelo
EbewMo11 de la Fig. 3-IOh. Los dos diodos en oposicin (cuyos ctodos estn unidos) representan las
uniones del transistor bipolar, mientras que las dos fuentes controladas indican la conexin entre uniones.
LdS COlrienteS I,, e 1, estn rehcionadas con V,, y VC, segn la relacin volt- amperio de1 diodo dada en
kt Ec. (2.3)1. Por tanto, 1, e 1, pueden expresarse en funcin de las dos corrientes del diodo:

It = II,, - U,J,,> = IES (,blfl - 1) - n,<1,, (6<), 1) (3-2)


1, = orlEn + I<.,> = <U,I,, (t';,"" 1) + I,, (t.""" -- 1) rz-zi

Las relaciones expresadas en las Ecs. (3-2) y (3-3) se conocen como Eruuciones de EhewMoU
l,, e I,., de las Ecs. (3-2) y (3-3) son las corrientes de saturacin inversa de las uniones emisor- base
y colector-base respectivamente. Los parmetros IX, y 01, son ambos menores que la unidad ya que no toda
la corriente de un diodo se acopla con la otra unin. Los subndices indican: F. transmisin directa
(Forward) de emisor a colector, y R, transmisin inversa (Reverse) de colector a emisor. Las cuatro
cantidades I,,, l,,, M, y c$+ son funcin de las densidades de dopado y de la geometra del transistor. Estas
cantidades no son independientes sino que estn relacionadas tericamente por
a, 1,.5 =~ WJ, 5 (3-4)

A ata ecuacin a veces se le llama condicin de reciprocidad del BJT.


La corriente de la base se halla igualando acero la suma de las corrientes en los terminales, o sea
iansistores de unin bipolares (BJT) 105

,xndo I>\ e I( ) del orden de 10 A dependiendo amhab de las respectivas vxcromr c la umon. tn
consecuencia, con un nivel de dopado dad de donadores y aceptadores, se pueden regular las corrientes
vanando la, dimenGonc\ del dispositivo. Esto se tiene en cuenta en el diseo de circuitos integrados para
obtener tran\istrcr con distintas iniensidades nominales. Con ate mtodo se pueden aumentar I,$ e I(,
hasta un> 10 y 10 A. respectivamente. a, ie mantiene prcticamente invariado, y segn la Ec. (3-4)
ax puede rebajare por debajo de 0.1. El escalonado de dimensiones se usa en transiaxes discretos para
alcanrwniveles de corriente y de potencia superiores a lo que se puede conseguir en un chip.
La\ ecuaciones de Ebers-Mo11 para un dispositivo npn se deducen de las Ec. (3.2) y (3-3) una vez
admitam\ que la corriente directa en cada diodo va de/> a n, y que la polari~acibn directa precisa de una
teniin positiva dep a II. En consecuencia, el \entido de todas las corrientes componentes y de la\ tensiones
en la\ unionec de un transistor npn ion contrarias a los de un dispositivo,>,?/> como se aprecia en la Fig
3-I la. De e\tc rronamiento \e deduce que las Ec. (3-2) y (3.3) son vlida, para un transistor n,,,~ E s<
intercala un iigno menos delante de V,,,, VcB;,y en cada componente de corriente. Los rewltdos quedan
de manifiesto en las Ec. (3-h) y (3-7).

Ganancia de corriente con gran seal


Consideremos un transistor ,x/~rzestand el diodo emisor-bax con plariz&.5n dIrecta (,, ~0) y con
los terminales de colector y de base cortocircuitado~ (V,,x= 0). En estas condiciones, de las Ec. (3. 6) y
(3-7) se obtiene
,, = 4, (,--r - 1)
por tanto, I( = -c$ IB y QF ser
106 Microelectrnica moderna

aFs -- c =o (3-8)
1.5 "cn=

El valor de a, ral como lo da la Ec. (3- 8) es la ganancia de corriente en cortocircuito directo en base
comn.
Aalogam&e, cuando V,, < 0, la ganancia de corriente en cortocircuito inverso a, viene dada por

,-&-- IE =t) (3-9)


Ic "As=0
Obsrvese que en la Ec. (3-9) la unin que est polarizada en directo es la de colector-base Y el diodo
emisor-base es el cortocircuito invirtiendo as las funciones del colector Y el emisor de las condiciones en
directo de lati. (3-8).
Las definiciones de las Ec. (3.8) Y (3-9) son aplicables tanto a los transistores ~~pncomo a lospnp. En
un dispositivo npn, I, es positivo mientras Z, es negativo, Y lo contrario sucede en un transistorpnp. En
consecuencia a, Y s son siempre positivos.
Cuando V,, = 0 y VE, < 0, la corriente de la base [Ec. (3-S)] se puede expresar:

1, = - (1 - aF)4 (3-10)

Puesto que los valores tiplcos de IX, son prximos a la unidad (como hemos visto antes en esta misma
seccin) I resulta muy pequeo comparado con I, siendo Z, e 1, prcticamente iguales.
A vekes es conveniente expresar las corrientes de colector Y de emisor en funcin de la corriente de
base, mucho ms pequea. Combinando las Ec. (3.8) Y,(3-10) obtendremos

Ic = (3-l 1)

IE=-= -1,
-u-G T l)fB (3-12)
1 - 01F
donde

af
PF=l-(YF (3.13)

La cantidad p, es la ganancia de corriente directa en cortoclrcuito con emisor comn (tambin


representada por h,,).
Un anlisis similar para las condiciones en inverso nos dar la ganancia de corriente inversa en
cortocircuito con emisor comn
PR = OiR (3-14)
1 - 01,7
En transistores integrados p, suele estar comprendido entre 50 Y 250, Y P, entre 1 Y 5.

Modos de trabajo del transistor


Cada oa de las uniones de un transistor bipolar de unin puede estar poltizada en directo 0 en inverso.
Con ello pueden formarse los cuatro modos de trabajo sealados en la Tabla 3-l. En las subsiguientes

4 A ecesa, sedesigna
porsucomspdiete pwdmetroh (h&. (Vaseel apndice
C),dondeeI subidceB indicabasecomn.
Transistores de unin bipolares (BJT) 107

secciones de este captulo se hace un detallado anlisis del funcionamiento del BJT en cada caso. Aqu
pretendemos examinar brevemente estas modalidades y hacer resaltar sus rasgos distintivos.
En la regin activa en directo el transistor bipolar se comporta como fuente controlada. Se llega a esta
conclusin por las Ecs. (3-6) y (317) para las condiciones apuntadas en la Tabla 3-l. Con tensiones de
polarizacin de la unin de algunas dcimas de volt, y suponiendo que Ic es tan pequea que se puede
despreciar, como es casi siempre el caso, I, = - CZJ~.As pues, el control de la corriente de entrada Z,
determinalacomentedesalidaZ;.Estaes laaccindeunafuentedecorrientegobemadayaqueloscambios
del nivel de polarizacin emisor-base ajustan el valor de I, y por tanto el de 1,. Con las caractersticas de
fuente gobernada obtenihles, el BJT puede emplearse como amplificador prevaleciendo el modo activo
directo en circuitos analgicos.

Tabla 3-1. Modos de trabajo del Transistor Bipolar


Modo Polariracin de la unin
Emisor-base Colector-base
Activo-directo Directa Inversa
Umbral (corte) IllVeIsa Inversa
Saturacin Directa Directa
Activo-inverso Inversa DireCta

En el modo (corte) ambas uniones estn inversamente polarizadas: tanto I, como 1, son del orden dt
las conientes de saturacin inversas del diodo (prob. 3-5). La situacin es la de corriente casi nula con
tensin inversa grande en launin (V,, > V,) y funciona aproximadamente como un interruptor abierto.
Con los dos diodos con polarizacin directa, en saturacin, la corriente de colector puede ser apreciable
pero la tensin a travs de la unin del colector ser pequea. Esta situacin es aproximadamente la de un
interruptor cerrado. El funcionamiento del BJT entre corte y saturacin equivale al de un interruptor
(comptiese esto con el razonamiento de la seccin 3-1).
El modo activo-inverso es semejante al directo pero con una diferencia significativa. Aun cuando el
funcionamiento en la regin activa-inversa es el de una fuente controlada (1, = - IX&,) la pequea ganancia
de corriente f& frente a ar hace que esta modalidad no sea adecuada en general para la amplificacin. Sin
embargo tiene aplicacin en circuitos digitales (Cap. 6) y en algunos circuitos de conmutacin analgicos,

Ejemplo 3-1

Un transistor npn trabaja con la unin colector-base polarizada inversamente con por lo menos algunas
dcimas de volt y con el emisor en circuito abierto. Determinar: (a) su modo de funcionamiento, (b) las
corrientes de colector y de base, (c) los valores de 1, y V, a temperatura ambiente siendo I,, = 10. Is A.
l,, = 2x10- I5 A, y a, = 0?99.

Solucin

(a). Con el diodo colector-base con polarizacin inversa vemos en la tabla 3-l que el modo de trabajo
ser o bien en corte o bien activo-directo. Cul de estas condiciones es la existente se deduce del estado
de la unin emisor-base. De la Ec. (3-6) siendo I,= 0 (circuito abierto) tendremos:
108 Microelectrnica moderna

de donde

habiendo hwho uw de la condian de reciprocidad de la Ec. (3.4), aJ,, = akA,,


Invirtiendo y tomando logaritmos de ambos lados, tendremos

!!GS, ___ = In ((3, + 1) (2)


7 1 UF

En (2) he observa que V,,-. es positivo polarizando en inverso la unin de emisor por lo que el ~MIYISIO~
est en corte.
(h) Con I, = 0, la ley de Kirchhoff dice que Z, = -IB. La cornlente de colector se obtiene de la Ec. (3-7)
en la que se ha sustituido (1).

I< = -Ia = L+CrJ<) T I,, = (1 - LY,Q)/<, (3)


tc) Sustituyendo valores en (2) tewlremos

A-A 1
= In- V e,R = Il5 mV
2s x 10-X 1 - 0.99 y
El valor de u, segn la condicin de reciprocidad es
10 5
cI,( = a, - = 0.99 = 0.4')s
I< < 2 x lo-'-

Sustuyendo valores en la (3) obtendremos.


,, = -Ir = (1 0.99 x 0.495) Y 2 x 10 Ii = I ? x 10 A

El resultado Indica que para 1, = 0 el transistor, entre los terminales de base y de colector, acta como
un diodo y que la corriente hallada es la de saturacin inversa del colector co el emiwr en circuito abierto.
Aun cuando el valor de I_ encontradr, es muy pequeno crece notablemente co la temperatura.
La corriente dada por (3) en el ejemplo 3-I se conoce frecuentemente como corriente de coktor
inversa. Como veremos en la Seccin siguiente este dato es muy mrportante en un BJT que generalmrnte
se designal,.,. Realizando un anlisis semejante con el colector en circuito abierto y el diodo emisor-base
co polaxacin inversa se obtiene la corriente inversa de emisor I,,,. Ambos resultados quedan estable-
cidos en la Ec. (3-15).

Concentracin de portadores minoritarios


En la Fig. 3-12 est representado el exceso de portadores minoritaios en la regin de la base debido
principalmente ala inyeccin directa. Se entiende por espesor de la regin de la base ala distancia que
media entre el lado de la base del emisor-base y la regin de deplexin del colector-base. Idealmente, el
exceso de densidad de portadores minoritarios decrece linealmente a travs de la regin de base. En
hms~wve.~ de unin bipolares (LUT) 109

realidad la distribucin viene dada por la lnea de trazos que ya tiene en cuenta la recombinacin. La
concentracin es nula en la confluencia colector-base ya que los portadores minoritarios que llegan ah
son lanzados al interior del colector.

En esta mlsrna figura i-12 estn representadas las respectivas densidades de portadore mmuritarior
en corte y en saturacin. Tal como es de suponer, polarizando en inversa la unin emisor-base se evita la
inyeccin directa, de forma que esta densidad es virtualmente nula. En saturacin existe un exceso de
portadores minoritarios por encima del nivel para el modo activo directo. Este exceso se atribuye a la
inyeccin de portadores en la base por el diodo colector-base polarizado en directo.

3-4. CARACIERSTICAS EN BASE COMN


Ahura cstanm en condiciones de trazar grficamente las caracteristrca~ volt-ampcre Jcl BJ? basri
dionos en las ecuaciones de Ehers-Moll yen los modos de funcionamiento vistos en la seccin anterior
Como ejemplo de estas caractersticas nos referiremos al transistoriwp 2N2907A. En la prxima seccin
que trata del circuito en emisor comn emplearemos el transistor rrpn 2N2222A. Estos transistores son
complementarios. es decir, que sus caractersticas y clasificacin ron casi idnticas salvo que el signo
aritmtico de las tensiones y corrientes retlejan la diferencia entre dispositivos pn[> y n,~n.
En el transistorprr/>. los mayores componentes de corriente comprenden huecos. Puesto que los hueco,
van de emisor a colector y salen de la base, refirindonos a las polaridades convencionales de la Fig. 3-5
tendremos que ll, es positiva mientras que I, e IH son ambas negativas. Las tensiones V,, y V,, en las
uniones son posmvas para polariacin directa y negativas para polarizacin inversa. En un transistor npn
todas las polaridades de corrientes y tensiones son contrarias a 1as de un dispositivo pep. Obsrvese que
en amhw tipos dr tranqiqtor I, e 1,. tienen el mismo signe opuesto al de 1,.

Caractersticas de salida
ES conveniente plantear las ecuaciones de Ebers-vo\l dirrctamente en funcin de I, e 1, de la siguiente
forma: en el caso de un transistorpn~ resolver I,, (E -1) de la Ec. (3-11 Sustituir este valor en la Ec.
(3-2) e identificar I,,, de la Fig. (3-ISh). El resultado (probl. 3-6) es:
110 Microelectrnica moderna

Procediendo de forma similar encontraremos

Ir = - a,Jt + I,,(t- - 1) (3.16b)

Estas ecuacmnes son vlidas para un transistor np aadiendo el signo menos delante dc I,, I,, V,, y
Vc, (prob. 3-6). En la Ec. (3-16b) vemos que I, depende nicamente de la corriente de entrada 1, y de la
tensin de salida VcB.En la Fig. 3-12 estn representadas las caractersticas de salida que muestran esta
relacin y forman la familia de curvas de 1, en funcin de V,, para distintos valores de 1,. Para representar
mejor el funcionamiento en 10s distintos modos de trabajo se han dibujado solamente las partes de
caractersticas en las proximidades de VcR= 0. Estas caractersticas se pueden medir mediante el circuito
de la Fig. 3-8 supuesto que podamos variar la amplitud de cada suministro de potencia y los valores de
las dos resistencias.
En la regin activa directa (Tabla 3- 1) IE es positivo, Ic es negativo y V, tambin negativo. Obsrvese
que es costumbre (como en la Fig. 3-13) situar los valores crecientes de II,1 en el sentido positivo del
eje y y los valores crecientes de la tensin de polarizacin inversa Vc,,en el sentido positivo del eje x. La
corriente de colector en la regin activa directa es independiente de V,, y por tanto constante para un valor
dado de I,. Esto resulta evidente en la Ec. (3-16b) que evaluada en la modalidad activa directa resulta

I< = - c+I, - IC.<, (3-17)

Esta ecuacin es valida para un transistor npn si se cambia -Ic, por + I,,. Si I, = 0 tendremos segn la
Ec. (3-17) que I, = fc0 y el transistor est en cate. Con I, = 0 la caracterktica no coincide tcnicamente
co:4 eje .VqBpero figura as porque I,, es extraordinariamente reducida. Obsrvese que puesto que a, =

w l
-5 5 --feo-
14
+0.* +0.4 0 -0.4 -0.8 f-t.2

Las curvas sealan que aumentando V,., de forma que se polarice en directo la umn (VcR Z 0,6 V)
aumenta tambin la corriente de colector (Ic se hace menos negativo). Con ambos diodos con polarizacin
directa el transistor est en saturacin.
La- caractersticas de salida del BJT invertido nos dan 1, en funcin de VE, para distintos valores de
I,. En estas condiciones I, (que acta corno comente de emisor) es positiva e IE (actuando como corriente
de colector) es negativa. Basndonos en la Ec. (3-16) se obtiene una familia de curvas (no representadas)
similares a las de la Fig. 3-13.
Tramimres de unin bipolares (BJT) 111

Caractersticas de entrada
Las caractersticas volt-amperio de entrada son la representacin de 1, en funcin de V,, para distintos
valores de V Como se ve en IaFig. 3-14 estascurvas representan las caractersticas deldiodoemisor-base
a distintas t&iones colector-base. Estas caractersticas ponen en evidencia la existencia de una tensin
de corte o umbral Vy = 0,5V, por debajo del cual 1, es extraordinariamente bajo. Si trazamos la
caracterstica con polarizacin inversa (VE, < 0) estando el colector en circuito abierto podremos observar
una corriente de saturacin igual a l,,. Una segunda particularidad de esta curva es la de que la
caracterstica del diodo emisor-base queda afectada al variar V,,. Veremos ahora los fenmenos relacio-
nados con el perfil de las curvas de la Fig. 3-14.

Efecto Early o modulacin del ancho de la base


En la Seccin 2.13 se indic que el ancho de la regin de deplexin de una unin crece al aumentar la
tensin de polarizacin inversa. Consideraremos nicamente los efectos debidos ala unin colector-base
estando el diodo emisor-base con polarizacin directa. En consecuencia, el espesor efectivo W de la base
decrece en la Fig. 3.12 al aumentar V,,. Esta modulacin del ancho de la base se conoce como Efecto
Early. Podemos atribuir tres consecuencias a la modulacin del ancho de la base. (1) Cuando es muy
estrecha hay menos ocasiones de recombinacin haciendo crecer a cuando crezca 1V,, / ; (2) el gradiente
de concentracin de portadores minoritarios en la base aumenta (ya que la corriente de difusin es
proporcional al gradiente de concentracin, 1, aumenta con la tensin de polarizacin inversa en el diodo
colector-base) y (31 con tensiones extremadamente altas W puede, quedar reducida a cero provocando la
ruptura del BJT. Este fenmeno de perforacin se estudiar en la Sec. 3.13. Segn el efecto Early,
manteniendo VE, constante, It crecer al crecer 1Vc8 1 Esta conclusin explica la desviacin de la
CaractensticadeentradaenlaRg. 3-14.EnlaSeccin3-5 veremosotrasmanifestacionesdelamodulacin
del ancho de la base.
3-5. CONFIGURACIN EN EMISOR COMN (CE)
Muchos circuitos de transistores de unin bipolares emplean la configuracin en cmux wmn
representado en la Fig. 3- 15. Ello es debido principalmente a que es preferible usar para control la pequea
corriente de base que la de emisor. En la configuracin en emisor comn la corriente de entrada / y la
tensin de salida V,, son las variables independientes, mientras que la tensin de entrada V,, y la con%nte
de salida 1, son variables dependientes.
Creemos que el funcionamiento fsico de un BJT se comprende ms fcilmente si nos referimos a un
dispositivo pnp. Por ello los precedentes estudios relativos a la configuracin en base comn y a las
ecuaciones de Ebers-Mo11 se basaron en el transistor pnp. Sin embargo, se usan prevalentemente
dispositivos npn tanto en circuitos integrados como en forma de componentes discretos en circuitos con
transistores. Por tanto enfocaremos el estudio de la configuracin en emisor comn hacia el transistor npn
utilizando, como ya se indic anteriormente, el transistor discreto 2N2222A, muy empleado en la industria.

Las caractersticas de salida


La Fig. 3-16 es la familia de curvas caractersticas de salida en emisor comn en las que se da 1 en
funcin de V,, para varios valores de 1,. En estas caractersticas se ha superpuesto una recta de c&ga
correspondiente auna R,.= 5OOQ y una tensin de alimentacin de V,.,= IOV. La construccin de la recta
de carga se basa en las leyes de Kirchhoff, lo que es igual al mtodo desurollado en la Seccin 2-4. La
caracterstica de salida delimita tres zonas o regiones de funcionamiento. Aqu comentaremos la regin
activa dejando las de corte y saturacin para la Seccin siguiente.

Figum 3-15. Un LLICUI~cii cnl,I CLI1II que cmpm un tranbistor npn.

Para un transistor qw~ en la regin activa debe modificarse la Ec. (3.17) haciendo I, z a$, + I,..
Combinando esta ecuacin con la Ec. (3.5) tendremos:

Ir=-- d8 ~ 1, c) (3.18)
l-a,+1-u,

Siendo p, = ,/( l- a, ) segn la Ec. (3-13) podremos escribir la Ec. (3.18) de la siguiente forma
Transistores de unin bipolares (BJT) 113

es una buena aproximacin de la corriente de colector muy empleada.


La Ec. (3-20) indica el funcionamiento de fuente gobernada en el modo activo. Controlando la corriente
de entrada 1, podemos determinar la de salida 1,.
50

La @U~UWUIde corrienre directu en conrinua h,, es una cantidad que los fabricantes de disposiwos
especifican y que viene dada por

Lo> bubndices F y E indican transferencia directa y emisor comn respectivamente. Siendo en


general /,, despreciable comparada con otras corrientes en la regin activa, h, y /3, tienen prcticamente
el mismo valoP.
Si c+ fuera verdaderamente constante, entonces, de acuerdo con la Ec. (3.18), Ic sera independiente
de VcEy las curvas de la Fig. 3-16 seran horizontales. Se admite que debido al efecto Early cxi aumenta
114 Microelectrnica moderna -

slo el O,l%, de 0,995 a 0,996 al crecer 1 V,, 1 d esd e unos pocos volt hasta 1OV. Enionces p, aumenta
desde 0,995 / (l-0,995) = 200, hasta 0,996/( l-0.996) = 250 o sea aproximadamente un 25%. Este ejemplo
numrico demuestra que una variacin muy pequea (O,l%) de ai se traduce en un cambio muy grande
(25%) en el valor de p,, Debe quedar claro que un ligero cambio en a# tiene un gran efecto sobre p, y por
tanto en las curvas en emisor comn. Por tanto, las caractersticas en emisor comn estn normalmente
sujetas a amplias variaciones aun entre transistores de un tipo dado. Estas variaciones en p, deben tenerse
muy en cuenta en el diseo de circuitos.
La influencia del efecto Early sobre las curvas de salida en emisor comn queda reflejada grficamente
en la Fig. 3-l 7. En esa figura se han trazado curvas de 1, en funcin de V,, con varios valores de V,,, todo
ello relativo aun transistor npn tpico. Si prolongamos la porcin recta de estas curvas hacia atrs del eje
V,, como se seala con las Kneas de trazos, todas ellas van a parar a un mismo punto -VA. La tensin VA
se denomina tensin Ear/y y est normalmente comprendida entre 50 y IOOV. La tensin de Early
determina la pendiente en la caracterstica IC en funcin de V,, (Fig. 3-17) para un valor de uBEdado. La
inversa de esta pendiente tiene las dimensiones del ohm, y en sucesivas secciones relaaonadas con
modelos de BJT, este efecto se manifestar por s mismo como una resistencia asociada con la fuente
gobernada.

Tambin la ganancia de corriente en emisor comn p, = h,, vara con la corriente de colector como se
ve en la Fig. 3-18 para un transistor integrado tpico y en la Fig. 3-19 para el 2N2222A. Obsrvese que
en ambas figuras, 3-18 y 3.19,I, est en escala logartmica. En la Fig. 3- 18 se puede ver que p, disminuye
de su nivel medio tanto para valores pequeos como grandes de IC. La mayora de circuitos integrados:
Transistores de unin bipolares (BJT) 115

bipolares utilizan transistores en su zona media donde p, es casi constante. Las curvas de la Fig. 3-19
tambin acusan la disminucin de h,, = p, con niveles altos y bajos de corriente; sin embargo la ganancia
de corriente normalizada es razonablemente constante. Obsrvese el incremento de h,, debido al efecto
Early cuando yCEaumenta de 1 a 1OV. Ambas familias de curvas mues:ran una amplia variacin de p,
an en un tranwtor de un tipo en particular. Los fabricantes de dispositivos, generalmente especifican los
valores mnimo y mximo para algunos valores de VcE y distintas temperaturas.

Corrientede base$ , mA Corrientede baseIB <mA


@) (b)

Las caractersticas de entrada


Lascaractensticasdeentrada(Fig. 3-20) soncurvaquzmuzstranlarelacln sntre/,y ui paradistinto.r
valores V,,. Se puede observar que con el colector cortocircuitado con el emisor y ste con polarizacin
directa, la caracterstica de entrada es esencialmente la de un diodo con polarizacin directa. Si V,, se
anula, 1, ser cero ya que en estas condiciones tanto la unin de emisor como la de colector estn en
cortocircuito. En general el incremento de 1VcF 1siendo v,, constante, reduce el ancho de la base debido al
efecto Early con el resultado de disminuir la corriente de recombinacin de la base. Estas consideracinne
explican la forma de las caractersticas de entrada representadas en la Fig. 3.20.

El modo activo inverso


Las caractersticas de entrada y de sahda del transistor invemdo tienen la nusma torma general de las
Figs. 3-20 y 3-16. La caracterstica de entrada activa inversa muestra el funcionamiento de la unin
colector-base con polarizacin dwxta. Recurdese que en el modo activo inverso a, y p, tienen valores
menores que ai y P, respectivamente. En consecuencia, para un valor dado de 1, el valor de 1, ser menor
en el modo acttvo mverso que en la regin acriva directa.
3-6. CORTE Y SATURACIN
En la Sec. 3-2 se indic cualitativamente que el funcionamiento de un BJT en corte o en saturacin bt
aproxima al estado de un intermptor abierto o cerrado respectivamente. En esta Seccin veremos la
actuacin del transistor en ambos casos, bajo un punto de vista ms cuantitativo.

Curte

En coTte ambas uniones 9enen polarizaclon mverxt. En la cuntlguracln cn base curnti ya demusrra.
IOSque se produce el corte aando la corriente de entrada IF = 0 y sor tanto Ic = -Z,, = 1, Ahora exdare.
mos la operacin cuando con emisor comn la corriente de entradal, = 0. Es ~mpottante tener en cuenta
aue tericamente ninguna de las dos uniones tiene polarizacin inversa si la base est en circuito abierto
(prob. 3.5). Segn la Ec. (3.5), si I, = O,I, = I, y valindose de la Ec. (3-18) tendremos

Lus subindica de la corriente Irin en la Ec. (3-22) indican que la cnrrtente va de C (colector) a E
(emkor) cuando B (el terminal que falta) est 0 (abierto). Con Z, = fcO, aF est muy prxima a cero por
la recombinacin en la regin de deplexin emisor-base. Por tanto, de la Ec. (3-22) deducimos que Z,
= 1, = I,,, = l(o y a efectos prcticos el transistor est muy aproximadamente en cotte. En el ejemplo
3-l* la relacin dada por la Ec. (2) indica que al acercarse IX,, a cero, V,, = 0. Por tanto, el corte de un
transistor de silicio (Ii = 0) requiere una tensin inversa VB, prcticamente nula y -1, = Z, = I,,.
La corriente de colector en un transistor fsico (no idealizado, real, comercial) cuando la corriente de
emisor es nula se designa con el signo I,,,. Existen dos fxtores que contribuyen a hacer I,,, mayor que
I,, : (1) existe una coniente de fuga que fluye no a travs de la unin smo alrededor de ella y por la
superficie (esta corriente es proporcional a la tensin a travs de la unin) y (2) I,,, supera a I,, porque
pueden generarse nuevos portadores por colisin en la regin de transicin de la unin de colector,
conduciendo a la multiplicacin de avalancha. Pero aun antes de aproximarse la ruptura, esta componente
de multiplicacin de la corriente puede alcanzar proporciones considerables (Fig. 3-40).
El valor del,.,, a 25 C en un transistor de silicio con una disipacin de potencia de algunos centenares
de miliwats es del orden de los nanoamperios. Los BJT integrados de pequeas dimensiones tienen valores
de Ic,,, de unas decenas o centenas de picoamperios.
Un transistor de germanio tiene una f,,, del orden de los microamperios. La sensibilidad de IpnO en
relacin ala temperatura es la misma que la de la corriente de saturacin inversa 1, de un diodopn (Sec.
2- 4). Concretamente, se ha determinado que /,,, se duplica aproximadamente por cada 10 C de aumento
de temperatura, en el caso del silicio. Sin embargo, dado el bajo valor absoluto del,, en el silicio, estos
transistores se pueden usar hasta temperaturas de la unin de hasta 200 C mientras que los transistores
de germanio quedan limitados a unos 100 C.
Adems de la variacin de la corriente de saturacin inversa con la temperatura, puede haber tambin
una gran variabilidad (con un factor > 100) de I,,, entre ejemplares de transistores discretos de un
determinado tipo. Por ello en las especificaciones de los fabricantes (Apndice B-3) figuran los valores
mximos de I Un transistor de silicio de baja potencia se considera que npierde si I,,, supera los 10
nA a 25 C.
Tran.srFtores de unin bipolares (BJT) 117

Corte en el transistor invertido


Tendremos en corte el transistor invertido polarizando en inverso el diodo emisor-base con el colector
en circuito abierto. En estas condiciones la corriente de corte de emisor es lilio. Para valores especificados
de VcE y V,, (con polarizacin inversa) las corrientes de corte de colector y de base se designan I,, e I,,
respectivamente. El valor mximo de estas corrientes figura tambin en las especificaciones y son del
mismo orden de magnitud que Icao.

La regin de saturacin en emisor comn


En la regin de saturacin la unin de colector (y tambin la de emisor) est polarizada en directo por
lo menos ala tensin umbral. Como sea que la tensin V Ri (o V,,) es slo de unas pocas dcimas de volt,
V,, = V,, - V,, tambin es de unas pocas dcimas de volt en saturacin. Por tanto, en la Fig. 3-16 la regin
de saturacin est muy prxima al eje de tensin cero, donde se unen todas las curvas caye~~u . pidamente
hacia el origen. En la Fig. 3-16 se ha sealado, sobre las caractersticas, una recta de carga correspondiente
a una resistencia R, = 500 R y una tensin de alimentacin de 10 V. Observamos que en la regin de
saturacin la corriente de colector es aproximadamente independiente de la corriente de base para unos
valores dados de V,, y R,. Por tanto debemos considerar que la irrupcin de la saturaci<in tigre lugar en
el codo de las curvas del transistor de la Fig. 3-16.
Las curvas de la Fig. 3-16 no nos permiten leer la tensin colector-emisor V,, ,1,,)con alguna precisin.
En su lugar nos valdremos de la Fig. 3-21 en la que se han extendido las caractersticas en la zona entre
0 y 0,5 V de la Fig. 3-16, y se ha superpuesto la misma recta de carga correspondiente aR, = 500 CI y V,,
= 10 V. Observamos que en saturacin tanto lc corno V,, son casi independientes de I,. El cambio de 1,
desde 120 a 160 pA (Fig. 3-21) representa un cambio en V. de unos 50 mV y una variacin de 1,
inapreciable an con la escala ampliada. Contrariamente, en ~~$. 3-16 una variacin de 40 @en 1 (de
40 a 80 PA) va acompaada de un cambio significativo tanto de 1, como de V,,. Esta es la regin a%iva
en la que 1, = p, Z,. En saturacin 1, ya no controla 1, de forma que ai ya no relaciona ambas. Es
conveniente introducir el parmetro
118 Microelectrnica moderna

para relacionar 1, e 1, en saturacin. Obsrvese que p,,,,, < 0,. El caso de p,,,, = D, corresponde a la
regin activa. Tanto la Fig. 3-16 corno la Fig. 3-21 muestran que en saturacin I, viene determinada por
los elementos externos V,, y R, y vale aproximadamente VcJRc.
Tambin vemos en la Fig. 3-21 que la tensin colector-emisor V,, ,<a,)vara algo con 1,. En circuitos
digitales que emplean el BJT corno interruptor, el valor de V,, (rs) tiene su imporkmcia. (Se puede
considerar V cE. ,5%1)
corno indicador de cuanto se aproxima un interruptor prctico al ideal.) Para determinar
analticamente el valor de V,, (la<, se emplean las ecuaciones de Ebers-Moll. Los detalles de este anlisis
se dejan para el lector (problema 3.7). El procedimiento es el siguiente: partiendo de la Ecs. (3-6) y (3-7)
se obtiene la expresin de 1,. Despejar I,, e 1, de las ecuaciones de 1, e 1,. Tomar el logaritmo de l,fl,
e identificar V,, = V,, - V,, y p,,,, = 1, II B. El resultado es:

Vea= v,.,,,,, = VI 1 l/% + B*orraJa


/BR (3-24)
1- Porzada
4%
La tabla 3-2 indlL* las variaciones de V,, ,IB,)al variar p,,z,,,, a temperatura ambiente para un transistor
integrado que tenga p, = 100 y p, = 1.

Tabla 3-2. Variacin de V,, /,u/, con p,O,zada

B muda 99.9 99 75 so 25 10 5 I 0.1 0.01

V,,,,.,,, (mV) 286 231 143 ;io 86 65 5 28 19 18

En la tabla 3-2 vemos que V,, (IB,)decrece al decrecer p,,.,, y el BJT llega ms lentamente a saturacin.
La deduccin de la Ec. (3-24) prescinde de la resistencia del semiconductor que forma la regin de
colectoP. An con una resistencia baja como de 5 a una corriente de 10 mA produce una cada de 50 mV,
por lo que generalmente se admite que V,, ,s~,l)es de unos 0,2 V. Tambin se observa que a medida que
P,oBada se aproxima a l$, V,, c58,jes de unos 0,3 V. Generalmente se toma V,, (ial)= 0,3 V como frontera
entre las re@ones actwa y de saturacin. Los transistores que trabajan en esta zona se dice que estn
escasamente saturados o en el borde de saturacin.
La maypr parte de fabricantes de transistores discretos facilitan las variaciones de V,, ,,~,,en funcin
de I, para un p,,,, = 10. En la Fig. 3-22 se representan tales curvas correspondientes aun 2N2222A. Con
corrientes altas se nota un aumento de V,, (sa,~ debido a los efectos de la resistencia de la masa.
En toda la zona de corrientes medias el valor de V es comparable al de los Uansistores integra-
dos. En la Fig. 3-22 est tambin representada la va&i%~on 1, de la tensin emisor-base en saturacin
VBEbm
A veces para definir el BJT en saturacin se emplea el valor de V,, .arJlc, cantidad dennminada
resistencia de saturacin en emisor comn, que se representa por R,, R, o R, ,sal).Para especificar
apropidamente R,, debemos indicar el punto de trabajo en el que se ha determinado. Tngase en cuenta
que cuando R,, se determina a partir de valores medios quedan incluidos los efectos de la resistencia de
la masa. La utilidad de R,,, proviene del hecho (como se ve en la Fig. 3-2 1) de que a la izquierda del codo
cada curva, para un valor dado de I,, puede .iproximarse a una lnea recta.
Tramtstores de unin bipolares WT) 119

Resumende tensiones en un BJT


En la tabla 3-3 se indican los valores de las tensiones de trabajo tpicas de un transistor. A 10 largo del
texto estos son los valores que emplearemos.

Tabla 3-3. Tensiones tpicas en la unin, a 25 C

Valor (en V) 0.3 0.2 0.5 0.7 0.X 0

Es razonable esperar que la variacin por temperatura de la tensin a travs de una umn con
pularizacin directa sea la misma que en un diodo, es decir -2,2 mVPC. En saturacin, el transistor consiste
en dos diodos con polarizacin directa, en oposicin. Por tanto debemos anticipar que el cambio de tensin
en una unin, debido a la temperatura quedar cancelado por el cambio en la otra unin. Este es el caso
de c, cwjcuyo coeficiente de temperatura es una dcima parte del de VB, ,bd,).
Los valores de las corrientes y tensiones obtenidos de clculos manuales basados en los datos de la
tabla 3-3 se corresponden bien con los valores experimentales. Sin embargo no hay que olvidar que estos
valores son los tpicos pero no exactos.
Existe una variedad de motivos en el diseo, fabricacin y manejo de circuitos que exigen que el
diseador disponga de resultados ms precisos. En esta situacin se emplean mucho simuladores tales
como el SPICE. Pero an se emplean los clculos con lpiz y papel para indicar los valores nominales
de los datos del circuito.

3-7. MODELOS DE CONTINUA


A partir de lo visto anteriormente respecto a las ecuaciones de Ebers-Mo11 podemos construir un
modelo de corriente continua (CC)para cada regin de trabajo de un BJT. Nos referimos especialmente a
la configuracin en emisor comn, pero los modelos se aplican igualmente a circuitos en base comn.
En la Fig. 3-23~ vemos el modelo para la regin activa directa basad e la Ec, (3-l 1). Con] las
cIT&Itcs de saturacin inversas son sumamente pequeas generalmente se desprecian. La batera del
circult base-emisor es V,, que segn la tabla 3-3 vale normalmente 0,7 V. La fuente de corriente
gobernada p, 1 rekiona 1, con 1, en la regin activa. La resistencia R,, sealada cn trazos en el dibujo,
es consecuencia del efecto Ealy. Normalmente R,, es suficientemente grande frente a Ias resistencias
exterires utilizadas que en muchos clculos manuales se puede despreciar.
La corriente I iii(, entra en el terminal colector y deja el terminal de la base en la regin de corte (I =
0). Las cadas de tensin producidas por l,,, a travs de las resistencias externas de base y colector s&
menor.5 de unos pocos milivolt a la temperatura ambiente. En consecuencia, frecuentemente es conve.
nlente representar el corte por circuitos abienos entre cada par de terminales del transistor,
En saturacin, el circuito equivalente de la Fig. 3.23b sirve para determinar las comentes y tensiones
en un circuito. Las dos bateras representan los valores de saturacin en los terminales, V,, ,(I,) y 1/
El empleo de estos modelos en el anlisis de circuitos BJT requiere que conozcamos la regiAn*&
funcin. El mtodo requiere que, al igual que con los circuitos de diodos en la Sec. 2.7, demos por supuesta
una determinada regin en funcionamiento y comprobar mediante anlisis ta1 suposicin, La observacin
de la configuracin del circuito y los motivadores de polarizacin, as cm algo de expenen& ayudan
a conjeturar correctamente. Los cuatro ejemplos siguientes muestran la metodologa empleada en el
anlisis. Cada un de los circuitos de estos ejemplos, normalmente se incorpora com una parte de loS
circuitos analgicos y digitales descritos ms adelante en el texto.

lsjemplo 3-2

Determinar la regin de funcionamienl y los valores def,, /, y !i del CIKU~[ de la Fig, 3.240 siendo
R, igual : (a) 300 k R y (b) 150 k 0. El transistor emplead tiene 8: = IOC. Prescindir de las corrientes

Observando el circuto de la Fig. 3-24~ resulra evIdente que temendo la base unida a une tensi&,
positiva y el emisor conectado a tierra, V,, ser mayor que cero. Por tant podemos decir cn seguridad
que, la unin emisor-base tiene polarizacin directa. En consecuencia el BJT est en su modo activo directo
o bien en saturacin. Supongamos el funcionamiento activo-directo y empleemos el modelo de la Fig.
3-23~ para tener el circuito equivalente de la Fig. 3-24h. Obsrvese que en la Fig. 3.24~ el terminal + V,<
TramrStores de unin bipolares (BJT) 121

significa una conexin al terminal posnivo de la fuente de tensin, llevando implcito que el terminal
negativo de la fuente est conectado a tierra.
Para comprobar nuestra suposicin se calcula V,,. Si sta resulta ser mayor de 0,3 V la suposicin ha
sido correcta. Si V,, es menor de 0,3 V (vase tabla 3.2) seala una suposicin errada; el BJT est en
saturacin y debemos calcular de nuevo las corrientes y tensiones usando el modelo BJT dado en la Fig.
3-23b.
(a) En el circuito de la Fig. 3.24h la ley de Kirchhoff aplicada al lazo emisor-base da
* lC = IO

;c=Jkn :$ir$/+q;y

1 T
(01 (b)
Liigura 3-X (u) thagrama eaquemrm de la configuracin en emisor comn; (h) circuito equivalente del apanado am.mu~.

- vcc + IBRB + v,, = 0


Despewndo 1, y sustituyendo valores tendremosI
-
1, = vcc RB VB, = 10 300 0.7 = 0.031 III% = 31.0 /LA

y para 21 lazo de colector


I, = 4Jn y - Vcc + I,R, + V,, = 0
de donde
IC = 100 X 0.031 = 3.10 mA
Y
Vct = V,, I,R, = 10
3.1 x 2 = 3.80 V
Siendo V,, > 0,3 V queda confirmada nuestra suposicin imcial.
(b) Con R, = 150 kR y haciendo uso de las mismas relaciones que en la parte (u) obtendremos

10 ~ 0.7
lb. = = 0.062 mA = 62.0 FA IC = 100 x 0.062 = 6.20 mA
150

Y
V,, = 10 6.2 x 2 = -2.40 V
122 Microelectrnica moderna

Siendo V,, menor de 0,3 V no es vlida nuestra suposicin y el BJT est en saturacin. Ciertamente,
teniendo una tensin de alimentacin del colector positiva es fsicamente imposible que V,, sea negativo.
En saturacin V,, (lay)= 0,s V y V,, (Is,)= 0,2 V. Estos valores nos dan

10 - 0.8
IB = = 0.0613 mA
150
lc = v cc - vct,\at, = 10 - 0.2 = 4,90 mA
& 2

Ejemplo 3-3

Determinar para cl circuito de la Fig. 3-25~ la regin de funcionamiento y lo$ valores de I,, 1, y V,,
teniendo el transistor p, = 100.

Solucin

Este circuto se diferencia del de la Fig. 3-24~ en dos aspectos: (1) se ha aadido una resistencia de
emisor, y (2) las resistencias de base y de colector estn conectadas a tierra y el emisor est conectado a
travs deR, a una tensin negativa. Supondremos que est trabajando en el modo activo directo; el circuito
equivalente es el representado en la Fig. 3-256.
Aplicando la ley de Kirchhoff al lazo base-emisor tendremos:
IB& + V,, I,:R, V,, = 0
Puesto que la ley de Kirchhoff requiere que 1, = (1, + Z,) y I,= PSI, la ecuacin se convierte cn
IB [RB + (1 + BeNtI + V,, V,, = o
Despejando 1, y aplicando los valores numricos:
V ,.t - VB 10 0.7
= 0.0251 mA
=R,+(I +&)RZ= 270 + (1 + 100~1)
La expresin de Kirchhoff para el lazo colector-emisor nos da

1,-R, + v,, I,,RE C;b = 0

Para la modalidad de ermsor sustituiremos -Z, por 1, + BkB = (1 + p,) 1, y con 1, = pJ#,, obtendremos

P,lx& + v, I + <p, + IIIfiRt L,, = 0


DespeJando vii y aphcando los valores conocidos se obtiene
124 Microelectrnica moderna

Ejemplo 3-4

(a) Hallar/, y V,, cn el circuito de la Fig. 3-26~. El transistor tiene p, = 150. (b) Cul es el mnimo
valor de R, para que el transistor est justamente saturado?

Solucin

(a) Por conveniencia la Fig. 3-26~ se representa como en la Fig. 3.26h. La red de polarizacin de la
base indicada en esta ltima figura se.puede sustituir por su equivalente de Thvenin como en la Fig. 3.26~
en la que
ll x 12
V R2 v = 1.09 v
BB = R, + R2 cc = 110 + 11
RB = R, /I RZ = .+; = ;;; ; ;; = 10 ka
I 2

Ubservcse la serne~anza de este circuito con el de la Fig. 3.24~ (con el aadido de RE). Supondremos
tambin que se opera en la regin activa-directa cuyo modelo es el de la Fig. 3.26d. Procediendo como
en el ejemplo 3-3 con V,, reemplazando VEEen el lazo de base y V,, reemplazando a VE, en el de colector,
tendremos

1.09 - 0.7
1, = 10 + (150 + 1) x 1.2 = 2.04 x 10 ~3 mA = 2.04 p.4

El transistor est con polarizacin activa-directa ya que C,, es mayor que 0,3 V. Por tamo
Ic = 150 X 2.04 x IO- = 0.306 mA

(b) Al borde de saturacin V,, = 0,3 V y p,,,, = p, = 150. Si no hay cambios en la red de polarizacin
de la base, Z, se mantiene en el valor hallado en la parte(a). Con p, = 150 1, tambin es igual a lo hallado
en la parte (a), o sea 0,306 mA. Entonces, de la ecuacin de Kirchhoff para el lazo colector-emisor de la
parte ca) se determina R,

V -vc, P,.+lR*.
R< =
Ic PF
12 po.3 150 + 1
- x 1.20 = 37.0 kil
0.306 150

Este cs el valor de R,- correspondiendo al borde de saturacin. Cualquier valor de R, que sea superior
a 37,0 W1, reduce I,, y en consecuencia, siendo 1, constante, lleva al transistor ms all de saturacin.

Ejemplo 3-5

Hallar 1, y V,, para el circuito de la Fig. 3.27a. El transistor tiene p, = 125 y p, = 2


Transistores de unin bipolares (BJT) 125

Solucin

Supongamos la situacin en activa-directa. La ecuacin de Kirchhoff del lazo emisor-base es, con
IL = -(p + 1)1,
I,RB + VB, + (PF + l)IBR, = 0

Examinando esta ecuacin vemos que con VB, > 0, 1, ser negativa. Esto es imposible en un transistor
npn. Con Ic,,= 0, 1, deber ser tambin igual o mayor que cero. Es decir, que la unin emisor-base no
puede tener polarizacin directa: con polarizacin inversa el BJT estar o bien en corte o en el modo activo-
inverso. Si suponemos que est en corte tendremos que I, = 1, = 1, = 0. En consecuencia la cada de tensin
entre base y tierra es V, = 5 V y la cada entre colector y tierra es de 0 V. Estos valores hacen que V,, sei
positivo (5 V) polarizando en directo la unin del diodo colector-base. Por tanto, el BJT slo puede estar
en el modo activo-inverso.
La Fig. 3-276 corresponde al circuito equivalente para este caso. En la figura se ve que la ecuacin de
Kirchhoff en el lazo base-colector exige que:

-VE, + IBR, + VB, - I,R, = 0

En el modo activo-inverso -II, = <p, + 1) 1,; si despejamos I,, resulta

Iu = vtt VM 5 - 0.7
= 0.086 mA
RIJ + (PR + l)R< 20 + (2 + l)lO
Las corrientes de colector y de emisor sern

I, = -(2 + 1) x 0.086 = m0.258 mA


It = !W,j = 2 X 0.086 = 0.172 mA

~1 valor oe V,, se deduce de la ecuacin de Kirchhoff para el lazu colector-emtsor


126 Microelecrrnica moderna

Sustituyendo los valores numricos conocidos


Vct = V,t- i I,R, IcRc
= - 5 + 0.172 Y 5 (~0.258) 10 = 1.56 V

Observese que en el modo activo-inverso V,, es negativo y / V,, / > O,j V ya que se han mvertldo las
funciones de colector y emisor. Si 1V,, 1 <0,3 V siendo V,, negativo, esto indica saturacin del transistor
invertido.

3-8. EL TRANSISTOR DE UNIN BIPOLAR COMO INTERRUPTOR


El circuito de la Fig. 3-28~1 es el de un simple interruptor. La onda de tensin de entrada v, represen-
tada en la figura se emplea para controlar el estado del interruptor (entre colector y emisor). Para XT,, vx
= V, y el diodo emisor-base tiene polarizacin inversa. Si despreciamos las componentes de corriente
inversa, ya que el diodo colector-base est polarizado en inverso, el BJT est en corte y no hay corriente
alguna en ningn punto del circuito. En consecuencia vg = V,, y siendo i, = 0 esto no es ms que un
interruptor abierto. En la prctica i, = l,, y vg = V,, -l,, R,. Sin embargo, siendo l,, del orden de los
nanoamperios y R, del orden de los kiloohmios, vg diferir de V,, en tan slo unos pocos milivolt, y por
tanto, a efectos prcticos vg = V,,.
La tensin de entrada pasa a ser V, cuando T, < r CT,. El valor de V, se elige de forma que el transistor
estporlomenosenel~tedelasaturacin. Segnlatabl&2,v,, = v,, = V,, (la,)5 0,3 V, e i, = (V,, -V,, ,JR,
Estos valores se aproximan a los de un interruptor cerrado. Obsrvese que la corriente en un interruptor
cerrado viene determinada por los elementos externos V,, y R,. Para V,, CE V, i, = VCJRIR,.
Trmsbtores de unin bipolares (BJT) 127

En el momento f = T, la onda de entrada cae nuevamente a -V, ocasionando eventualmente que el


transistor retorne al estado de corte. En la Fig. 3-28 estn representadas las curvas de v0 e i,. Ms adelante
en esta misma seccin se tratar de los transitorios de la conmutacin.
La naturaleza de las caractersticas de la conmutacin es verdaderamente deducible de la cuactetistica
de transferencia del circuito, que es una grfica de vg en funcin de v,~

Ejemplo 3-6

El circuito de la Fig. 3-28~ utiliza un transistor 2N2222A, V,, = 10 V RL = 500 Q y R, = 47 kCL (u)
Trazar la caracterstica de transferencia del circuito, (b) esbozar la forma de onda de salida para t < 10 ms
con la tensin de entrada mostrada en la Fig. 3-29~.

Solucin

(a) En la Fig. 3-16 se ha superpuesto la recta de carga de este circuito alas caractersticas de salida del
BJT, y en la Fig. 3-20 se encuentran las curvas volt-amperio de entrada. En esta ltima figura se aprecia
que no hay ninguna corriente de base apreciable mientras no se supere la tensin umbral. Vemos en la
Fig. 3-16queconI,=0,v,,==v,,= 1OV.

Ya se indic en la Sec. 3-5 que al aumentar V,, las curvas de la Fig. 3-20b se desplazan hacia la derecha.
Por tanto, podemos admitir que con V,, = 10 V se puede producir el corte en las proximidades de V,, =
0,7 V. Esto era de esperar ya que un aumento de Y,hace que el funcionamiento del transistor pase del corte
a la regin activa-directa.
Una vez el transistor est en la regin activa, VB, = 0,7 V y segn la ecuacin de Kirchhoff para el lazo
base-emisor
0s - VB, = 0, - 0.7
llI - mA
RR 47

As como i, aumenta linealmente con Yovemos que a lo largo de la recta de carga vCt disminuye casi
linealmente hasta que el transistor se acerca a la saturacin. El inicio de la saturacin (mostrado en la Fig.
3-16) tiene lugar al aproximarse I, a 120 PA. Interpolemos el valor de I, en la citada figura y tomemos
110 PA. El correspondiente valor dc v, ser
c, 0.7
0. ll0 = Y 0, = S.87 v
47
Un posbxror aumentu de L,y por tanto de lH no influye en la salida.
Obtcndremospuntosdelacaractersticade transferenciadeterminandoprimero,, parahstintu~ valores
de I,, y seguidamente hallara partir de ellos los correspondientes valores de vci de la recta de carga de la
Fig. 3-l 6 en la regin activa-directa. En saturacin i,, < 0.3 V.
La caracterstica de transferencia exacta de la Fig. 3-29h se ha redondeado en las proximidades de corre
y de saturacin. Esto hay que atribuirlo al hecho de que el transistor no cambia repentinamente en las
proximidades del corte, sino que como se ve en la Fig. 3.2Oh existe un codo en la caracterstica de entrada.
En forma anloga, el codo en las caractersticas de salida de la Fig. 3.16 muestra que en las proximidades
de saturacibn se unen curvas de distintos valores de I,.
La aproximacin lineal de las caractersticas de transferencia supone transiciomx abruptas, de corte a
la regin activa. y de sta a saturacin. Como x puede apreciar cn la Fig. 3.2Yb esta aproximacin resulta
mu! prxima a la caracterstica exacta, y por tanto es muy empleada.
(h) La respuesta a una onda de entrada que vara linealmente con el tiempo tiene la misma forma que
la caracterstica de transferencia. As, las curvas de la Fig. 3.29b representan las ondas de salida exacta y
aproximada en funcin del tiempo. La escala de tiempo corresponde a la pendiente de la onda de entrada
que es de 2 V/ms y v,, = V,, (\,,.
La caracterstica de transferencia aproximada refleja cl cornportamia~to del circuito. Lus dos sqnen-
tos horizontales corresponden a los dos estados del interruptor: abierto (OK-)o cerrado (ON). A lo largo
de estob segmentos, la salida no resulta afectada por las variaciones de la entrada ya que el transistor est
en corte o saturado. La lnea que une las porciones horizontales de la caracterstica representa una
dependencia lineal de la salida respecto a la entrada. Este es el funcionamiento de una fuente gobernada,
necesaria a efectos de amplificaci6n, administrada por el transistor polarizado en la regin activa directa.

Velocidad de conmutacin del BJT


En la descripcin del circuito de la Fig. 3.28~ hecha al prmup~o ue esta Secc~on no) retenmur a lus
estados del interruptor (aru y okv). Ahora nos referimos a los transitorios en las ondas de la Fig. 3.28.
Como se aprecia en esta figura, la corriente no responde inmediatamente a la seal de entrada, sino
que por el contrario hay un retraso. El tiempo que transcurre durante este retraso junto con el necesario
para que la corriente alcance el 10% de su valor mximo (saturacin) constituye el tiempo de retraso fd.
La onda de corriente tiene un tiempo de subida t, no nulo, que es el tiempo necesario para que la corriente
suba a travs de la regin activa desde el 10 al YO% de Ic ,,d,/.
El tiempo total de conmutacin I,, es la sumade los ttempos de retraso y de subida, ropl= rd + 1,.Cuando
la seal de entrada retorna a su estado inicial en el momento t = 7> tampoco la corriente responde
inmediatamente. El intervalo que transcurre desde la transicin de la onda de entrada hasta que i, haya
bajado hasta el 90% de l,, se denomina tiempo del almacenamiento t,. A este tiempo le sigue el tiempo
de cada fique es el necesario para que i, caiga desde el 90 al 10% de r, tba,).El tiempo de corte low es la
suma de los tiempos de almacenamiento y de cada t,,,, = i, + fI. Comentaremos ahora las rajones fsicas
de cada uno de estos intervalos: su clculo exacto es complexo. En la Seccin 11-S se darn mtodos
aproximados de clculo de estos tiempos en relacibn con la regin activa.
Tres factores contribuyen al tiempo de retraso: (1) cuando se aplica la seal a la crrtrada del transisor
se necesita un cierto tiempo para cargar la capacidad de transicin de la unin del emisor de forma que el
Transistores de unin bipolares (BJT) 129

transistor pueda pasar del corte a la regin activa, (2) aun cuando el transistor haya llegado al punto en el
que los portadores minoritarios hayan comenzado a cruzar la unin de colector hacia la base, se necesita
algn tiempo antes de que estos portadores puedan cmzar la regin de la base ala unin del colector y ser
reconocidos como corriente de colector, y (3) se necesita algn tiempo para que la corriente de colector
suba hasta el 10% de su valor mximo.
Los tiempos de subida y de bajada son debidos al hecho de que si para saturar el transistor o para
llevarlo de saturacin a corte se emplea una corriente de base escalonada, la corriente de colector debe
cruzar la regin activa. La corriente de colector crece y decrece segn una curva exponencial cuya
constante de tiempo es T, que se puede demostrar que vale L, = p, (C,R, + l/o,) siendo C, la capacidad
de transicin del colector y wI la frecuencia a la que la ganancia de corriente es la unidad.
La demora del transistor en responder al borde posterior del impulso durante un tiempo t, es debida al
hecho de que un transistor en saturacin tiene un exceso de portadores minoritarios almacenados en la
base lo que le impide responder hasta tanto este exceso sea eliminado. En la Fig. 3-12 est indicada la
densidad de carga almacenada en diferentes condiciones de trabajo. El efecto del exceso de cargas
almacenadas en la base es similar al transitorio del corte de un diodopn comentado en la Seccin 2-10.
Consideremos que el transistor est en su regin de saturacin y que en el momento f = TXse emplea
un impulso en escaln para pasar el transistor a corte como en la Fig. 3-28. Puesto que el proceso de corte
no puede comenzar hasta que la densidad anormal de portadores (zona ms sombreada de la Fig. 3-12)
haya sido eliminada, puede transcurrir un tiempo relativamente largo f, antes de que el transistor responda
ala seal de corte en la entrada. En casos extremos este tiempo de almacenamiento puede ser varias veces
superiora los tiempos de subida o de cada en la regin activa. Es evidente que cuando los transistores se
empleen en aplicaciones en las que la rapidez sea apremiante ser ventajoso reducir el tiempo de
almacenamiento. Para evitar la saturacin del transistor y por tanto eliminare1 tiempo de almacenamiento
existe un mtodo que consiste en emplear un diodo Schottky juntamente con el BJT. Este dispositivo
compuesto se denomina transistor Schottky y se estudiar en la Seccin 5-3.

3-9. EL TRANSISTOR DE UNIN BIPOLAR COMO AMPLIFICADOR


El circuito de la Fig. 3-30 es una etapa de amplificador en emisor comn. A efectos de mostrar la
funcin amplificadora utilizaremos un transistor 2N2222A con un V,, = 10 V y R, = 500 R. Las caracte-
rsticas de salida y la recta de carga ya representadas en la Fig. 3.16 se reproducen, por conveniencia, en
la Fig. 3-3 1. En la Fig. 3-30 se ha seleccionado R, = 232,5 ka para polarizar el dispositivo en la regin
activa directa en Q correspondiente a /, = 40 FA, I,, = 8 mA y VrFn = 6 V. El condensador C, llamado de

1; (10 v,

KS fi,
231 i kn, (0.5hi2,
(X

K, =Q6 n
+ Y
u, = I j ien w,
!-7

PIgura 3.3. blp* *,,ip,,r,lallr~.elrnrcoialen elnl\T ClII.


bloqueo silve para aislar la corriente continua de polarizacin de la fuente de seal vS= Vsmsen tnt y de su
resistencia R,r. Este condensador acta como circuito abierto cuando no hay seal de entrada, ya que la
Ieactancia de un condensador es infinita a la frecuencia cero (en continua). Admitamos que ala frecuencia
angular de la seal la reactancia de C, es suficientemente baja comparada con Rs que la combinacin de
estos dos elementos en serie es igual a R5. En consecuencia, el efecto del condensador sobre la seal
transmitida desde la fuente vThasta la entrada del amplificador puede despreciarse. La amplitud de Vsmse
elige de forma que d una componente de seal de la corriente de base i,, = I,, sen ox, y siendo I,, = 20
j.tA. La corriente instantnea total de base i, es la superposicin del nivel de polarizacin en continua, ms
la corriente de la seal. Por tanto

Ir = f,, + ii, = 40 + 20 sin wf clA

Como se ve en la Ftg. 5-51 el efecto de esta seal hace que tanto i, como vCt varen senoidalmente
(aproximadamente) alrededor de sus niveles de reposo. Estas cantidades pueden expresarse:

i, = l< B + i, = 1, c> + I,,,, sen WI 4 (3.25)


</CL = v,,(J + L,,. = V,,Q f v,,,,, sen wr v (3-26)

La tcnsln mstantnea total de salida YLL puede observarse en un osciloscopio si el selector est en DC
(continua) (Fig. 2-27~). Si el selector est en AC (alterna) slo aparecer en la pantalla la salida senoidal,
Vcemsen ox, (Fig. 2-27b).
Vemos en la Fig. 3-3 1 que la pequea variacin en i, debida a la seal (Ib, = 20 PA) motiva que fc, =
4 mA y Vcem= 2 V. Los niveles aumentados de la seal en la salida son un ndice de la amplificacin dada
por el circuito.
Transrsrores de unin bipolares (BJT) 131

Notacin
Llegados a este punto es cunvcn~ente hacer algunas observaciones sobre los smbolos empleados en
los transistores. Concretamente, los valores instantneos de cantidades que varan con el tiempo se
representan con letras minsculas (i para las corrientes, 1para las tensiones y p para las potencias). Los
valores mximo, medio (continua) y eficaz se representan con las mismas letras pero en mayscula (I, V,
P). Los valores medios (continua) y los instantneos totales se indican con un subndice en mayscula
correspondiente al electrodo correspondiente (B para la base, C para el colector y E para el emisor). Las
componentes variables de algn valor de reposo se indican con el subndice en minscula del smbolo del
electrodo interesado. Se emplea un solo subndice si es evidente el electrodo de referencia, pero si hay la
posibilidad de confusin deber emplearse el subndice convencional. Por ejemplo, en la Fig. 3-3 I
indicamos las corrientes de colector y de base as como las tensiones en la configuracin de emisor comn
con la notacin ahora descrita. Las variaciones de las componentes de las corrientes de colector y de
emisin as como de las tensiones respecto al punto de reposo son:

i, = ic ~ Ic = A;< u(. = L< - V, = Aur (3-27)


i,, = iH - 1, = Ai,, c,> = ux - VB = Au,

La magnitud de la tensin de alimentacin se indica repitiendo el subndice del electrodo. Cuanto


antecede queda resumido en la Tabla 3-4.
En el prrafo anterior se evidencia que estamos interesados en los cambios que debido a la seal
aplicada ocurren en las tensiones y corrientes alrededor del punto de funcionamiento. En la siguiente
seccin demostraremos que se necesita VS,,,= 26.5 mV para hacer I,,, igual a 20 BA, por tanto, la ganancia
de tensin (o amplificacin) A, es:

2 = 75.5
26.5 x 10~~

,A,,= $f = 4 x 0 = 200
II 20 x 10-h

Labta 3-5 Resumen de notaciones

Observemos que /,/lhn, es la relacin entre la variacin de la corriente de colector A i, y la corriente


de base A i, alrededor del punto Q.
Tambin es evidente, viendo la Fig. 3-3 1, que \<#e i, estn desfasadas 180. Esta inversin de fase entre
la tensin y la intensidad de la seal indica que el BJT funciona como una fuente gobernada por i,.
Como ya se ha indicado anteriormente, la fuente gobernada es el fundamento de la amplificacin
teniendo muy en cuenta que sIr>resulra ampliada la seal.
La potencia de la seal cedida a la resistencia de carga R, es:

P,. = (1,)2RL = ( $m)2 500 = 4 mW

La potencix total suministrada conjuntamente por las fuentes de polarizacin y de seal es:

2.65 x 10~ x2xlo5


+ 10 (8 x 10 + 4 x IV) = 80.4 mW
Y.h ti

Eh ebidente que la potencia total suministrada al circuito es considerablemente mayor que la potencia
de salida de la setial. Sin embargo la potencia de entrada de la seal V$,Ib,/2 es de tan slo 0,265 I.IW
mientras que la de salida es 4 mW o ms que la suministrada por la fuente de seal.
Veamos ahora cmo podemos determinar los niveles de salida si se redujera la amplitud de la entrada
para corresponder, por ejemplo, a !b = 1 PA. Naturalmente no podemos detectar tan pequeo cambio en
las caractersticas de salida de la Rg. 3. 3 1. En el Ejemplo 2-4 y en la Seccin 2-9 ya demostramos que
esta situacin se puede manejar mejor mediante el modelo de pequeiza seal del dispositivo. Una cuestin
adicional es que las caractersticas volt-amperio son caractersticas en continua que intrnsecamente
eliminan todos los efectos del almacenamiento de cargas que puedan estar presentes. Estos pueden
introducirse en el modelo para pequea seal del que trataremos en la siguiente Seccin.

3-10. MODELO DE BJT PARA PEQUENA SEAL


El urwito equivalente para pequea seal del BJT se deduce de los modelo\ de pequea seal en los
dwdo de la Seccin 2-9 y de la representacin de Ebers-Mal1 de la Seccin 3-3. Los elementos que forman
el circuito equivalente relacionan las variaciones de tensin y de corriente alrededor del punto Q.
Transistores de unin bipolares (BIT) 133

Cada elemento del modelo es funcin de las tensiones y corrientes de reposo establecidas por la
polarizacin. Como los cambios los provoca la seal de entrada, el circuito equivalente nos permite
relacionar la seal de salida con la de entrada.
EnlaFig. 3.32estrepresentadoelcircuitoequivalente hbrido-ndel BJTconectadoenemisorcomn.
Podemos identificar los elementos de este modelo con los de la representacin de diodos acoplados del
transistor. La unin emisor-base polarizada en directo est representada por , y Cx siendo esta ltima la
capacidad de difusin y estando relacionada con la resistencia incrementa1 del diodo emisor-base.
Corrientemente r, tiene valores comprendidos entre unos pocos centenares y varios millares de ohmios.
La capacidad CV es la de la regin de deplexin de la unin colector-base con polarizacin inversa. La
resistencia incremental ru de este diodo es la sealada con trazo discontinuo en la Fig. 3-32. Esta resistencia
tiene en cuenta la realimentacin (modulacin del ancho de base) entre la entrada y la salida, debida al
efecto Early (Seccin 3-4). Debido a su extremadamente alto valor (varios megaohmios) muchas veces
en los clculos se desprecia rp (esto es lo que haremos en lo sucesivo salvo que se indique otra cosa). El
acoplamiento entre uniones se representa en el modelo por la fuente de corriente gobernada gm vx, y es
proporcional a la corriente de entrada ix La resistencia de salida !, tambien es consecuencia del efecto
Early y es igual a la inversa de la pendiente de las lneas de trazos de la Fig. 3-17, estando su valor
comprendido tpicamente entre unas decenas y unas centenas de kiloohmios.
La resistencia r6 es la resistencia de dispersin de la base y tiene en cuenta la cada de tensin en el
recorrido entre el contacto de la base y la regin activa de la base (entre b y e) bajo el emisor. (Vase Fig.
3-7.) Esta resistencia decrece al aumentar los niveles de corriente, estando sus valores tpicos compren-
didos entre 40 y 400 fi. Debido a la mayor rea de la seccin recta de la regin de colector (Fig. 3-7) la
resistencia de dispersin del colector es del orden de 1 0 y normalmente se desprecia. (excepto en
transistores discretos de corrientes altas o en simuladores).

Modelo de baja frecuencia


Las czapacidades C y C pueden calcularse como se vio en el captulo 2, empleando las Ecs. (2.2O)y
(2-29). De estas ecua&ne~ se deduce que tanto Cx corno Cu dependen de las tensiones y corrientes del
BJT en el punto de funcionamiento. Con los niveles normales de trabajo, los valores de C+ tanto en
transistores integrados como en transistores discretos de baja potencia, estn comprendidos desde unas
decenas hasta cien o doscientos picofaradios. Cu,es generalmente de unos pocos picofaradios (entre 1 y
5). Con frecuencias de seal bajas, la reactancta de ambas capacidades es extremadamente alta: por
ejemplo con w = lff rad/seg. La reactancia de Cz = 50 pF es de 2 M Q y la de CK = 2 pF lo es de 50 M R.
A tales frecuencias los efectos de C,, y Cu son despreciables y por consiguiente se pueden considerar como
circuitos abiertos.
Esto nos conduce al modelo de baja frecuencia representado en la Fig. 3-33.
134 Microelectrnica moderna

En esta figura se ve que:

Con vCL= 0, no hay corriente alguna en r,, y

Conviene introducir

Aic. I (3-281
LL = i
B <F=con*t=<~ Ib LI,=</

El parametro /3, es el incremento (en alterna) de la ganancia de corriente dwxta en cortocmxmo y


emisor comn, calculado en el punto dc trabajo. El valor constante de v,~ indica que no hay cambio
incrementa1 en esta cantidad y por tanto, vcE= 0. (La condicin vcE= 0 e i, #-0 representa un cortocircuito
entre colector y emisor en relacin a la seal. Sin embargo, no indica un cortocircuito fsico de conexin
entre estos terminales). Para evaluar p, se puede emplear la lnea vertical de trazos que pasa por Q en la
Fig. 3-31, lo que veremos en el ejemplo 3-7 de esta misma Seccin. De la Ec. (3-28) y del anlisis del
modelo de la Fig. 3-3 resulta

P,, = g,,,r, (3-29)

El parmetro g, = i,lv,, llamado mmconductancia reileja el cambio incrementa1 de i, alrededor del


punto de trabajo debido al cambio incrementa1 en la tensin emisor-base. La cada de tensin i, rb es tan
pequea que permite admitir que los cambios en la tensin base-terminal de emisor recaigan en la unin.
Cuantitativamente gn se puede expresar:

K,?,
& =- di, (3.30)
AULZF ,>-L.ILI<,Y aa XL l<>-li

Conslderamo~ convemente repetir lo dicho anteriormente relativo al significado de v< = 0. Mantener


constante Y,.. supone que no exista ningn cambio incrementa1 en vcE.Por tanto, Vg*= 0 y en la Fig. 3-33
esto equivale a cortocircuitar colector y emisor. Tngase en cuenta que no estamos conectando fsicamente
los terminales c y e en el transistor real: esto slo quiere decir que la componente vcEde la seal es nula.
Segn la Ec. (3.17), i, = cz i, tanto para un transistor npn como para uno pnp y la Ec. (3-30) se
convierte IZ~~:

Deseamos relacionar g,, con la conductancia del diodo emisor-base. La conductancia incrementa1 del
diodo viene dada por la Ec. (2-17) como

di,,
x., = ,I,
-,>
Transivtores de unin bipolares (MT) 135

WI donde i, y I~ son 1% corrientes y tensiones directas del diodo. En un transistor npn, vst polariza en
/ directo el diodo emisor y vtli = vn,
Sin embargo i, es en sentido opuesto a i, (de n a p) de forma que i, = -ir Por tanto ai, / aveE = -di, / dv, )
l
Rn,= a,,c,, (3-321
I
L Ec. (3-32) 25 vltda para un transistor pnp porque polarizando en directo la unin del emisor se
t1211eque li = i y y,, = ..
La conductancia g,! del diodo emisor se designa en la Ec. (2.19) con q = 1 de donde gli =. /&V, para
un transistor nptz y g, = +f
Enel transistornpn (positivo): asg,es positivoenambos casos y sepuedeescribit
x,, = 1ZFQ / ll,. De las Ecs. (3-31) y (3-17) y despreciando I,, comparada con lcQ se obtiene la simple
expresin siguiente de la transconductancia

La Ec. (3-33) mdlca que y,, C> directamente propu~uunal a la comente de reposo cx wtectw e
mversamente proporcional ala temperatura. A la temperatura ambiente y expresando Jcp en miliamperios
tendremos:
r
=I,, ,,,A (3-34)
Pm 25

Las Ecs. (3-29) y (3-34) nos permiten determinar rx ya que p, lo especifica el fabricante. Una vez
conozcamos r, podremos calcular rh a partir de la resistencia de entrada. De la Fig. (3-33) se deduce que

r, = li> + r, (3-351

Mucho, rbncantes de dispositivos emplean el smbolo r, como h,* en un punto de trabajo dado.

Ejemplo 3-7

Determinar en el CUCUIIOde la Fig. 3-30: (a) el valor de \/$ que de una seal de saltda de Z V de ptco.
(b) el valor de la seal de salida con V, = 2 mV, y (c) repetir la parte b, con Vr = 265 mV. Supngase el
trabajo abaja frecuencia y a temperatura ambiente.

Solucin

El primer paso es trazar el modelo de pequea setial a baja frecuencia. Esto se consigue sustituyendo
primero el transistor por circuito equivalente de la Fig. 3-33. Ahora slo hay que aadir al modelo los
elementos de circuito exteriores al BJT que influyen en los valores incrementales de tensiones y de
corrientes, dando como resultado la Fig. 3-346. Obsrvese que en el modelo no figura la aportacin de
polarizacin ya que no contribuye a las variaciones de tensin y de corriente. Adems como la tensin
incrementa1 a travs de V,, es cero, acta como un cortocircuito.
136 Microrlecrrnica moderna

La re~~~tcna~ de dlspenin de la base I;, obtenida por medicin es de 100 LP. De la pendiente de la
caracterstica de colector en el punto Q de I Fig. 3-3 1 se deduce que r,, es de ms de 5 kR. As el efecto
de ,; es despreciable: como est en paralelo con R, = SO0 R la combinacin en paralelo de r,, y K, eb de
500 11.
Tramistores de unin bipolares (BJT) 137

y aplicando la relacin del divisor de tensin tendremos

r,
c, =
R, + rh + Y* L
Combinando estas ecuaciones resulta

La relacin v0Iv, se denomina ganancia de tensin A:.


El signo menos en la expresin de A, (y de v,) indica la fase inversa dwuuda en la Sec. 3-Y. El calculu
iia.

ihi Inn una wial de entrada V,,,,= 2 mV, la ampllrud de la alida CI

V <,,>1= IAl- VS,,, = < c x 2 x 10 z = 15 I mV

(c) Con L ,,,,= 265 mV, obtenemos:

= 75.5 x 0.265 = 20.0 V


V 1,111

Esrc rcwltado es evidentemente falso pues corresponde a una Gtuacln fxamente impo\ible. Con
una seal de entrada diez veces mayor que la de la parte (u) y suponiendo un funcionamiento lineal,
deberamos esperar /,z,,,= 10 x 20 PA = 200 FA. Una rpida inspeccin de la recta de carga de la Fig. 3-3 1
revela que una seal senoidal de amplitud 200 pA con lRe = 40 FA lleva al BJT a saturacin durante el
semiciclo positivo y a corte durante el semiciclo negativo. Aprovechamos esta parte del ejemplo para
hacer notar que el uso del modelo de pequea seal queda restringido al funcionamiento en la zona lineal
de la regin activa. En la Fig. 3.2% se representa la caracterstica de transferencia de un circuito similar.
Tambin observamos que su empleo corno amplificador queda limitado al segmento lineal que une el
corte y la saturacin. Si empleramos la caracterstica de transferencia con la entrada dada, observaramos
una salida altamente distorsionada y cortada.
Los resultados del Ejemplo 3-7 demuestran que slo se consigue la amplificacin dentro de unos lmites
de la seal de entrada, existiendo otras restricciones para el funcionamiento corno amplificador. En nuestro
anlisis hemos supuesto que los efectos de CR y CMeran despreciables a la frecuencia de la seal, pero este
no es del caso con frecuencias ms altas. Por tanto, la ganancia resulta afectada. lo que limita el campo
de las altas frecuencias que pueden utilizarse. Con baja frecuencia los lmites de funcionamiento se
manifiestan cuando prescindimos del supuesto de que C, tiene una reactancia despreciable. La respuesta
en frecuencia del circuito amplificador ser tratada en el capitulo I 1.
138 Microrlecrronrca moderna

3-11. EL BJT COMO DIODO


La cf~cierrcia en la fabricacin y la faclhdad con que \e pueden compagmar las cracten~t~ca hact:
que muy frecuentemente ye empleen BJT a manera de diodos en los circuitos integrado. En algunas
secciones anteriores de este captulo hemos observado que cortocircuitando dos terminales del transistor
o bien dejando un circuito abierto, el BJT acta como un diodo con polarizacin inversa. Ahora
consideremos el funcionamiento con polarizacin directa de unade las conexiones del BJT ms empleadas
como diodo.

La baz y eI wlector del transistol- de la Fig. 3-35 ata,, conectados: e>t configuracin enrplea como
dwdo la unin base-emisor. Lo que resta del circuito de esta figura seala que el colector, as como la
base, retornan a la tensin de alimentacin V,, a travs de la resistencia R. Esta tensin positiva polariza
en directo la unin emisor-base, y como V,, = 0 es menor que la tensin umbral, el diodo colefztor-base
queda con polarizacin inversa. En consecuencia el BJT est en la regin activa direrra Por la ley de
Kirchhoff tendremos
VII,
(3-36)

con V,, = 0,7 V en el modo activo, la corriente 1, es una constante que depende slo de la tensin de
alimentacin V,, y de la resistencia R.
Podemos emplear la ley de Kirchhoff para relacionar Z,+con las corrientes del transistor. Puesto que 1,
= p, I, 1, = 1,. + I B ser

IR = <p, + w,j = 1 + ; Ic- (3-37)


! J
Como 1, G>cunstante, y p, 1.1, = 1, es tambin constante. Esta obscrvak5n es la bahe para la fuenre
de corriente descrita en el siguiente ejemplo.

Ejemplo 3-8

El circuito de la Fig. 3.36a eh unaj%exfe de co~~?errremuy empleada para la polarizacin de un BJT


cn circuitos analgicos integrados. Los transistores Ql y Q2 son idnticos; es decir, que han sido fabricados
para que tengan caractersticas parejas. (a) Determinar 1, en funcin de los parmetros del circuito. (h)
Calcular 1, para V,, = 10 V, R = 10 K R y p, = 100. (c) Repetir la parte (h) para p,. = 200.
Transistores de unin bipolares (BJT) 139

Solucin

(a) La comente 1, viene dadta por la Ec. (3-36). Las tens~n~s base-enmor V,, Ile cada Ianslst son
iguales como consecuencia de la ley de Kirchhoff. Puesto que QI y Q2 son dos transistores idnticos y
trabajan con el mismo valor de V,,. las corrientes de base y de colector de ambos son tambin iguales.
Aplicando la ley de Kirchhoff al nudo donde van conectadas las dos bases y el colector de Q I tendremos

200 10 0.7
I< = = O.YLI mA
200+2 10

L~,sr~sultadosdelant~riorejemplonoshacenverqueanconunavariacindel lOO%cen~,lavariacin
de /, es del orden del 1%. La corriente de colector de Q2 es virtualmente constante e independiente de los
parmetros del transistor. El valor de 1, depende nicamente de V,, y R. stees el comportamiento de una
fuente de corriente constante, lo que nos permite trazar el modelo del circuito de la Fig. 3.36a tal como
se representa en la Fig. 3-36h. La resistencia R,, comprendida entre las lneas de trazos es la resistencia de
salida de Q2 y es debida principalmente al efecto Early
140 Microelectrnica moderna

3-12. EL PAR DE EMISOR ACOPLADO


El pur de emisor acoplado o diferencialde la Fig. 3-37 es la configuracin de trans~sror ms importante
empleada en circuitos integrados. En esta figura, la fuente de corriente Z,, la realiza el circuito de la Fig.
3.36a u otro similar (Sec. 10-3). Adems, hemos supuesto que Ql y Q2 son unos transistores idnticos y
que las dos resistencias de colector son de igual valor? En esra seccin trataremos de demostrar que el
par diferencial puede emplearse tanto de amplificador como de interruptor. Para ello desarrollaremos la
caracterstica de transferencia del circuito.
La ecuacin de Kirchhoff para el lazo que abarca las dos uniones emisor-base es:

VI f VB, / - G,,, + V? = 0 13.38)

Con 21 uaCtor polarirado en el modo activo-directo, la corriente inversa de saturacin de la unin


elector-base puede despreciarse. Las corrientes de colectorl,., e Ii, vienen dadas por la Ec. (3-7) como
I,1 = a,I,., tJfl-bJ (3-39)
1<? = U,ll,, el- (1.401
En las Ecs. (3-39) y (3-W) SC>upone que

y que Iab componente> de saturacin inversa de I,, e I,, son deaprwablea. Ahora expresaremo> la
relacin 1, ,/1,2
& ~ tLnr, i-ir,.?li, = tb,,bi (3-41)
I,1
.. Transistores de unih bipolares (ar) 141

En la Ec. (3-38) vemos que V,,/ Vili = l, V, = , \iendo 1:) la diferencia entre las dos ten\iwwz
de entrada. La ley de Kirchhoff aplicada al nudo de &isor requiere que

Vemos en las Ecs. (3-44) y (3-45) que aumentando los valores de V, por encima dc i V,, I,, t: IL, >e
aproximan a rxJL, y acero respectivamente. Por el contrario, un valor negativo de Vc,siendo 1V, 1 > 4 V,
hace que /,, tienda a cero e Ii lo haga a n$,,. Basndonos en las Ecs. (3-44) y (3-45) podemos trazar la
caracterstica de transferencia !J,, y V,, que vienen definidas por

v <>t= C,-, I,, R, (3-46)


vi>: = V,,. I,-? R, (3-47)

de donde resultan laa caractersticas de la Fig. 3.39, en la que tambin se ha sealado la diferencia
(diferencial) de la salida l,>= v,,, Vc,?.
Las caractersticas de transferencia representadas en las Figs. 3-3X y 3.39 pueden interpretarse de la
siguiente forma: En primer lugar. aplicando v,, > 4 V, = 100 mV se hace que I,, = aF I,, e I,, = 0.
Simultneamente V(,, = 4, y Vg,,= V,.,. u,l,,R, pueden hacerse pequeas eligiendo adecuadamente RF
142 Microelectrnica moderna

As, podemos aproximar la salida de Q 1 ala de un intermptorcelrado y la Q2 a la de un mt~~~uptwabkxt~.


El estado de estos interruptores se invierte al aplicar V, < -4 V,. La salida diferencial muestra tambin dos
niveles distintos, uno positivo y el otro negativo al variar V, alrededor de 4 V,.
Una segunda observacin muy importante es la de que dentro del campo -2V, 5 V, 5 2 V, las cantidades
l,,, lCz, V,>,,V,>,y VOresponden todas ellas a las variaciones de V, en una forma casi lineal. Dentro de este
campo de entradas el circuito acta como una fuente gobernada (amplificador). Estas propiedades de
intermpcin y de amplificacin del par de emisor acoplado se emplean muy extensamente; las de
intermpcin en circuitos digitales (parte 2) y las de amplificacin en circuitos analgicos (parte 3).

3-13. LIMITACIONES EN LOS TRANSISTORES


Se ha supuesto que los transistores empleados en los circuitos destito> en ese captulo han arado
operando dentro de unos lmites aceptables de corriente. tensin y disipacin de potencia. Ahora
comentaremos los lmites fijados en las especificaciones de los fabricantes y que no deben ser sobrepasa-
dos al emplear BJT.

Corriente de colector mxima


An cuando no se sobrepasen los valores de potencla y tensn esttpulados, hay un valor Mximo de
la corriente que puede conducir el colector y que depende del rea de la seccin recta de la unin y de los
conductores que conectan los terminales del transistor con la salida al exterior. Este valor, que fija la
mxima corriente de saturacin alcanrable. es de 800 mA en el transistor 2N2222A.

Mxima disipacin de potencia


Puede destruirse un dispositivo si la unin colector-base queda sometida a un LY.CC~O
de potencia. La
Tra&.stores de unin bipolam (BJT) 143

m&ima disipacin de potencia PD es el valor empleado para sealar el lmite del poder de transmisin
de potencia del colector. En el 2N2222A P, es de 0,5 W a una temperatura ambiente de 25 C. Con
temperatura ambiente ms alta P, debe rebajarse 12 mW/ C. Cuantitativamente esto supone que P, es
igual a
P,,(T) = 500 12CT 25) mW

en la que P, (T) es la disipaaon mxuna de potencia a la temperatura de Tgrados centgrados.

Mxima tensin de salida


Existe un lmite superior de tensin que puede soponar la unin de colector ya que exste el peligro
de averiar el transistor con tensiones altas. Existen dos formas de averas: por avalancha ya vista en la
Sec. Z-11 y por perforacin que veremos en esta misma Seccin.
La mxima tensin inversa de polarizacin que puede aplicarse antes de que se produzca la quemadura
entre los terminales de colector y de emisor, con el terminal de la base en circuito abierto se representa
con el smbolo BV,,,. La ruptura puede producirse por multiplicacin por avalancha de la corriente 1,
que atraviesa la unin de colector. La caracterstica en emisor comn del 2N2222A, extendida hasta la
regin de ruptura y BV,.,, = 50 V puede verse en la Fig. 3-40. Las especificaciones sealan el mnimo
valor de BV,,, a 40 V.

30 lo 50 60
7cm,n C,,lectr-Cnllsrv.*,

Figura .1-1. Car~c,rmr~a\ en crrt,ror cumn del tranr,rtor 2N2222A entend,da en Irl rcg~ori Ilr rupru,n

En la configuracin en base comn la tensin de salida de ruptura BV iu(> acostumbm a ser apruxmx-
damente el doble de BV,,,. Si la base retorna al emisora travs de la resistencia R, la tensin de ruptura,
designadapor BV cFR,estarcomprendidaentreBV,,, y BV,,,. Dicho en otras palabras, la mxima tensin
colector-emisor admisible depende no slo del transistor sino tambin del circuito en el que est incluido.

Perforacin
Un segundo mecanismo por cl cual el transistor puede mutilizarse al aumentx la temin del colector
144 Microelectrnica moderna

es el denominado perforacin y es debido al aumento de espesor de la regin de transicin de la unin de


colector al aumentar la tensin de dicha unin (efecto Early).
La regin de transicin de una unin es la regin de cargas descubiertas a ambos lados de la unin en
la posicin ocupada por los tomos de impurezas. A medida que aumenta la tensin aplicada a la unin,
la regin de transicin penetra ms en la base. Puesto que la base es muy delgada existe la posibilidad de
que con tensiones moderadas la regin de transicin se difunda completamente a travs de la base y alcance
la unin del emisor. Esta perforacin rebaja la barrera en la unin emisor-base y en consecuencia la
corriente de emisor puede llegara ser excesiva: por tanto, existe un lmite superior para la tensin colector-
base.
La perforacin difiere de la ruptura por avalancha en que se produce a una tensin fija entre colector
y base [dada por V, en la Ec. (Z-27) con W = W,], y no depende de la configuracin del circuito. En un
transistor dado el Iknite de tensin lo determina la perforacin o la ruptura segn cual de los dos ocurra a
tensin ms baja.

Mxima tensin nominal de entrada


Consideremos el circuito de la Fig. 3-41 en el que V,, representa la tensin de polairacin necesaria
para mantener el transistor en corte. Supongamos que el transistor est justamente en el punto de corte,
con 1, = 0 de forma que 1, = I,,. Si pretendemos que en corte V,, = 0 V, la propia condicin de corte
exige que

Como ejjemplo extremo consideremos que R, sea grande, de 100 k R y que queremos prevzmr el caso
de ve I,,, llegue al valor lOOpA corno puede suceder con un transistor de potencia grande o con uno de
mediana potencia a elevada temperatura. En este caso VB, debe ser por lo menos 10 V. Cuando I,.,,, sea
pequea, la tensin a travs de la unin base-emisor ser de 10 V y por tanto deberemos emplear un
transistor cuya tensin inversa mxima tolerable en la unin base-emisor sin llegar a perforacin sea
superior a 10 V. Por este motivo los fabricantes especifican la tensin de perforacin representada por
BV El subndice 0 indica que BV,, est valorada con la condicin de que la corriente de colector sea
nul?La tensin de perforacin puede estar comprendida entre 0,5 V y varias decenas de volt. Si BV.
es por ejemplo de 6 V (como es el caso en el transistor 2N2222A) debe elegirse V,, con un valor mxir%
de6V.
Los transistores integrados pequeos estn sujetos a los mismos valores mximos, que son del mismo
orden que los indicados para el 2N2222A.
iansisores de unin bipolares (BJj 145

REFERENCIAS

1 Hodges. D.E., y H.G Jazkbon: Analysl> and Dnlgn of Digital Integrated Circuits, McGraw-H111 Book
Company, Nueva York, 1983.
2 Gray, P.R., y R.G. Meyer: Analy,% and Des& of Analog Integratcd Circuits, 2 ed., John Wiley and Sons,
Nueva York. 1984.
3 Yang, E.S.: Fundamentals of Semiconductor Devices, McGraw-Hill Book Company, Nueva York, 1978.

4 Grebenc A.B.: Bipolar and MOS Analog Integrated Circuit Design, John Wiley and Sons, Nueva York,
1984.

Los tres documentos siguientes son clsicos en el asunto:


5 Schockley, W.: The Theory ofp-n Junctions in Semiconductors and p-n Junction Transistors, Bel1 Systrms
Tech. J., WI. 28, pp. 435.489, Julio 1Y4Y.
6 Ehers, J.J. y J.L. Moll: Large-Signa1 Behavior of Junction Transistors, Proc. IRE, vol. 42, pp. 1761.1772,
Diciembre, 1954.
7 Early, J.M.: Effectb 01 Space-Charge Layer Widening in Junction Transistors, Pmc. IRE, val. 40, pp.
1401-1406, Nuvicmbre 1952.

TEMAS DE REPASO
3-l. Ctenre tres caractersticas dc IIX fuente de comente gobzrna<la.
3-2. Dibujar una recta de Carga en la caracterstica de salida de una fuente de cornente gobernada ideal y selalar
el punto de dicha recta en cl que el funcionamiento se aproxima a(a) un interruptor abierto, (h) un intemtptor
cerrado, y (c) una fuente gobernada.
3-3. Dibujar el smbolo del circuito de un transistor n~vz indicando Io5 sentidos de referencia de las tres corriente5
y las polaridades de referencia de las tres tensiones.
3-4. Repetir el tema anterior para un transi&tor pnp.
3-5. Indicar la? componentes de la corriente de electronz~ y huecos para un tranustor(>np polarizado en la regln
activa directa.
3-6. (u) Escribir la scuacin de Ebers-Moll para un trans~~torpn[~.
(h) Dibujar un modelo de circuito basado en las ecuaciones de Ebers-Moll.
3-7. Establecer la condicin de reciprocidad.
3-R. Repetir el tema 3-6 pana un transistor n,,n.
3-9. Definir aF y aR y describir brevemente el slgmficado de cada uno.
3-10. Definir de palabra y mediante una ecuacin la ganancia de corriente en emisor comn.
3-11. iCul es el significado de ganancia de corriente inversaen cortocircuito?
3-12. Definir las cuatro formas o modos de funcionar el BJT e indicar su principal actuacin 211cada caso.
3-13. En un rransistorpn~ en UI regin activa, ,cul es el signo, positivo o negativo, de I,, 1,. I,, VcR y V,,!
3.14. Repetir el tema 3.13 para un transistornpn.
3-15. (a) Esboar la caracterstica de salida en hace comn de un transistor e mdlcar wb regiones activa, de conc
y dc saturacin.
(II) Explicar cualitatlvamentc la fonna de estas curvas.
3-16. Esbozar la caracterstica de entrada en hac comn y exphcar su forma
3-17. Explicar la modulacin del ancho de base (efecto Early).
3-18. (u) Dibujar el circuito de un transistor en la configuracin en ermwr comtin.
(b) Esbwar la caracterstica de salida y explicar su forma.
3.19. ((1) iCul es el orden de magnitud de la corriente fin<>?
(h) ;Cmo varia I,,, con Ia temperatura?
Cc) i.Por qu l,,, dificrc de I,,,?
3.20. (u) Definir b,,,,,
(h) Cmo difiere p ,,,,, de p,.!
3-21. (a) Cita los valores tpicos de Vct ,,,, y dc: V,, ,,,,.
(h) Cule son los valores de VcF en el borde de saturacin, V,, en corte y !.& en la re& actwa?
3-22. Dibujar los modelos de continua de un transistor en cada una de las cuatro reglones de trabajo.
3-23. Explicar cmo puede usarse un transistor como interruptor.
3-24. (a) Citar los factores que detemrinan la velocidad de conmutacin de un BJT.
(h) Explquese el significado de cada trmino del apartado anterior.
3-25. Explicar cmo puede usarse un E!T como amplificador.
3-26. Indicar si los siguientes smbolos se refieren a valores en continua, variables cn el tiempo o instantneos =
u* CL, t y 4
3-27. (n) Dibujar el circuito hbrido n equivalente.
(h) Explicar el origen (o proceso fsico) que hace aumentar cada trmino.
3-28. Dibujar el circuito equivalente abaja frecuencia del BJT.
3-29. (a) Definir la transconductancia g,.
(h) Escribir una ecuacin que relacione g,z,con la ganancia de corriente p,>,
3-30. (a) Demostrar por medio del diagrama de un circuito cmo puede utilizarse un BJT LUIIW diodo
(h) iCul es el rgimen de funcionamiento del BJT del apanado anterior?
3-31. (0) Esbozar el circuito de una fuente dc corriente.
(h) Explicar brevemente por qu esto es una fuente de corriente.
3-32. Dibujar la configuracin del circuito de un par de emisor acoplado.
3-33. Explicar brevemente cmo puede usarse el par diferencial a manera de amplificador y de intenupux.
3-34. iQu es 10 que limita la corriente que un transistor puede transpormr?
3-35. (a) Definir la perforacin.
(h) ,QuC limitacin en el funcionamiento de un transistor puede atriburae a la perforacin?
Transistores
de efecto campo

El worruisror de efecto wn~po, o simplemente FET (de la denominacin Field-Effect-Transistor) es un


dispositivo semiconductor de tres terminales muy empleado en circuitos digitales y analgicos. Existen
dos tipos de tales dispositivos, los MOSFET y JFET, siglas correspondientes a Transistores Metal-
Oxido-Semiconductor, y Unin (junction) Efecto Campo respectivamente.
Los FET tienen la particularidad de ser de fabricacin ms simple y de ocupar menos espacio en un
chip que los BJT. La densidad de componentes resultante puede ser extraordinariamente alta, superando
frecuentemente los l00.000MOSFETenun solochip. Otraventajosacualtbades ladequelosdispositivos
MOS se pueden conectar como resistencias y como condensadores. Esto posibilita disear sistemas
formados exclusivamente de MOSFET sin ningn otro componente. Aprovechando esta cualidad, el
MOSFET es el dispositivo dominante en los sistemas integrados a muy grande escala (VLSI). Los JFET
gozan de las propiedades de tener una resistencia de entrada alta y ruido bajo, por lo que se emplean en
circuitos de procesado de seales.
A diferencia del BJT tratado en el captulo 3, el FET es un dispositivo de portadores mayoritarios. Su
funcionamiento se basa en la aplicacin de un campo elctrico para gobernar la corriente. As, el FET es
una fuente de corriente de tensin controlada. En este captulo examinaremos los principios fsicos que
rigen en ambos tipos de FET y que emplearemos para desarrollar las caractersticas volt-amperio. Tambin
trataremos del FET como interruptor y como amplificador. Describiremos primeramente el JFET porque
su funcionamiento se desarrolla directamente de la uninpn y de sus propiedades semiconductoras. Las
caractersticas del MOSFET se comprenden ms fcilmente una vez conocida la relacin tensin-corriente
del JFET.

4-1. LA FUENTE IDEAL DE CORRIENTE CON TENSIN REGULADA


En el prrafo de introduccin de este captulo se ha aludido al hecho de que el FET funciona como
fuente de corriente de tensin controlada. As como se trat de la fuente de corriente gobernada (Sec. 3-l)
antes que del BJT, ser conveniente describir las propiedades de la fuente de corriente de tensin regulada
antes de estudiar el FET.
Esta fuente ideal, representada en la Fig. 4-k es un elemento de tres terminales en el que la tensin de
xmtrol la, se aplica a los terminales 1-3 y la fuente de corriente R, vi acta entre los terminales Z-3. El
parmetro g,,, llamado transconductancia o conducrancia murua relaciona la potencia de la fuente con la
tensin de control (con referencia ala Fig. 3.33). En la Fig. 4.lh estn representadas las caractersticas
de salida sobre las que se ha sealado una recta de carga correspondiente a R, y Vi:. Esta recta de carga
representa la ecuacin de Kirchhoff del lazo de salida (conteniendo los terminales 2-3) del circuito de la
Fig. 4-2.
En el punto a de la recta de carga, correspondiente a v, = V,a la tensin vx es alta mientras que la
corriente ii es bajaa. Estos valores conesponden aproximadamente a un interruptor abierto. Anloga-
mente el funcionamiento en el punto b de la recta de carga. en donde v, = V,h supone una corriente alta
(b)
Figura 4-1. (al Representacin del circuito, y (b) caracterstica tensin-intensidad de una fuente de corrienre ideal gobernada por
tensin.

y una cada de tensin bajan a travs del disposmvo, lo que se asemeja a un mteruptor cerrado. En
consecuencia una seal de tensin v, = v, aplicada entre los terminales l-3 controla el estado del intempt&
en los terminales 2-3. Es decir, que si vzpasa de V, a V,, se cierra entre 2 y 3 el intermptor que estaba
abierto, e inversamente una variacin de Y,de V,, a V,c abre el interruptor.

Figura 4-2. Cecu~tu que emplea una fuente de corriente gobernada como interruptor o como amplificador. La recta de carga eb ka
mdicada en la Fig. 4.lb.

En la Fig. 4.lb vemos tambin el comportamiento del circuito de la Fig. 4-2 cuando vr = V + V sen
CN, que queda indicado por la variacin senoidal de v, alrededor del valor de reposo V L?tensi& de
salida correspondiente vI es tambin senoidal con un valor de cresta V,m superpuesto al%vel de reposo
Trattstktores de efectocampo 149

V2u. Asimismo, i, eh la suma de una componente en continua IZOy una senoide de I,,n de valor de cresta.
Lo ms frecuente es que la amplitud de la componente senoidal de la tensin de salida V?, sea mayor que
V,,. con lo que se consigue una ganancia de tensin (amplificacin).
Esta breve introduccin nos demuestra que la fuente de corriente con tensin gobernada puede
emplearse como interruptor o como amplificador. En las prxinias secciones analizaremos el funcipna-
miento fsico y las caractersticas de los FET y demostraremos que estos dispositivos poseen propiedades
de fuente de corriente.

4-2. TRANSISTORES DE UNIN DE EFECTO CAMPO


En la Fig. 4-3 vemos la estructura bsica de un JFET de canal n. Los terminales de drenase y aepente
los constituye el contacto hmico en los extremos de una barra semiconductora de tipo II. Se puede obligar
quelosportadoresmayo~tarios,electrones,fluyanalolargodelabarraaplicandounatensinentredrenaje
y fuente. El tercer terminal, denominado puerta se forma conectando elctricamente las dos zonas
sombreadas p. La zona tipo n comprendida entre las dos p se denomina canal y por ella circulan los
portadores mayoritarios entre fuente y drenaje.
G
Puerta
D jIo

+
Drenaje &
V,
+
VG,
-4
-slIs
(0) (b)
@ura 4-3. iu, Esrruc~ura, y (h) \n,bo,o de, trani,storde umn de etcctu campo, de cana, n (JFET).

En la Fig. 4-3h se han sealado las convenciones normalizadas para los terminales posltivos de
corrientes y tensiones y en ella figura tambin el smbolo de un JFET de canal n. La estructura y smbolo
para un JFET de canal p los podemos ver en la Fig. 4.4.
Las estructuras representadas en las Figs. 4-3 y 4-4 permiten describir la actuacin de los JFET. La
Fig. 4-5 corresponde a la seccin recta de un JFET plana integrado de canal n. La vista superior mue?+~
cmo se hacen los contactos de aluminio con las regiones de fuente, drenaje y puerta.

heita ID
1
Y
-fc +
he,,te L mcna,c G + ~3s
4 .~
YGI
1s
4
s
lL1, l!JJ
tipura 4-4. , i,l\l,l<ll de III,, de ehI L*mpo, de imal ,>.,u, <>UCIld.> ,h, >li,,bi iki clrcIt~I

Ll cItwcIIIrl<C12II
y iabnL.lcLn
delJFETfiguranenClcapirulo5.
I>U Microrlrctrnicu mudemu

Funcionamiento del JFET


Consideremos la representacin grfica del dispositivo de canal ri de la Fig. 4-3, reproducido para
mayor conveniencia en la Fig. 4-6~. El diagrama esquemtico de la Fig. 4-6h corresponde al dispositivo
de la Fig. 4.6u, en configuracin de fuente comn. Aun cuando nuestro estudio est dirigido hacia un
dispositivo de canal n es aplicable igualmente al caso de canal p si admitimos que las polaridades de las
tensiones y el sentido de las corrientes de ste son opuestos a los de un JFET de canal n.
Observemos que las regiones de puerta y el canal forman una uninpn que en su funcionamiento como
JFET se mantiene con polarizacin inversa. Una tensin puerta-fuente negativa polariza en inversa la
unin, al igual que lo hace una tensin positiva drenaje-fuente. Hay que recordar que a ambos lados de
una unin pn con polarizacin inversa (la regin de deplexin) hay zonas de carga espacial (Sec. 2-l).
Los portadores de corriente se han propagado a travs de la unin dejando slo iones positivos descubiertos
en el lado ri e iones negativos en cl p. Cuando crece la polarizacin inversa de la unin tambin lo hace
el espesor de la regin de cargas descubiertas inmviles. Ahora podemos justificar el uso de la regin de
puertap -. En la Sec. 2-13 vimos que la regin de deplexin se extiende ms en la zona de menor dopado.
As el uso dep -forma una capa de carga espacial que est casi totalmente en el canal n. La conductividad
de esa regin es nominalmente cero por la falta de portadores de corriente. Por tanto el ancho efectivo del
canal de la Fig. 4-h ir disminuyendo al aumentar la polariz+cin inversa. A una determinada tensin
Trami.srores de efectocampo 151

puerta-fuente VG, = yp $mada tensin de estricr~in el ancho del canal queda reducido a cero porque han
sido eliminadas del tmsmo todas las cargas libres. En consecuencia, para una determinada tensin
drenaje-fuente, la corriente de drenaje ser funcin de la tensin de polarizacin inversa de la unin de
puerta. Para describir este dispositivo se emplea la voz de efecto campo porque el gobierno de la corriente
es el efecto de la extensin del campo asxiado con la regin de deplexin al aumentar la polarizacin
inversa.

4-3. CARACTEKSTICA TENSIN-CORRIENTE DE UN JFET


La auacteristica de drenaje de un FET discreto tpico de canal n representada en la Fig. 4-7 da lo en
funcin de VD.% con VG,>como parmetro. Para apreciar cualitativamente el porqu estas curvas tienen la
forma representada consideremos primeramente el caso en el que L& = 0. Para ZD= 0 el canal entre las uniones
de puerta est completamente abierto. En respuesta a la aplicacin de una pequea tensin V,, la barra de
tipo n acta como una simple resistencia semiconductora, y la corriente Z, crece linealmente con V,,. Al
aumentar la corriente, la cada hmica de tensin a lo largo del canal tipo n polariza en inverso la unin
de puerta y la porcin conductora del canal empezar a estrecharse. Debido a la cada hmica a lo largo
delpropiocanal laestriccinnoes uniforme, siendotantoms pronunciadacuantoms alejadade la fuente
como puede verse en la Fig. 4.6. Existe una tensin V,, a la cual el canal se cierra. Esta tensin, no
claraneme definida es la que en la Fig. 4-7 empieza a doblar la curva de corriente tendiendo a adquirir un
valor constante. En principio no es posible cerrar completamente el canal anulando en consecuencia Z,].
Ciertamente, si se llegara a esto no existira la cada hmica necesaria para proporcionar la polarizacin
inversa requerida. Obsrvese que cada curva caracterstica tiene una regin hmica o no saturada para
pequeosvaloresde V,,enlaque/,esproporcionalaV,,.Cadacurvatienetambinunaregindecorriente
constante o de saturacin para valores grandes de V,, en la que Z, se ve poco afectada por V,,.

Regin
hmica RegiVn dc
no smrad, Fptra

10 20 30 40
Tensin drenaje-fuente VDS, V

1<igura 4.7. cdraLrcr,,,,ca dc \d,da dc, ,bET de cmal n 2N4869 (Conesia de S111conm IILJ.

Con V,, = 0 la tensin necesaria para polarizar en inverso la unin viene suministrada por Vo,. Si se
aplica una V,, negativa, la regin de deplexin resultante reduce el ancho del canal incluso con V,,, = 0.
As la estncun tiene lugar con un valor menor de V,>, y el valor mximo de /0 queda rebajado como se
aprecia en la Fig. 4-7. Con VG, = V,>,la tensin de estriccin, 1, = 0 ya que el canal queda completamente
cerrado para cualquier valor de Vi><t 0.
Obsrvese que tambin se seala una curva para V,, = +0,2 V que corresponde a polarizacin directa.
Recurdese que la corriente de puerta es muy pequea ya que la tensin es menor que la tensin umbral
Cy= 0.5 V para el silicio. La corriente de puetta cuando V <ii < 0 es virtualmente nula y frecuentemente se
desprecia.
En la Flg. 4-7 se distinguen cuatro zonas de trabajo de los JFET, que son Ia\ de: resistencia hmica,
saturacin, ruptura y corte, cada una de las cuales vamos a tratar con ms detalle.

La regin hmica
En la regin de resistencia variable de un JFET, V,, es pequea pero I, puede ser apreciable. La
situacin corresponde a la de un interruptor cerrado. Vamos a describir analticamente la relacin
tensin-corriente en esta regin.
Supongamos en primer lugar que se aplica una pequea tensin V,,, entre drenaje y fuente. La pequea
corriente de drenaje I, no tendr efecto apreciable en el perfil del canal. En estas condiciones podemos
considerar que la seccin transversal A del canal el uniforme en toda su longitud. Por tanto A = 2 hW
siendo 2h la anchura del canal correspondiendo a una corriente de drenaje nula para un valor dado de V,,,
y siendo W la dimensin del canal normal a la direccin de h como se indica en la Fig. 4.6.
Puesto que no circula corriente en la regin de deplexin mediante la ley de Ohm [Ec. (l-21)]
obtendremos la corriente de drenaje:
Vi>, = (4-l)
l,, = AYIV,>ICL,,I !hWyN,>p,, I ?hqN,,~,,

siendo L la longitud del canal. La Ec. (4-l) describe la caracterstica tensin-corriente de la Fig. 4-7 para
valores muy pequeos de V,,, y pone de manifiesto que en estas condiciones el FET acta como una
resistencia hmica cuyo valor lo determina V,,. La relacin VDS///,en el origen se denomina resistencia de
drenaje I,,~,(jN,.Con b,,, = 0, lo que hace h = (I, obtendremos de la Ec. (4-l):

(4-2)
~,,\lON,
H pararwu<r ,,,\ ,,,\, uene importancia en las aplicaciones como interruptor ya que 22 un ndice de
uknto se desva el FET de un interruptor ideal en el que la resistencia ONes cero. En las especificaciones
de los fabricantes se citan valores de r,,, ,(,u, que van desde unos pocos ohmios hasta varios centenata
pxa los FET y MOSFET comerciale\ de canal n. Puesto que la movilidad de los huecos es menor que la
de los clcctrone\ r,><,<,h,es mucho ms alta para los FET de canal p que para los de canal n. Esta mayor
movilidad significa una mayor rapidez de conmutacin y estos factores contribuyen a que prevalezcan los
dispositivos del canal IZ sobre los de canal /-.
El concepto de que en la regin hmica el JFET es una resistencia variable con la tensin se puede
deducir de la Ec. (4-l) y Fig. 4-6 de la siguiente forma: El ancho h del canal es funcin de la tensin de
polarizacin inversa 1,,, Un aumento de 1 V:,,, 1 disminuye h e /, para un valor dado de V,,,. En
consecuencia, la pendiente de la caracterstica I,, en funcin de V,,, en su origen decrece al aumentar
1 V,.! 1
Obsrvese que I,, depende de la relacin WIL. Esta cantidad es importante en el diseo del FET ya que
sirve de factor de escala para la corriente del dispositivo. Para una detemlinada densidad de dopado el
ajuste de WIL permite fabricar en un mismo chip FETs con distintas capacidades de conduccin de
corrientes. Adems, segn la Ec. (4-2) se puede controlar I-),, ,oN, seleccionando la relacin WIL.
La regin de saturacin o de estriccin
Consideremos el caso en el que se emplee V,,, para crear un campo clcrrico i I a lo largo del r~c x para
un valor dado de / V,.,, 1 < 1 V 1. S.1 cncu 1a una corriente de drenaje apreciable f, el extremo de la puerta
prbximo al drenaje e& ms p&izado inversamente que el extremo de fuente, y por tanto In\ lmites de
la regin de deplexin no son paralelos al eje del canal sino que convergen como se ve en la Fig. 4-8.
Seguidamente comentaremos cualitativamente lo que sucede en el canal a medida que aumenta la tensin
de drenaje y se aproxima la estriccin.
Al aumentar V,,, aumentan x e I,, mientras disminuye b(x) debido al estrechamiento del canal, y POI
tanto la densidad de corriente J = IJZb (1) W tambin aumenta. Vemos que no se puede producir un
estrechamiento total (h = 0) puesto que si tal sucediera J llegara a ser infinito lo que es fsicamente
imposible. SiJfuera creciendo sin lmite, segn la Ec. (4-l) tambin crecera :, puesto que p permanece
constante. Sin embargo se hacomprobado experirnrntalmente que la movilidad es funcin de Ia intensidad
del campo elctrico y se mantiene constante slo si ix < lO%/cm en silicio tipo n. Para campos moderados
de 103 a lOV/cm la movilidad es aproximadamente inversamente proporcional a la raz cuadrada del
campo aplicado. Para campos ms elevados como en el caso de la estriccin F, es inversamente
proporcional a Ir. En esta regin la velocidad de desplazamiento de los electrones (v, = b,i-,> se mantiene
constante y yanoesvlidalaleydeOhm.EnlaEc. (4-l) seveque tantoI,comobsemantienenconstantes
10 que explica la zona de corriente constante en las caractersticas V-1 de la Fig, 4-7,
iQu ocurre si V,,, se aumenta hasta ms all de la contraccin permaneciendo VG, constante? Como
se ha explicado antes, el ancho mnimo del canal bn,, = 6 tiene un valor constante no nulo. Este valor
mnimo se da en el extremo del drenaje de la barra. Cuando aumenta V,,, este aumento de tensin
mcrementa // , en la seccin del canal adyacente a la fuente. Refirindonos a la Fig. 4-8, la regin de
velocidad IImItada L crece con V,,, mientras que 6 se mantiene fijo,
Hay que tener cuidado para no confundir el distinto significado entre estriccin y saturacin al tratal
de dispositivos semiconductores. A lo largo de la porcin de corriente constante de las caractersticas, la
estriccin se refiere al hecho de que V,, se emplea para cerrar el canal casi enteramente. La tensin de
estriccin V, se refiere ala tensin aplicada a la puerta que bloquea totalmente el canal independientemente
de V,,>.En un FET, la saturacin se refiere al valor Iimitador de la velocidad de desplazamiento. As pues.
el nmero de portadores que pueden ser transportados a travs del canal por unidad de tiempo queda
lim~tadoosaturado,el,,permaececostate. atcesunsignificadode saturacin verdaderamentedistinto
del visto en el Cap. 3 para el BJT.
La caracterstica de corriente constante de la Fig. 4-7 muestra que el FET se aproxima ala fuente de
corriente ideal gobernada por tensin de la Fig. 4-l en donde v,, i, y vz corresponden a VG,, I,, y V,,
respect,vamenre.

Ruptura
La rnx~~a tzn\,on que ,c puede aphcar entre dos terminales cualqwxa de II FE7 comcide con la
mnima tensin capaz de producir ruptura por avalancha, a travs de la unin de puerta (Sec. 2-l 1). En la
Fig. 4-7 se ve que se produce la avalancha a un valor menor de I V,,$ I cuando la puerta tiene polarizacin
inversa que cuando V,., = 0. Esto es debido al hecho de que la tensin de polarizacin inversa de la puerta
se \uma a la de drenaje aumentando en consecuencia la tensin efectiva en la unin de puerta. Las
especificaciones de loc fabricantes (Apndice B-S) indican cul es la tensin de ruptura entre drenaje y
ruente estando la puerta cortocircuitada con la fuente. Esta tensin se designa BV,,, y su valor va desde
unos cuantos volt en dispositivos integrados hasta ms de 50 Ven FETs de potencia.

Corte

En un FET real, aun chrudo en corte, o sea co 1 V,, 1 > 1 Vp 1 subsiste la misma corriente de fuga
de drenaje I,,, (OFF). La corriente inversa de fuente denominada tambin corriente de corte de puerta y
designada I,,, es la corriente puerta-fwnte co el drenaje cortocircuitado co la fuente para l VG, I > I V, 1.
Los fabricantes especifican los valores mximos de I,, Ioiii e I,,,,. Cada una de estas puertas puede vaier
entre IpA en circuitos integrados y decenas de nanoamperios en FET discretos. A la temperatura de 150
Cestos valores debe multiplicarse aproximadamente por 1.000.
Observemos que en corte, co I V,, I > I V,pI ,Z, = 0 y V,, puede ser grande. stees el comportamiento
de un intermptor abierto.

4-4. CARACTERISTICA DE TRANSFERENCIA DEL JFET

La caracterstica tensin-corriente de la Fig. 4-7 indica que en la regin de saturacin el valor de la


corriente de drenaje I, depende de la tensin V,,5 de polarizacin inversa. La caracter.xrica de framferencfa
relaciona/,,con V,,,a un valorcostate de V,,. LaFig. 4.9corresponde a lacaractersticade transferencia
del FET de canal n 2N4869 co V,, = 10 V. La corriente de drenaje a VG, = 0 se designa co el smbolo
I,,, que para el 2N4869 es de 5 m.4. Para los JFET fabricados comercialmente, I,,, va desde alguna decena
de microamperios hastacentenares de miliamperios. Los valores ms bajos del,,, so propios de los JFET
integrados, y los ms altos de ius dispositivos de potencia.
Las caractersticas de transferencia pueden expresarse analticamente como en la Ec. (4-3)

VG, y Vp bo negativa en un JFET de canal n y positiva> en uno de canal u, por tanto la Ec. (4-3) es
vlida para ambos tipos.
La caracterstica de transferencia demuestra nuevamente el comportamiento como fuente controlada
del JFET. Si consideramos VG, como entrada e 1, como salida, la Ec. (4.3) y la Fig. 4-9 describen una
fuente de corriente gobernada por tensin: el funcionamiento como tal es la base de los circuitos
amplificadores JFET.

4-5. EL MESFET
El MESFET es un JFET construido en arseniuro de galio (GaAs) con una regin de puerta metal-sc
miconductor (un diodo Schottky). Los principios de funcionamiento y caractetisticas del MESFET son
similares a los del JFET de silicio descrito en las Sec. 4-2 a 4-4. La movilidad de los electrones en el GaAs
es de 5 a 10 veces mayor que en el silicio lo que le permite operara frecuencias mayores que las toleradas
por los dispositivos de silicio. Como la movilidad de huecos en el GaAs es menor que en el silicio, los
MESFET de canal n tienen muchas aplicaciones.
Inicialmente el MESFET se emple en circuitos de microondas con una frecuencia de trabajo
comprendida generalmente entre 1 y 10 gigahertz (GHz). A partir de 1984 se han fabricado comercial-
mente circuitos lgicos rpidos con MESFET. Estos circuitos lgicos se disean paraque sean compatibles
conlafamilialgica bipolardegran velocidaddenominadalgicudeemisoracopludo(ECL). (Sec. 6.14).

4-6. EL MOSFET DE ACUMULACIN


En un transistor de unin de efecto campo, la seccin etectwa del canal est gobernada por un campo
elctrico aplicado al canal a travs de una uninpn. Empleando un electrodo de puerta metlico separado
del canal semiconductor por una capa de xido se obtiene un dispositivo de efecto campa bsicamente
distinto. Esta disposicin metal-xido-semiconductor (MOS) permite controlar las caractersticas del
canal por un campo elctrico creado al aplicar una tensin entre la puerta y el sustrato. Un dispositivo de
esta ndole se denomina MOSFET o Transistor MOS. Su importancia queda patente por el hecho de
fabricarse ms circuitos integrados con MOS que con cualquier otro elemento semiconductor.
Existen dos tipos de transistores MOS. El MOSFET de deplexin cuyo comportamiento es similar al
del JFET; con tensin de puerta nula y una tensin del drenaje dada, la corriente alcanza su mximo,
decreciendo luego con la tensin de puerta aplicada (de la polaridad apropiada) como en la Fig. 4.7. El
segundo tipo, llamado MOSFET de acumulacin no acusa ninguna corriente cuando la tensin de puerta
es nula, aumentando la corriente de salida al aumentar la tensin de puerta. Ambos tipa pueden >tr dc
canal p o de canal n. En esta y en la prxima seccin consideraremos las caractersticas dc un tipo de
acumulacin de canal ti y en la Seccin 4-7 las de un MOS de deplexin.

Estructura del MOS de acumulacin


La Fig. J- 100 representa equemticamentc la estructura de un MOSFET de acumulacin de canal n
yen IaFiz. 3. IOh lade unodecanalp. Loselemcntos representadosen IaFig. 4-10 seconocencomnmente
como transistorrs NMOS y PMOS respectivamente. Como se ve en la Fig. 4-10~ las dos zonas de tipo II
embebidaenelsustrto tipo{>(elcuerpo) son loselectrodosdzfuentzydedrenaje. Laregincomprendida
rntrc fuente y drenaJr es el canal que est cubierto con una fina capa de dixido de silicio (SiO,). La puerta
la fotmael electrodo metlico situado sobre lacapade xido. Actualmente en la fabricacindel MOSFET
se utiliza una capa conductora de polisilicio para la puerta en lugar del metal dibujado cn la Fig. 4-10.
Sin embargo. 105 principio\ fsicos qur determinan el funcionamiento del MOSFET son los mismos para
ambos tipos de puerta.
La parte metlica de la puerta junto con la capa aislante de xido y el canal semiconductor forman un
condensador plano paralelo. Debido a la capa aislante de dixido a este dispositivo se le llama tambin
Transistor de efecto campo y puerta aislada (IGFET). Esta capa aislante determina una resistencia de
entrada exrraordinariamente alta para el MOSFET (de 10, a IW 0).
Comportamiento fsico del MOSFET de acumulacin
En la Fig. 4-l IU se ve un transistor NMOS en el que la fuente y el sutrato estn conectados atierra y
la tensin drenaje-fuente VDS sc sita en ccro. La tensin positiva aplicada a la puerta crea un campo
elctrico dirigido perpendicularmente a travs del xido. Este campo acaba en cargas negativas einduci-
das en la proxmudad de la superficie semiconductora como se ve en la Fig. 4- 1 IU. Puesto que el sustrato
tipo /, contiene muy pocos electrones las cargas positivas superficiales son principalmente electrones
1 procedentes de la fuente y drenaje de tipo n. Estas cargas mviles negativas, que son portadores
minorilarios en el sustrato tipop, forman una capa de inversin, que se forma nicamente si V,, supera
el nivel umbral VT7 Las cargas inducidas bajo el xido forman un canal n. A medida que crece la tensin
de puerta hacia V, tambin crece el nmero de cargas negativas inducidas en el semiconductor, y en
consecuenaa aumenta la conductividad del canal. Aplicando una tensin positiva entre drenaje y fuente
nace una corriente en el canal inducido entre drenaje y fuente. As, la corriente de drenaje se acrecienta
por la tensin positiva de puerta, y al dispositivo se le llama MOSFET de acumulacin.
Consideremos ahora la situacin en la que V,, aumenta desde cero mantenindose VG, a un valor
positivo constante mayor que V, (es decir, que Vy,s-Vi> 0). Con valores pequeos de V,, (V,, CV,.,- VJ
un aumento de V,,, va acompaado de un aumento de la corriente de drenaje In El compoaunien~o del
I MOSFET es el de una resistencia y a esta zona se le denomina regirin hmica. A medida que crece V la
cada de tensin a lo largo del canal tambin crece y por tanto disminuye la tensin a travs del xidO<de
puerta y cl lado de drenaje del canal V,,, = V,, V,,,. Esta diferencia de tensin reducida rebaja el campo
b a travs del extremo de drenaje del diel&trico, lo que se traduce en menos inversin de cargas en la porcin
del canal induado. El canal se est cerrando e /, crece mucho ms despacio que el aumento de V en la
regin hmica cerca del origen. Idealmente una vez llegado a la estriccin, un nuevo incremento& V
no afecta al, habindose llegado a la corriente de saturacin. Esta ,-rgi& de saturacin es de natura&
similar a la velocidad de saturacin en un JFET. El valor de /, que se alcanza en saturacin depende d?
f V,,,. Los aumentos de V,, >V, aumentan los valores de saturacin de /,>.

1-7. CARACTERSTICAS TENSIN-CORRIENTE DE UN MOSFET DE


ACUMULACIN
1
Lus fabricantes de transistores MOS integrados no facilitan curvas de las caractersticas tensi&-Lo-
rrlente. Cuando se precisan o SCdesean, se deducen de las expresiones analticas del funcionamiento del
MOSFET en cada regin.

Expresiones analticas de las caractersticas tensin- corriente


Existir un canal de inversin entre fuente y drenaje siendo V,,, = 0 slo si P,, < 1/,. Con c,, < V, no
habrn cargas libres IXI el extremo de drenaje del canal, e /,> = 0. As V, es anlogo a la tensin de estriccin
de un JFET. La condicin de que V,,, 4, e I,, = 0 suponc que el MOSFET est en corte lo que corresponde
a un mterruptor abierto.
f

Regin hmica
Como y se ha descrito cn la Seccin anterior. cuando I <,, > V, la conductividad del canal viene
gobernada por Vi>, en la regin hmica (tambin llamada de II(I sutwucih o tr-iodo). Precisando ms, la
regin hmica queda definida por V,,,% V, > V,,, (o bien V<,,,= V<;,\-V,,, > V,. Un anlisis terico de hi
regin hmica conduce al resultado de que la caracterstica de drenaje viene dada por

siendo L la longitud del canal, W el ancho del canal medido perpendicularmente a L (Fig. 4.10 y 4-l I),
y k un parmetro en microamperios por tensin al cuadrado. Este parmetro es k = p,,C,/2 siendo bala
movilidad de los electrones y C,, la capacidad de puerta por unidad de superficie (e igual a E /T,,x. relacin
entre la permitividad y el espesor de la capa de xido). Es de notar que V,depede tambin de Cc,as como
la densidad de dopado del drenaje y fuente tipo n y sustrato tipop.

Regin de saturacin
Idealmente en la regin de saturacin en la que V,;, V,, < V,, (p ero mayor que cero) 1 es constante
e independiente de V,,. El valor de I, depende slo de la tensin efectiva de control V,,, V, como se da
en la Ec. (4-S).

CV,:, V,)- = Il,, (4-S)

en la que el sub-ndice S aadido a I, indica que se toma en consideracin la corriente de drenaje en


saturaci.
Lalneadivisoriaentre lasregioneshmicaydesaturacinvienedadapor V,, V,= V,,. Sustituyendo
este valor en la Ec. (4.4) se obtiene la Ec. (4-5). La curva de tmzs de la Fig. 4- 12 que seala la separacin
entre ambas regiones viene dada por

(4-6)

lk las Ec. (4-J) y (4.5) be desprende algunas observaciones notables. En primer lugar, la relacin
W/L es un parmetro importante que sirve como factor de escala para la corriente de drenaje. As, dos (o
ms) MOSFET de igual valor V, pero de distinta capacidad de corriente pueden fabricarse en un mismo
chip empleando dos (o ms) valores distintos de WIL. En segundo lugar, el parmetro k tiene unos valores
tpicos comprendidos entre 10 y 50 pA/v en los NMOS comerciales actuales. En consecuencia slo se
obtienen valores altos de I, (varios miliamperios) en dispositivos de relacin WIL alta, es decir, disposi-
tivos que ocupe mucha superficie.
Las caractersticas tensin-corriente de la Fig. 4-12 se deducen de las Ecs. (4-4) y (4-5) para un
MOSFET de acumulacin de canal n co k =20 kA/V, WIL = / y V, = 2V.La lnea divisotia entre las
regiones hmica y de saturacin sealada en la Fig. 4-12 se obtiene trazando la parbola de la Ec. (4-6).
Obsrvese que si multiplicamos WIL por un factor F. /, queda tambin multiplicada por el mismo factor
para los mismos valores de V,,, y V,,.
La caracterstica de transferencia del MOSFET cs una grfica de I,, en funcin de V,, con un valor
constante de VD, en la regin de saturacin. La curva de la Fig. 4-13 es la caracterstica de transferencia
del MOSFET de la Fig. 4-12.
liigura 4 -12.

Las caractersticas de la Fig. 4-12 corresponden a un MOSFET ideal. En reahdad f, wxz hgcranremc
con V,, en la regin de saturacin, debido a la modulacin de longitud del canal que es un efecto anlogo
ala modulacin del espesor de base en un BJT. En la Fig. 4.14 se ve que si prolongamos las caractersticas
reales hacia el segundo cuadrante, todas ellas concurren en VDS= l/h. Debido a su semejanza con el efecto
Early en los BJT, lacantidad l/k se denomina tambin tensin Ea+, cuyos valores tpicos van desde 0,Ol
a 0,03 V-. Para tener en cuenta la modulacin de longitud del canal, se modifica la Ec. (4-5) introduciendo
el factor (I + h V,,) como consta en la Ec. (4-7).

1 = k;(V,, - V,) (1 + AVDS) (4-71

Cornrntcnrente el trmino (1 +h VDS>es despreciable en circuitos digitales, pero puede tener su


importancia en circuitos analgicos.

Figura 4.13. Caracmmca de tran,ferenc,a de, fransistor de acumu,acin NMOS de I Fig. 4-I 2.
Figura ,-II. Priorigaclllde lasCaracterstrcas
de salidadel transistorNMOS mostrandoel etecto de la ,dkKh de longlrud
del canal

Caractersticas del MOSFET de acumulacin de canal p

El transistor PMOS representado en la Fig. 4.IOb consta de dos zonas upop en un bustrato upo II. Los
principios fsicos de este MOSFET son los mismos que los del NMOS. Las Ecs. (4-4) a (4-7) son aplicables
una vez reconocido que todas las polaridades de las tensiones y los sentidos de las corrientes de un PMOS
son opuestas alas correspondientes en un NMOS. Asimismo, al calcular el parmetro k debe sustituirse
p, por la movilidad de huecos pp.
En la Fig. 4-l Sa se ven las caracteristica~ tensin-corriente de un transistor discreto PMOS y en la Fig.
4.15h su caracterstica de transferencia. Obsrvese que la forma general de estas curvas es similar a las
del NMOS de las Figs. 4.12 y 4-13. Sin embargo, vanse los distintos niveles de corriente, y tensiones
en estos elementos. En la fabricacin de MOSFET discretos, las dimensiones pueden ser mayores de lo
que conviene en los MOSFET integrados. En consecuencia, pueden obtenerse transistores MOS discretos
para mayor corriente que puedan funcionar con mayores tensiones aplicadas.

Comparacin entre transistores PMOS y NMOS


Cronolgicamente en los sistemas MOS se emplearn los transistores de acumulacin de canal p por
ser de fabricacin ms fcil, tener mejor rendimiento y ser ms fiables que los de canal n. Las posteriores
mejoras en la fabricacin han dado el dominio de los NMOS y, salvo la tecnologa CMOS (Sec. 4-l5),
los dispositivos PMOS han quedado casi totalmente obsoletos, debido a las razones expuestas en el prrafo
siguiente.
La movilidad de los huecos en el silicio con intensidades de campo nom~ales es de unos 500 cm/(Vs).
Por otra parte, la movilidad de los electrones cs de unos 1.300 cn?/( Con esto, en dispositivos de
iguales dimensiones: (1) la corriente en un transistor PMOS es menos de la mitad que en un NMOS, y (2)
la resistencia ON de un MOSFET de canal p es de casi tres veces la de uno de canal n. A su vez, para tener
los mismos valores de corriente y de resistencia que en un transistor NMOS debe aumentarse la relacin
W/L de un PMOS para tcncr en cuenta la menor movilidad de los huecos [Ec. (4.5)]. Por ello, los
dispositivos PMOS requieren una superficie tres veces mayor que la de un transistor NMOS equivalente
ya igual complejidad los circuitos NMOS son ms pequeos que los PMOS, y debido a su mayor densidad
de componentes son tambin ms rpidos en funciones de conmutacin. La velocidad de actuacin est
IimitadaprincipalmenteporlaconstantedetiempoRC, yCesdrectamenteproporcionalalaseccinrecta
de la unin. Estas razones hacen que se empleen casi exclusivamente los dispositivos NMOS.

4-X. EL MOSFET DE DEPLEXION


Se puede formar un segundo tipo de transistor MOS u entre las monas tipo w de drenaje y de fuente se
difunde en el sustrato un canal n. Consideremos el funcionamiento de ese canal n en la estructura de la
Fig.4-16. El signo menosde IaFig. 4-15 representaloselectrones libresenelcanalprximos a lasuperficie
del xido. Con ,, = Ounatensindepuenanegativainducecargaspositivasenelcanal.Larecombinacin
de lascargaspositivas inducidascon las negativasexistentesenel canal provocaladeplexindeportadores
mayoritarios, dc donde le viene el nombre de MOSFET de Deplexim.
Si la tensin de puena se hace ms negativa pueden eliminarse los portadores mayoritarios y con ello
desaparece el canal. En estas condiciones la corriente de drenaje es nula. El valor menos negativo de VG,
con el que el canal queda libre de portadores mayoritarios constituye la iensin umhd V,.

.4,,,,,,
0 .> IZ, , ll,+,
Con VG, = 0, la aplicacin de una tensin V,,, positiva provoca una corriente de drenaje apreciable
designada ID,. Al ir disminuyendo uGshacia el umbral disminuye tambin la corriente de drenaje. Para
un valor dado de V,,, los valores creaentes de VD,5saturan la corriente de drenaje pues el canal se contrae.
El caso es similar al de saturacin de los dispositivos de acumulacin. Obsrvese en la Fig. 4.15h que
debido a la cada de tensin en el canal motivada por 1, la zona del canal ms prxima al drenaje se debilita
ms que la zona vecina a la fuente. Este fenmeno es anlogo a la estriccin de los JFET en el extremo
del canal ms prximo al drenaje (Fig. 4-6).
Los MOSFET de deplexin poseen ambas .?onas: hmica y de saturacin. Estas zonas estn repre-
sentadas analticamente en las Ecs. (4-5) y (4.6). Obsrvese, sin embargo, que en el transistor de deplexin
NMOS, v, 6?S,ERUliVO.
Un MOSFET del tipo descrito puede tambin funcionar a modo de acumulacin: basta aplicar una
tensindepuertapositivadeformaquese induzcancargasnegativasenelcanal tipon. Lascargasnegativas
inducidas aadidas hacen aumentar (acumulacin) el nmero de portadores mayoritarios presentes. Con
\J,, positivo la corriente de drenaje 1, es mayor que I,,,,$. Esto puede verse en la Fig. 4.17~ en la que se
ven las caractersticas tensin-corriente de un MOSFET de deplexi@ de canal n con k = 20 kA/V*, WIL
= 1, y VT= -2. V. La funcin de transferencia para este dispositivo con V,, = 5V se describe en la Fig.
4.17h. Obsrvese que en la Fig. 4-17 no estn incluidos los efectos de la modulacin de longitud del canal
[Ec. (4.7)].

J-Y. SMBOLOS DE LOS CIRCUITOS MOSFET


En la Fig. 4-18 estn representados cuatro smbolos corrientemente uthzados de circwos MUSE~
de canal n. Los smbolos correspondientes a las Figs. 4.18~ y 4. 18h pueden emplearse indistintamente
para dispositivos de acumulacin o de deplexin. Si no se indica la conexin del cuerpo o sustrato se
supone que est conectado con el terminal de fuente o que B est unido a la tensin ms negativa. Esta
conexin polariza en inverso la uninpn formada por las regiones de drenaje y de fuente y el sustrato. En
muchos casos la tensin ms negativa (0 V) es atierra. Cuando en un mismo circuito se emplean elementos
de acumulacin y de deplexin conjuntamente se distingue el MOSFET de deplexin emplendose el
Transistores de efecto campo 163

smbolo de la Fig. 4. IU. Ms frecuentemente se emplea la Fig. 4.18h como smbolo de circuito MOSFET
de canal n con las conexiones normales al sustrato.
El sentido de las corrientes en los terminales es propio del circuito, as, en un MOSFET de canal n, 1,
es positivo, e I, negativo. Puesto que 1, es prcticamente nulo, 1 = 1,. La cada de tensin entre drenaje y
fuente se seala V,,s y entre puerta y fuente V,;,, Ambas cantidades son positivas en un MOSFET de
acumulacin de canal n. Para funcionar en el modo de deplexin se requiere que V,,y sea negativo y V,,5
positivo.
Para los MOSFET de canal p se emplean los smbolos de la Fig. 4-1X con el sentido de las flechas
invertido. Las corrientes y tensiones de los temkales son el negativo de las cantidades correspondientes
al MOSFET de canal n. En el MOSFET de canal n normal se cortocircuita la fuente y el sustrato, y stos
a su vez van conectados a la tensin ms positiva para asegurar que la unin formada por el cuerpo tipo
n se mantenga con polarizacin inversa.

4-10 ANALISIS EN CONTINUA DE LOS FET


Lu lcnicas descritas en esta Seccin se aplican igualmente a loa JFET y a lus MOSFET. Adems, Io>
mtodos de anlisis son vlidos tanto para el dispositivo de canalp como para los de cana; n.

Recta de polarizacin
Consideremos el circuito de la Fig. 4.19 en donde se emplea la resistencia dr fuente& R para flJar V
sin necesidad de un suministro adicional de potencia (Fig. 4-6). Puesto que 1, = 0 no hay cada de tensi&
alguna a travs deR, y la ley de Kirchhoff aplicada al lazo puerta-fuente es:

or v,.,
VG.\= I,,R, In = (4-8)
R
164 Microrlectrnica moderna

La Ec. (4.8) wrrcspondc auna lnea rccla llamadafrcru drpolurrzuc~n, dIbuJada en la Rg. -1-N jumo
con la caracterstica de transferencia del JFET. La interseccin entre ambas lneas determina los valores
de operacin (reposo) de la corriente de drenaje 1, y de la tensin puerta-fuente Vysy.
La tensin drenaje-fuente V,,, se calcula por f a ley de Kirchhoff aplicada al lazo drenaje-fuente, y
tendremos

Vm + InRii + Vm + I,iR \ = 0 (4-9)

Sustituyendo /Oa en la Ec. (4.9) tendremos el valor de reposo de la tensin VD.>,entre drenaje y fuente
que existe en el circurto. Obsrvese que la Ec. (4.9) define la recta de carga del circuito. Situando la recta
de carga sobre la caracterstica de salida se puede tambin determinar VDsude la interseccin de ambas
lneas para VG.,

Ejemplo 4-l

Consideremos el circuito de la Fig. 4.21~ donde se emplea un MOSFET de acumulacin de canal rf


cuya caracterstica de transferencia es la de la Fig. 4.13b repetida por conveniencia en la Fig. 4-22
Determinarl,,, Vosa y la tensin de salida Vo,.
En primer lugar, sustltutr las resistencias de polarizacin de puerta R, y R, y la tensin de drenaje V,,,
por su equivalente de Tbvenin como se ve en la Fig. 4-2 lb. (Obsrvese la analoga entre esto y el anlisis
del circuito BJT del Ejemplo 3-4.)
Laecuacindelarectadepolarizacin sededucedelaexpresindeKirchhoffparae1 lazopuerta-fuente
de la Fig. 4-21 b.
1 vmi
VW - VG,; - Id., or Io = - v<;., f -
R.5 R.5
Es wwcmentt represar las corrientes en miliamperios y las resistencias en kiloohmios y as lo haremos
en los clculos numricos. Sustituyendo valores
166 Microrkctrnica moderna

La recta de polarizacin resultante puede verse en la Fig. 4-22, de donde

IIji> = 0.19 mA

DC la Ec. IhYJ y sustituyendo valores

V,>.tu = 15 0.19 x 40 0.19 x 5 = 6.45 V

I .a rem~lin mwt drenaje y tieru es

V,,<, = Vuzy + l,,&, = 6.45 + O.iY x 5 7.40 v

1-11. EL MOSFET COMO RESISTENCIA


En la introduccin a este captulo ya se indic que una de las venra~as dzl MOSFET 25 que sz puede
usar como condensador, como resistencia y como elemento activo de tres taminales. En la Seccin 4-5
se demostr que la capa aislante de xido entre puerta y canal formaba un condensador plano-paralelo.
Ahora veremos el empleo del MOSFET de acumulacin a manera de resistencia.
Con las conexiones de la Fig. 4-23~ el MOSFET tiene la caractetistica de salida de la Fig. 4-23h.
Conectando la puerta al drenaje se tiene VG, = Vo,- La caracterstica de resistencia indicada cn la Fig. 4.23b
es el lugar geomtrico de los puntos en los que Vps = VD,s.Como resulta evidente de la figura esta conexin
del MOSFET da una resistencia no lineal. Observes que el MOSFET trabaja en la regin de saturacin
Ya que v,, V, < VD,$;adems, con erta conexin, \,, = \,, = \, an cuando 1, = 0.
En el sgulente ejemplo veremos el mtodo para trazar la recta de carga de un MOSFET con una
resistencia de drenaje no lineal.
Tramktores de efecto campo 161

Ejemplo 4-2

En el circuito de la Fig. 4-24~ se emplea un MOSFET, Ql, con las caractersticas dc salida de la Fig.
4.24b. La carga MOSFET Q2 tiene la caracterstica de resistencia que se muestra en la Fig. 4-236. Trazar
la caracterstica de transferencia LI<,= V,,, en funcin de vj = V,,s,.

Solucin

En primer lugar hay que construir 1s recta de carga y a partir de ella determinar V,,, 01 vuriur V,,>!.
Recordando que la nzecfude carga PS la representacin grficade la ley de Kirchhoffpara el lazo de
drenaje, tendremos.

v,,,, + v,>,z = v,,,, or vm, = v/>,> V,,,? (4-10)

Puesto que I,, = 0 tanto para Ql como para Q2, I,, = I,,.

ID,.PA
I
6
300 Llw&iclecupa

250 - 55
f i,,

200 - SO
Garra
Li V 150 -
45
* 100 -
40
*-1 ,lou 3
50 -
VGS,=3
c,
Oo I 2 3 4 5 6 SI.
-:-- 7 lkf-

w (bi
Figura 4-24. (uj Crcuito de transibur dc efccro campo Metal-Oxido-Semiconductor con resistencm de carga MOSFET no heal,
(h) Caractetistica de salida MOSFET con lnea de carga no lineal, para el Ejemplo4.2.

La caracterstica de carga de la Fig. 4.23b representa a ID, enfuncin de VDA,.En esta figura vano&
quecuando ID, = 320 PA, VG, = VDS2= 6 V. En consecuencia V,,, = 6 - 6 = 0 V. Esto determina un punto
de la recta de carga de la Fig. 4- 24b <ID, = 320 FA, VDS,= 0 V). De igual forma, cuando I,, = 80 PA, VG,
= V,, = 4 V, el punto ID, = 80 FA, V,,, = 6 4 = 2 V tambin pertenece a la recta de carga de la misma
figura. As pues, para cada valor de f,, de la Fig. 4.23b en el que VGs = VDS2se encuentra un valor de VDS,,
y este par de valores determinan un punto de la recta de carga de la Fig. 4.246 debido alas condiciones
impuestas por las leyes de Kirchhoff.
Ya construida la recta de carga (Fig. 4-24b) podemos determinar V,,, (la salida) en funcin de VG,, (la
entrada). Para valores de v, = VG,, 5 VT = 2 V, la corriente I,, es nula y !I,,~,= 4 V. Aumentando v, hasta
5 V, tendremos VDS,= 1,5 V determinado por la interseccin de la recta de carga y la caracterstica de VG,,
= 5 V. La caracterstica de transferencia representada en la Fig. 4-25 se halla determinando el valor de
VDS,en la interseccin de la recta de caga con la caracterstica de cada valor de VG.,.
168 Microelectrnica moderna

Los dispositivos de deplexin pueden conectarse como indica la Fig. 4.260 para obtener las caracte
rsticas de resistencia. En este circuito VG,= 0 ya que los terminales de puerta y de fuente estn conectados
entre s. La caracterstica de resistencia resultante, con V,, = 0, es la sealada con trazo ms grueso entre
las caractersticas del MOSFET de la Fig. 4.26. El anlisis de circuitos MOSFET utilizando resistencias
de carga MOSFET de deplexin es semejante alo dado en el Ejemplo 4-2. En los problemas que figuran
al final de este captulo se incluyen varios de estos circuitos.

4-12. EL FET COMO INTERRUPTOR

Los transistores de efecto campo metal-xido-semiconductor SCemplean mucho en ciruutos digitala


por su forma de trabajar a manera de interruptor. Para exponer el funcionamiento del MOSFET como
interruptor gobernado emplearemos el circuito de la Fig. 4-24~ y el Ejemplo 4-2. La onda de tensin de
entrada tiene la forma en escaln representada en la Fig. 4-27~. Para t < 7 la tensin de entrada es de 1,5
V, y por tanto, de la caracterstica de transferencia de la Fig. 4.25 deducimos que,>,= 4 V. La corriente
I,,escerocomosedesprendedelarectadecargadelaFig.4-24b.Estaeslacaractenst~cadeuninterruuto~
abierto ya que la tensin a travs de l es apreciable mientras que la corriente es nula
Para I >T la tensin de entrada es de 5 V resultando Y,,= 1,5 V (Fig. 4.25) e /,, = 125 p.4 (Fig. 4-z&).
Esta situacin se aproxima a la de un interruptor cerrado ya que existe una corriente apreciable con poca
tensin entre terminales (drenaje y fuente). La onda de salida es la de la Fig. 4-27b.
Los dos estados posibles del interruptor pueden deducirse de la caracterstica de transferencia dada en
la Fig. 4-25. Mientras v, < VT = 2 V, la tensin de salida es de 4 V y la corriente nula segn la Fig. 4.24b.
Las tensiones de entrada superiores a unos 5 V apenas introducen variaciones ni en v0 ni en Z,,. En
consecuencia la corriente de salida depende casi exclusivamente de la caracterstica de carga y la V,, del
drenaje. La pequea variacin de la tensin de salida al variar la de entrada queda patente en el
allanamiento de la caracterhtica de transferencia de la Fig. 4-25 con v, > 5 V.
Un interruptor real no puede cambiar de estado instantneamente (Fig. 4.27b). Trataremos de la
respuesta transitoria en la Seccin 6-6.

Ejemplo 4-3

El circuito de la Fig. 4-28~ utiliza un MOSFET de deplexln de las caracteristlcas de la hg. 4.ZXb:
(a) Esbozar la onda de salida correspondiente ala de entrada dada en la Fig. 4.28a, suponiendo que R, =
36 kQ. (b) iCules sern los nuevos niveles de salida si R, es de 50 ka?

(0) CbJ cc,


Figura 4.28. (n) Circum MOSFET nrodo~dcplexin y onda de tensin de entrada; (h) carac&i~~ca~ de salida. Las recta de carga
corresponden a VDD = 10 V y RD = 36 kR (lnea continua) y R, = 50 kR (lnea de trazos). (c) Onda de tensin de salida.
170 Microelectrnica moderna

Solucin

(a) Sobre las caractersticas de salida de la Fig. 4. 28b se traza la recta de carga para V,, = 9 V y K,
= 36 kQ. Cuando f < 0 la tensin de entrada es tambin cero, y segn la interseccin de la recta de carga
y la caracterstica VG, = 0 tendremos V = v = 1,4 V. Anlogamente la tensin de salida valdr 9 V
cuando v = V = -3,5 V. Siendo la ond?res&ante la de la Fig. 4.28~. (b) Pasando R, a valer 50 ka la
recta de &ga&a a ser la lnea de trazos de la misma figura. Para f > 0 el MOSFET est en corte ya que
v es mayor que 1 V, / Por tanto, v = 9 V. Con Y,= 0 como es el caso cuando f ~0, la tensin de salida es
de 0,8 V deducidos de la interseccin entre la lnea de trazos y V,., = 0.
Observamos que con un valor fijo de VDD,al aumentar R, disminuye la tensin a travs del interruptor
cerrado. Sin embargo, tambin decrece la corriente puesto que 1, vale aproximadamente V,JRIR,
iamistores de efecto campo 171

Ejemplo 44

El circuito de la Fig. 4.29a emplea un MOSFET de deplexin Q2 como carga del MOSFET de
acumulacin Ql. Esta configuracin es de uso corriente en circuitos integrados digitales. El MOSFET de
carga Q2 tiene k = 20 PA / PR, WIL = 1/4 y V,= -2 V y sus caractersticas de salida son las representadas
en la Fig. 4.296. Las caractersticas del MOSFET de acumulacin son las dadas originalmente en la
Fig. 4-12 que corresponden a un dispositivo con k = 20 @iv, WIL = 1 y V, = 2 V, y que para mayor
comodidad se reproducen en la Fig. 4.29~. Trazar la funcin de transferencia v0 en funcin de v, de este
circuito.

Solucin

En la Rg. 4.29b vernos la caracterstica de carga para VG.>= 0 de la que resulta la recta de carga de la
Fig. 4.29~. La construccin de la recta de carga sigue el procedimiento empleado para formar la Fig. 4-246
valindose del hecho de que ID, = ID, y V,,, + VB, = V,, = 5 V [Ec. (4.lo)]. PuestoqueI,], = 20pAccuando
V,,, est comprendido entre 5 y 2 V, ID, =20wA al pasar V,,, de 0 a 3 V. Al bajar Vu, desde 2 a 0 V, VG,,
aumenta de 3 a 5 V e I,, = I,, decrece desde 20 a 0 p.4. Estos valores de V,, en funcin de VDS,forman la
recta de carga en la Fig. 4.29~. La funcin de transferencia representada en la Fig. 4-30 se obtiene variando
v = VG,, y hallando los correspondientes valores de v0 = V,,, de la interseccin de la recta de carga con
1; caracterstica tensin-corriente de Ql. Con vz< 2 V, Ql est en corte y v,, = 5 V (la interseccin de la
recta de carga con la caracterstica de salida tiene lugar a V,,, = 5 V) e I,,, = 0. Al aumentar v,,el punto de
trabajo se desplaza a lo largo de la recta de carga hacia el eje 1,. Para cada valor de Y,detemxnaremos el
correspondiente valor de Y,,.Por ejemplo, con v, = 2,5 V, v,, = 4.7 V y anlogamente, para v, = 3 V, v,, = 3
V. Cuando Y, supera los 3,5 V las caractersticas de salida se agrupan alrededor de la recta de carga y v,,
tiende hacia 0,2 V.
Lacaractersticadetransferenciapuedeobtenerseanalticaoexperimentalmente,aplicandoalaentrada
una onda en forma de diente de sierra. As se puede hacer variar vi linealmente con el tiempo: en
consecuencia la variacin de v,, con el tiempo es la funcin de transferencia (vase el ejemplo 2-3).
La funcin de transferencia de la Fig. 4-30 demuestra que el circuito de la Fig. 4.29a goza de las
oropiedades de un interruptor gobernado. Este circuito muestra un perfil ms escarpado en la regin de
mnsicin abierto-cerrado que el de la funcin de transferencia del circuito de acumulacin de la Fig, 4.24,
Las consecuencias prcticas de esta diferencia las analizaremos en la Seccin S-5.
112 Microrlectrniccr moderna

4-13. EL FET COMO AMPLIFICADOR


Los circuitos amplificadores con transistores de efecto campo se valen de la naturalua de estos
dispositivos como fuente de corriente gobernada por tensin. Como ya se ha comentado anteriormente en
este mismo captulo, en la regin de saturacin 1, depende slo de VG, (aproximadamente) lo que permite
su funcionamiento corno fuente gobemada:Estudiaremos el empleo del FET corno amplificador consi-
derando el circuito en fuente comn de la Fig. 4-31, en la que la seal que se pretende amplificar es v<,,
mientras que VG, proporciona la polarizacin inversa necesaria entre puerta y fuente del JFET. Las
caractersticas tensin-corriente del JFET son las de la Fig. 4.32 sobre las que se han superpuesto la recta
de carga correspondiente a V,, = 30 V y R, = 6 kR. El valor de V,, se ha elegido en 1,5 V de forma que
el transistor queda polarizado en el punto Q, resultando V,,>, = 19 V e IDQ= 1,s mA.
La tensin instantnea puerta-fuente es v~;,~
= v, VG,. Suponiendo que vSsea una senoide con 0,5 V de
pico, la variacin de vGScon el tiempo mostrada en la Fig. 4.32 ser una senoide superpuesta al nivel de
reposo. Las formas de onda resultantes para i, y vos se han trazado al lado de las caractersticas.

+vD

Observemos que ambas cantidades pueden considerarse como unas senoides superpuestas a los
respectivos valores en continua. Por tanto:

L\ = -v,,, + vg, = -1.5 + 0.5 sen Wf (4-l 1)


i,, = I,,, + i,,= 1.75 + 0.75 sen wf mA 14.12)
1 = i,,, = v,,,, + L,!\= I 9.5 4.5 sen Ox (4.13)

Obsxvzmu~ cn la Ec. (4-12) y en la Fig. 4-32 que la seal de salida e\ mayor que la dc la entrada,
cumplindose as la amplificacin. El signo negativo de la Ec. (4-13) indica la fase inversa de la setial de
salida de tensin en relacin ala seal de entrada. Esto supone que al aumentar vw disminuye vgsteniendo
en cuenta la capacidad de suministro de potencia de la fuente gobernada. Esta situacin es anloga a la
del amplificador BJT descrito en la Sec. 3-9.
La ganancia en tensin 1 A, 1 es la relacin entre la am litud de la seal de salida V,,,,,y la de la seal
de entrada VS,.En el circuito de la Fig. 4.31, la ganancia es PA, / = 4,5/0,5 = 9. Obsrvese que slo queda
amplificada la seal de entrada. La mayor potencia de seal a la salida (en relacin a la de entrada) se
obtiene slo a expensas de la potencia de polarizacin V,, / ov suministrada. Efectivamente, enestecircuito
la potencia de polarizacin es significativamente ms alta que la de entrada.
Es de notar que el JFET se polariza hacia la media de las caractersticas. Si eleglmos el punto de
funcionamientomuy prximoalaregin hmicaocercade latensin umbral, lasenoidede salidaquedara
cortada durante el semiciclo positivo o negativo de la seal de entrada. De igual forma, con el punto de
trabajo en Q de la Fig. 4.32, la mxima seal de entrada que se puede amplificar sin distorsin apreciable
queda reducida a los valores de vGscorrespondientes ala parte de la recta de carga por encima del umbral
y por debajo de la regin hmica. (Comprese esto con lo expuesto en la Seccin 4-l .)
El comportamiento del FET como amplificador puede relacionarse con la caractetistica de transferen-
cia del circuito. En la Fig. 4.30 queda demostrado que los segmentos casi horizontales representan
aproximadamente un interruptor abierto o cerrado. La parte de curvacomprendida entre ambos segmentos
indica que un cambio en v, provoca un cambio en II<,.En particular, a lo largo de la mayor parte de esta
rona,lavanacinder~~~esmayorquelade v,, loqueindicaunaamplificacin. De hechosepuededeterminar
la ganancia del circuito determinando la pendiente de la caracterstica de transferencia en el punto de
trabajo.
En esta Seccin se ha tratado del circuito JFET. Como tanto los MOSFET de acumulacin como los
de deplexin tienen unas caractersticas tensin- corriente similares, pueden considerarse ambos como
fuentes de corriente gobernadas por tensin, y por tanto pueden empicarse tanto el uno como el otro a
manera de amplificadores, pudiendo aplicarse a ellos todo lo comentado anteriormente.

4-14. MODELOS FET DE PEQUEA SEAL


El circuito equivalente de pequea seal, vlido tanto para el JFET como para el MOSFET se utiliza
174 Micruelecrrnica moderna

para relacionar entre s las variaciones incrementales de las corrientes y tensiones del transistor alrededor
del punto de reposo. En la Seccin 4-13 vimos que i,, vDsy vcs tienen todos ellos una componente en
continua y otra en alterna superpuestas. La componente en alterna representa la variacin alrededor del
punto de trabajo provocada por la aplicacin de una seal senoidal. As, empleando la misma notacin
que para el BJT (Sec. 3-9) tendremos:

El modelo de baja frecuencia


El modelo FET de pequea seal es un circuito que se emplea para mostrar la relacin existente entre
lij, ~~~~y veis.En la Fig. 4-33 est representado el circuito equivalente de baja frecuencia del FET. No
se sealan en la figura los elementos capacitivos, es decir, de almacenamiento de energa, ya que tales
elementos slo influyen en su comportamiento con frecuencias altas (vase Sec. 3-10).
Los elementos de la Fig. 4-33 estn relacionados con los procesos fsicas que tienen lugar en el FET.
La fuente de corriente gobernada por tensin gm vxxseala dependencia de i, sobre vy, cuando el FE?
funcione en la regin saturada. El parmetro gmes la pendiente de la caracterstica de transferencia (Fig.
4-9) calculada en reposo. La resistencia de salida i,, es la pendiente de la caracterstica de salida evaluada
en el punto de trabajo. Fsicamente, este parmetro se atribuye a la modulacin de la longitud del canal
(Sec. 4-7). Los circuitos abiertos (r + m ) que aparecen entre R y s y entre g y d indican que la unin
formada por la puerta y el canal de un JFET est polarizada en inversa. Como ya se ha indicado antes
(Secc. 2-9) el efecto de la gran resistencia. incremental de una unin con polarizacin inversa sobre el
funcionamiento del circuito, casi siempre se puede despreciar. En el MOSFET los trayectos entre puerta
y fuente y entre puerta y drenaje discurren a travs de la capa aislante de xido, y por tanto, la resistencia
extremadamente alta de ese itinerario no tiene influencia alguna sobre el funcionamiento del elemento y
del circuito.
El valor de g, se puede determinar analticamente de las expresiones de la corriente de drenaje en las
Ecs. (4-3) y (4.5) pan el JFET y el MOSFET respectivamente. La transconductancia g- es

Puesto que i, representa la corriente de drenaje total y vGI-la tensin total puerta-fuente (vase Fig.
4-32) la Ec. (4-3) se convierte en
y empleando la Ec. (4-15) tendremos

Recurdese que para los JFETS de canal n, Vn y V,, son ambas negativas e I,,, positiva. En los
dispositivos de canal p. V, y V,, son positivas e IDsJ negativa. Adems 1 V,, 1< 1 V,. Por tanto VGsuIVp
es positivo y menor que la unidad, y /,,,,/V,, es negativo. En consecuencia K,, es siempre positivo tanto para
el JFET de canal n como para el canal ,u.
La Ec. (4.3) calculada en el punto de trabajo permite escribir l- ( V,,,/V,) en la forma + J(IDQIIDss)
con lo que la Ec. (4.16) se transforma en

g,n = -+hZJZ

P
(4.17)

umo ya se ha demostrado que K, es siempre positivo, puede escribirse esta cuain en la forma
alternativa

(4.18)

El trmino g,,, = 2/,,, IV, es el valor de gm cuando VG,T,= 0 con lo que IDu = l,,,
Anlogamente, en un transistor NMOS, g, se puede expresar

LLa dervaan de la Ec (4-19) se deja a manera de ejercicio para el lector en el Problema 4-39.1
Como rd, refleja el efecto de la modulacin de longitud del canal, se emplea la Ec. (4-7) para relacionar
i, y Y~>~.
En el MOSFET la conductancia de salida g,? se expresa:

(4.20)

hphcando la Ec. (4.20) en conjuncin con la Ec. (4-7) tendremos

Al,>,
d<= Ak 1 + AV,,,
(4-2 1)

De donde
1 + ~V.m, (4-22)
rd, =
AID,

Pua los FET integmdoa, nomxdmente se calcula la Ec. (4-22) con V,,, = 0 con lo que queda reducida a

1
(4-23)
ld,\ = Ab
La forma normal de trabajo de un FET integrado es con una tensin drenaje- fuente del orden de unos
pocos volt. Por tanto, el trmino V,,, de la Ec. (4-22) es mucho menor que la unidad y as la Ec. (4-23)
es una buena aproximacin de la Ec. (4-22). Para los FET discretos, especialmente si se emplean con
niveles de tensin y de potencia moderados, rd, se calcula por la Ec. (4-22). (Para el JFET de canal n
176 Microelectrnica moderna

2N4869empleadoen el Ejemplo 4-Sv&nse las Flgs. 4-7 y 4. 32).


La Ec. (4-22)tambinesvlida parael JFET ya queel trminn de modulacinde longituddc canal
(1 t h vD,%)
puedetambinintroducirseen la FC (4-3).
RG

Ejemplo 4-i

Hallar la giinanuadz tensindc la etapaamplificadoradc la Fig. 4.3 1.Los datosdel JFET son: liIh,
=5mA,V~=3,bVyh=0,01V1.

Solucin

El circuito equivalenteesel dela Fig. 4.34 enla queel JFET estrepresentado porel modelodado2n
la Fig. 4-33.De la rectade cargay las caractersticasexpuestasen la Fig. 4.32 sededucen:na = 1,x mA,
vcxa= 1.5v y VDSQ = 19V. De la Ec. (4-15)tendremos

El vlw der,!,deducidode la Ec. (4-22)es


1 + 0.01 x 19.5
r,/>= 0.01 x 1.80 = 66.1 kfl

R = 6 x 66.4
I = 5.50 ko
6 + 66.4

Y g,,,R, = 1.62 x 5.50 = 8.~1 v

tiste wlw dela gananciaobtenidoanalticamcntc:


estdeacuerdocon el detcnnlnadogrti~a~nenr~
~II
la Sec.4-13.

Ejemplo 4-6

La tensindr:alim,entacinV,i, enel cmxito de la Fig. 4.2 la y del eJe@o 4-I vara en + 03 v. .En

cunto vara debidoal cambioen V,],,,?


us, Empleseel modelodepequeasealtomandord,= 50 h.
177
178 Microelectrnica moderna

El valor de p se obtiene calculando primero gm. Del ejemplo 4-l tendremos lDQ= 190 pA y con
k = 20 ~A/1/2 y WIL = 1 junto con la Ec. (4-17) tendremos

P.,, = 2 X20 x 10mh (1) 190 x lOmh = 1.23 x 10m4 7.J = 0.123 mA/V
Y
p = 0.123 x 50 = 6.15.

Susuruyendo la expresin de vRren la ecuacin de Kirchhoff del Izo de drenaje y despejando id se obnenr

id = 0.3 + 0.12 x 6.15 = 8.25 x IO-mA = 8.25 PA


90 + 5 (1 + 6.15)

y la tensin vdiser

Ud> -40id + 0.3 - 5id


= - 40 x 8.25 x 10 3 + 0.3 - 5 x 8.25 x IO-)
= -0.0713 V = -71.3 mV

y la tensn rota1 vg5 = V, -Y = 6.45.0,0713 = 6,39 V. Este resultado se& que con un cambio del 2%
e m vm cambiaen un ?,lz

Modelo de alta frecuencia


A altas frecuencias se deben aadir al circuito equivalente de pequea seal FET los efectos de las
capacidades relacionadas con la unin polarizada en inverso y con la capa de xido (Fig. 4-37). Debido a
que existe unin entre puerta y fuente y entre puerta y drenaje, cada una de las capacidades Ce<y C,
contiene una componente de la capacidad relativa a la regin de deplexin. Adems, estas capacidades
contienen componentes atribuidas al condensador formado por la capa de xido, la regin de contacto
metlico y la capa semiconductora. Para los clculos con papel y lpiz es conveniente combinar estos
efectos como en la Fig. 4-37. El modelo empleado para clculos simulados representa estos efectos
mediante elementos capacitivos.

4-15. DISPOSITIVOS CMOS


En cxcuitos digitales integrados se emplea mucho un dispositivo compuesto de dos transistores FET;
uno NMOS y otroPMOS. Estos transistores compuestos han ido adquiriendo importanciaen su aplicacin
en circuitos analgicos. La combinacin de transistores NMOS y PMOS en un mismo chip se denomina
M,qS u~n~~ltvwnrur~ o simplemente CMOS. (La fabricacin de tales dispositivos y los circuitos que los
utrhzan constituyen la rru~oio,~$a CMOS.) En esta Seccin pretendemos facilitar una breve introduccin
a la configuracin del CMOS para poder informar sobre las propiedades del circuito en que se utilizan.
En diversas secciones de la primera, segunda y cuarta partes del texto se trata de aplicaciones especficas
de la tecnologa CMOS.
El circuito CMOS de la Fig. 4-380, usado en aplicaciones digitah consta de un transistor NMOS
(excitador) al que est conectado un transistor PMOS a manera de carga. Los taminales de puerta de
ambos transistores estn conectados entre si. Supongamos que la tensin umbral V es la misma para los
dos e igual a VJ2. Aplicando una tensin positiva v, > V, simultneamente se &rra (ON) el transistol
NMOS y SCcorta el PMOS (recurdese que se precisa una tensin de puerta positiva en un transistor de
CZIIUI~7y,negativa el cat~al/>). Estando conectados en serie los terminales de drenaje y de fuente de los
dos translstoxs nowculacorrientc algunaporel NMOS (porestarel PMOS cortado). Por tanto la tensi&,
de salida es prkticamenre nula. Esta situacin est esquematizada en la Fig. 4.37h en la que el interruptor
cerrado representa al NMOS y el abierto al PMOS.
Anlogamente, cuando se aplica una tensin de entrada negativa (o cero) el PMOS se cierra (pasa a
OL) pero el NMOS se corta. La disposicin de los interruptores cn la Fig. 4.3% equivale (aproximada.
La accin descrita es la de apertura y cierre de un interruptor mediante la tensin de cntradacontrolada.
Sin embargo, como no hay corriente en ninguno de los dos estados del interruptor la potencia disipada
por los transistores cs prcticamente nula (ciertamente slo se consume potencia en el CMOS durante el
intervalo dc conmutacin). La extremadamente poca potencia consumida en los circuitos CMOS es la
mayor motivacin para su extenso empleo.
Los circuitos analgicos metal-xido-semiconductor complementarios emplean frecuentemente la
configuracin rcpreentada en la Fig. 4-39~. El transistor PMOS proporciona la carga resistiva para el
NMOS que funciona como fuente gobernada.
La Fig. 4.3% corresponde al modelo dc pcquca scal (incremental) del circuito de la Fig. 4-39~.
Obsrvese que no est la fuente fi,,,2~,,2en el modelo del PMOS debido a que I(~; = 0 (la fuente y la puerta
estn ambas a tensin constante). La resistencia de carga rti,2 es normalmente del orden de decenas de
kiloohmios. Si tuviramos que empleu como carga una resistencia de valor r,,,,, esta ocupara mucho ms
espacio del chip del que ocupa el transistor PMOS, lo que cs una gran ventaja de la tecnologa CMOS en
circuitos analgicos.
Una segunda ventaja de este circuito cb la doble Incin que desempea el transistor PMOS: (1)
proporciona al circuito la resistencia en continua (anlogamente ala Fig. 4-26) y (2) provee la rcsistcncia
dc caiga en alterna (pequea seal). Los valores de estas resistencias pueden ser notablemente distintos
ya que las necesidades de la polarizacin y las del procesado de la seal difieren entre s. Por ejemplo,
supongan\ que r,,, = 20 kc1 sea la necesaria para tener la ganancia de tensin deseada. Si esta resistencia
tuviera que conductr una corriente de O,5 mA, la cada de tensin a trav& de ella sera de O,S x 20 = 10 V.
Frecuentemente una cada de tensin de esta envergadura exigirla una tensin de alimentacin mayor de
la que puede admitir el dispositivo empleado. Sin embargo, el transistor PMOS permite emplear niveles
de tensibn ms prcticos proporcionando al mismo tiempo la rcsistcncia incremental de 20 ka necesaria
para alcanzar la ganancia de tensin prevista. Recurdese que rd,? es la pendiente de la caracterstica de
salida en el punto de repo, y puesto que se esr operando en IU regin de saturacin donde la curva es
casi horizontal, r,,,?puede adquirir valores altos, del orden de decenas de kiloohmios.

REFERENCIAS

1 Hudg2,. D.E. y H.G. Jack\on: Auly\i\ and Dwgn oi DI@ Imegrated C~rcwts, Mctiraw-HIII Book
Company. Nueva York, 1983.
?. Clny, I.K. y K.G. Meya: Analys~s and Des@ ol Awlog Intcgrated Ciwun\, Lcd., John Wllcy ald Swr.
Nueva York, 1984.
3 Yang, E.S.: Eundamcntals oc Semiconductor Devicc5. 1vlcC;raw-Hill Book Company. Nueva York, IY78.

4 tircbcne. A.B.: B+ar and MOS Analog Integrted Cwa,ts Design, John Wiley and Suris, Nueva York.
1984.
5 Mullci, KS vT.1. Kmm\: Devicc Elcctronics for Intew~ted Circuit\, Juhn Wiley nd Sons, Nucva York.
lY77.
Transistores de efecto cnmpo 181

TEMAS DE REPASO

4-l. Citar tres propiedades de una fuente de corriente ideal gobernada por tensin.
4-Z. (a) Esbozar la estructura bsica de un JFET de canal n.
(h) Dibujar el smbolo del circuito de un JFET.
4-3. Dibujar la familia de caractersticas de drenaje de un JFET de canal ny explicar cualitativamente su forma.
4-4. Definir la tensin de estriccin.
4-s. Cmo se comporta un JFET ante: (a) pequeos valores de 1 VDS 1 y (b) grandes valores de 1 VDS 1?
4-6. Esbozar la seccin de un transistor NMOS de acumulacin.
4-7. Repetir el tema anterior para un transistor PMOS de acumulacin.
4-8. (a) Esbozar las caractersticas de salida y de transferencia de un transistor NMOS de acumulacin.
(h) Explicar cualitativamente la forma de las caractersticas del punto anterior.
4-9. iPor qu se prefieren los dispositivos NMOS a los PMOS?
4-10. Repetir el tema 4-X para: (a) un transistor NMOS de deplexin y (b) un transistor PMOS de deplexin.
4-11. iCul es el significado de la tensin umbral VT en un MOSFET: (a) en el modo de acumulacin, y (b) en el
modo de deplexin?
4-12. &Cut$les el significado de la relacin WIL en la corriente de drenaje de un MOSFET?
4-13. (a) Explicar verbalmente qu se entiende por modulacin de la longitud del canal.
(h) iQu efecto tiene la modulacin de la longitud del canal sobre la corriente de drenaje?
4-14. Explicar cmo se emplea la lnea de polarizaci6n para determinar la tensin y la corriente de reposo en un
I-irCllifOFFT
4-15. Dibujar los smbolos de circuitos empleados para los MOSFET con y sin sustrato conectado.
4-16. (a) Mostrar el diagrama del circuito de un dispositivo NMOS de acumulacin conectado a manera de
resistencia.
(h) Esbozar la caracterstica de resistencia.
4-17. Repetir el tema anterior para un transistor NMOS de deplexin.
4-18. Explicar cmo puede emplearse un FET como interruptor,
4-19. Explicar cmo puede emplearse un FET como amplificador.
4-20. Dibujar el modelo de pequea sefial y baja frecuencia de un FET y explicar el significado de cada elemento.
4-21. (a) iQu6 elementos deben aadirse al modelo del tema anterior para que el circuito equivalente sea vlido
a alta frecuencia?
(b) Cul es el origen fsico de estos elementos?
4-22. (a) Dibujar el circuito equivalente de pequea seal de una etapa en fuente comn.
(b) i,Por qu en este modelo no aparece la tensin de alimentacin Vo,?
4-23. i,QUk se entiende por Tecnologa CMOS?
4-24. (a) Dibujar esquemticamente el diagrama de circuito CMOS usado en aplicaciones dtgitales
(h) Explicar las ventajas de este circuito.
(c) $Iay algunos inconvenientes? Si los hay, ctense.
4-25. Repetir el tema anterior para un circuito CMOS analgico.
Fabricacin
de circuitos integrados

Un circuito integrado est formado por un monocristal de silicio de superficie normalmente compren-
dida entre 1 y 10 mm de lado, que contiene elementos activos y pasivos. En este captulo se describen
cualitativamente los procesos empleados en la fabricacin de tales circuitos. Estos procesos son: prepa-
racin de la oblea, crecimiento epitaxial, difusin de impurezas, implantacin de iones, crecimiento del
xido, fotolitografa, grabado qumico y metalizacin. Se emplea el proceso mltiple que ofrece una
excelente identidad de resultados en la produccin de un elevado nmero de circuitos integrados abajo
coste.
Cada paso de la fabricacin contribuye a las propiedades y limitaciones de los circuitos producidos.
Con los comentarios que siguen pretendemos dar una visin de conjunto de la tecnologa de los circuitos
integrados y las implicaciones de esta tecnologa en el disexio de circuitos. Trataremos particularmente de
la fabricacin de bipolares y MOS.

Lu Q/ R
+ \-
S,tGltO
r\l,lllL
s c2 EZ 82 BI El Cl J
184 Microelectrnica moderna

5-1. TECNOLOGA DE LOS CIRCUITOS INTEGRADOS MONOLTICOS


(MICROELECTRNICA)
El trmino monoltico SCderiva de las palabras griegas WKXUtqut: significa nico) y lithos (que
significa piedra). As un circuito integrado monoltico se construye en una nica piedra o cristal de
silicio. La palabra integrado se debe a que todos los componentes del circuito: transistores, diodos,
resistencias, capacidades y sus interconexiones se fabrican corno un ente nico. Obsrvese que no se
incluyen inductancias: una de las consecuencias de la construccin de circuitos integrados semiconduc-
tores es precisamente que no pueden conseguirse valores de inductancia prcticos.
La variedad de procesos con los que se fabrican estos circuitos se desarrollan sobre un plano nico y
por tanto puede hablarse propiamente de recnolquplunar. La Fig. S-la representa la estructura de un
integrado bipolar, lo que es la materializacin del circuito de la Fig. 5. Ih. (Esta es la fuente de corriente
introducida primeramente en la Sec. 3-l I ,) El circuito NMOS de la Fig. 5.2a descrito primeramente en
la Sec. 4-12 se construye como queda representado en la Fig. 5.2h. Como puede verse en las Figs. 5.la
y 5-2h estas estructuras estn formadas por varias capas de material. Estas varias capas son: las regiones
de silicio dopadas n y p, el dixido de silicio (SiO,) denominada tambin uqu de rxido, y las zonas
metlicas.
Las capas de silicio forman los elementos del sistema as como el suswaro o cuerpo en el que se
construye el circuito integrado. Adems las zonas de silicio se emplean para aislar unos de otros
componentes. Para formar las capas de silicio se emplean tres procesos distintos que son el epitaxial, el
de difusin y el de implantacin de iones.
Fabricacin de circuitos integrados 185
La capa de xido se utiliza para proteger la superficie del chip de los contaminantes externos y para
permitir la formacin selectiva de las regiones n y p, El xido se elimina por corrosin qumica que
descubre las partes de la superficie en las que se debern formar esas regiones n y p. Las zonas a corroer
se delimitan por tcnicas de fotolitografa.
La fina capa metlica se obtiene normalmente por deposicin qumica de vapor de aluminio sobre la
supertkie del chip. Para delimita los trazados se emplea la fotolitografa y mediante conosin se elimina el
aluminio sobrante dejando slo las conexiones entre componentes.
Las representaciones de las Figs. 5-l y S-2 son slo parte de un conjunto ms complejo; sobre una
oblea nica de silicio se fabrican simultneamente muchos de tales circuitos. El cristal de silicio (oblea)
forma el sustrato sobre el que se hacen todos los componentes del circuito.
En 1985 para fabricar circuitos integrados se empleaban obleas de 10 cm como la presentada en la
Fig. 5-3~. (Para la produccin comercial se han introducido las obleas de 15 cm de dimetro y se espera
que en 1990 se dispongan de 20 cm. El espesor de la oblea de 0.2 a 0,3 mm, da la suficiente resistencia
mecnica para impedir su flexin. Esta dimensin supera a la necesaia para alcanzar las caractersticas
elctricas exigidas a los componentes.) Completando el proceso de fabricacin, la oblea se divide en 100
a 8.000 partes rectangulares con 1 a 10 mm de lado (para los ms grandes). Cada una de estas partes
constituye un circuito nico como el de la Fig. S-3h que puede contener, como poco, desde unas decenas
de componentes hasta varios cientos de miles. La microfotografa de la Fig. 5-3~ comprende unos pocos
de los componentes contenidos en el chip de la Fig. 5.3h.
Ahora podemos apreciar algunas de las significativas ventajas de la tecnologa microelectrnica. Si se
fabrican de una sola vez un conjunto de 20 obleas de 20 cm equivale a fabricar simultneamente hasta
16O.OOOcircuitosintegrados,y sielpromediodecomponentesporcircuitofueratan slode70Oel conjunto
contendrams de 100 millones de componentes. Algunos de estos circuitos resultarn imperfectos debido
a defectos de fabricacin, pero an cuando los buenos fueran tan slo el 10% del total se habran producido
16.000 chips de una sola vez.
La tecnologa de los circuitos integrados presenta las siguientes ventajas respecto a las tcnicas
convencionales con elementos discretos interconectados:
1. Bajo coste (debido alas grandes cantidades producidas).
2. Tamao reducido.
3. Gran fiabilidad. Todos los componentes se fabrican simultneamente sin soldaduras y se reducen
los fallos tanto elctricos como mecnicos.
4. Mejores prestaciones. Debido a su bajo coste se pueden emplear circuitos ms complejos para
conseguir mejores caractersticas de funcionamiento.
5. Igualdad de caractersticas. Ya que todos los transistores se fabrican simultneamente y por el
mismo proceso, los parmetros correspondientes as como la variacin de caractersticas con la tempera-
tura tienen prcticamente los mismos valores.

5-2. EL PROCESO PLANAR


Tal como ya se ha indicado brevemente en la Sec. 5-l la tecnologa planar para la fabricacin de
circuitos integrados comprende seis o siete procesos independientes: (1) crecimiento del cristal del
sustrato, (2) crecimiento epitaxial, (3) oxidacin, (4) fotolitografa y grabado qumico, (5) difusin, (6)
implantacin de iones y (7) metalizacin. Examinaremos cada uno de ellos con mayor detalle.

Crecimiento del cristal del sustrato


Un fino cristal de silicio se sujeta a una varilla y se introduce en un crisol con sdicio fundido al que se
Fabricacin de crrcuitos integrados 187

han aadido impurezas aceptadoras. Seguidamente se retira muy lentamente en condiciones muy contro-
ladas la varilla del silicio fundido. A medida que se va extrayendo se va formando un lingote de cristal
tipo p de unos 10 cm de dimetro y unos 50 cm de longitud. Esta tcnica se conoce como proceso
Crochralski o simplemente CZ. Seguidamente se corta el lingote en obleas circulares de un espesor
aproximado de 0.2 mm que formarn el sustrato sobre el que se fabricarn todos los componentes
integrados. Una de las caras de la oblea se lapida y pule para eliminar las imperfecciones superficiales
antes de proseguir con el siguiente paso.

Crecimiento epitaxial
En la fabricacin de circuiros mtcgrados se emplea el proceso epltaxial para crecer una capa de silicio
como ampliacin de la existente en la oblea del mismo material. Este crecimiento se lleva a cabo en un
horno especial llamado reactor donde se introducen las obleas de silicio calentndola hasta 900 a l.COOC.
En la tecnologa corriente, corno origen del silicio a recrecer se emplea la reduccin de los gases. Si H, o
Si Cl,. El primero de stos tiene la ventaja de necesitar menos temperatura y tener un crecimiento ms
rpido que con el segundo.
La reaccin qumica para la reduccin del SiCL, es

Ix)Ic si + 4HCI (5.10)


SICI, + ?H,
y para la del SiH,

Una capa epitaxial de tipo II, normalmente de 5 a 25 pm (1 prn = 10 m) de espesor se crece sobre un
sustrato de resistividad aproximada de 10 R cm, lo que corresponde a N,? = 1,4 x lOI tomosicm. El
proceso epitaxial descrito indica que se puede escoger la resistividad de la capa epitaxial de tipo II
independientemente de la del sustrato. En general, para la capa tipo n se toman valores de 0.1 a 0,5 R cm.
Puesto que es necesario producir capas epitaxiales con una concentracin dada de impurezas, hay que
Introducir impurezas tales como PH, para el dopado tipo II o B,H, para el tipo p en los vapores de
SiCl,-hidrgeno. Existe un aparato para el control preciso y fcil de impurezas que consiste en un tubo
largo de cuarzo envuelto por una bobina de induccin a radiofrecuencia. Las obleas se colocan en un
soporte de grafito y ste se introduce en el reactor calentando el grafito hasta unos 1.200 C. Un puesto
de control introduce y elimina los varios gases requeridos para acrecentar debidamente las capas
epitaxiales. Con esto se puede formar una unin abruptapn semejante a la de la Fig. 2-l.

Oxidacin
Para el xito de la tecnologa del silicio se requiere habilidad para depositar una capa de xido sobre
la superficie del silicio. Las caractersticas sobresalientes del SiO, como pasivador son:
1. Puede eliminarse con cido fluorhdrico HF al que la capa de silicio es resistente.
2. Las impurezas empleadas para el dopado del silicio no penetran en el dixido SiO,. As cuando se
emplean las tcnicas del enmaxxamiento (descritas en el apartado s?fotolitografan) se puede lograr un
dopado selectivo de zonas especficas del chip.
Muy frecuentemente la oxidacin trmica del silicio se lleva acabo en presencia de vapor de agua. La
reaccin qumica es:

SI - LH,V - SIVI + 2H, (5-2)

El espesor de las capas de xido est generalmente comprendido entre 0,02 y 2 krn, y el valor que se
elija depende de la barrera necesaria para evitar la penetracin del dopante. En el espesor de la capa de
SiO influyen varios factores tala como la temperatura del proceso, la concentracin de impurezas y el
tiempo de procesado.
A menudo se emplea como pasivador el nitruro de silicio, Si,N,, debido a su\ propiedades pal- cl
enmascarado. Es frecuente emplearlo como separador entre dos capas de SiO?. El nitruro impide la
penetracin del dopante en la capa subyacente de SiO, (esencial en los MOS). La capa exterior de dixido
de silicio obtenida por deposicin qumica de vapor, recubre completamrnrp PI chip al que sirve de
proteccin contra roces y daos mecnicos.

Fotolitografa
La tcnica monoltica descrita en la Sec. 5-l rcquxre la eliminacin xlrctiva del SiOx para formar
aberturas por donde puedan difundirse las impurezas. El procedimiento empleado para esta eliminacin
es el de fotocorrosin representado en la Fig. 5-4. Durante el proceso fotolitogrfico se recubre la oblea
con una pelcula uniforme de una emulsin fotosensible. Se dibuja una representacin amplia en blanco
y negro de las zonas que han de quedar abiertas y cerradas, reducindose luego este dibujo fotogrfica-
mente. El negativo ya reducido ala dimensin adecuada se coloca a manera de mscara sobre la emulsin
como SCve en la Fig. 5-4. Sometiendo la emulsin a los rayos ultravioletas a travs de la mscara se
polimeriza la fotorresina bajo las zonas transparentes d& la mscara. Se retira luego dicha mscara y se
WY& la oblea mediante un producto qumico (tal como el tricloroetileno) que disuelve las partes no
expuestas (no polimerizadas) de la emulsin dejando la superficie como en la Fig. S-4h. La emulsin que
no se ha eliminado con el revelado se fija para que resulte reciente a los productos cor~~ivos que se
cmplcarn a continuacin. El chip se sumerge ahora en una &olucin corrosiva de cido fluorhdrico que
eliminar el xido de las zonas a trav& de Iab que deber difundirse el dopantc. Las porciones de SiO?
protegidas por la pclicula no quedan afectadas por el cido (Fig. 5-4~). Una vez difundidas las impurezas,
la mscara restante se elimina mediante un disolvente qumico (tal como el H,SO, caliente) y por abrasin
mecnica. En el proceso descrito se emplea una fotorresina negativa, aunque tambin se emplea la positiva
en la que las paltes del polmero expuestas son eliminadas con lo que se retiene el material no expuesto.
Los siguientes pasos del proceso son independientes del tipo de fotorresina empleado.
Fabricacin de circuitos integrados 189

La confeccin de una mxara fotogrfica cs una cucbtin complicada y costosa. Una vez determinada
ladisposicindel circuito seprrparaundibujo agranescalaencl que figure lalocali~acinde las aberturas
en las que deber eliminarse el SiOx para un determinado paso del proceso. Invariablemente la disposicidn
delchipseobtieneconlaayudadeunordenador. Eldibujose haceaescaladealr~dedorde500/1 quedando
de un tamaoms fcilmente manejable parael dibujante. Esta tcnica permite controlar I ym en el proceso
de produccin, con una resolucin entre lneas adyacentes de 2 pm.
El dibujo del circuito se subdivide en varios niveles, denominados nivelrs de enmnsmwudo, que se
usan en la fabricacin del chip. Por ejemplo, en un dispositivo MOS la disposicin de puertas est en un
nivel, las ventanas de contacto de fuente y drenaje en otro, etc. Por procedimientos pticos manejados por
ordenador FZ convierte el dibujo en informacin digital y se transfiere a una lmina fotosensible. Esta
lmina, en la que el modelo queda reducido unas 100 veces, puede usarse directamente sobre el chip o en
combinacin con una cmara para una segunda reduccin de 5 a 10 veces. Las imgcncs bidimensionales
en las varias lminas constituyen las ms caras empleadas para cada uno de los pasos siguientes en la
fabricacin de los circuitos integradoa. (Implantacin de iones, oxidacin, metalizacin, etc.)
Los menores detalles que se pueden obtener con el proceso fotolitogrfico descrito quedan limitados
por la longitud de onda de la luz. Los haces de electrones tienen una onda mucho ms corta que las
radiaciones pticas y son capaces de definir zonas mucho ms pequeas. Por lo que actualmente para la
preparacin de mscaras se emplea la litografa con haces de electrones. Un ha/ muy fino de electrones
barre una mscara recubierta con una resina sensible a los electrones. De esta forma el diseo queda
impreso en la mscara. Las ventajas de esta forma de preparar las mscaras consisten en una mayor
precisin, la supresin de dos etapa de reduccin fotogrfica y la reduccin de tiempo. En la produccin
industrial, el mayor coste del equipo necesario queda compensado por las ventajas que aporta.

Histricamcntr la difusIn de impul-ezas fuc el paso declswo en el procev~ planar. Aun antes de la
mrroduccin de los circuitos integrados ya se empleaba este mtodo en la produccin de transistores
discretos. La introduccin de impurezas a concentraciones controladas se lleva a cabo en un horno de
difusin a unos 1.OOOC y durante una o dos horas. Un horno de difusin aloja normalmente 20 obleas
en un soporte de cuarzo dentro de un tubo tambin de cuarzo. La temperatura debe regularse cuidadosa-
mente de forma que sea uniforme en toda la xma. Las fuentes de impurezas pueden ser gases, lquidos o
slidos puestos en contacto con las superficies de silicio cn el interior del horno. Como impurezas gaseosas
generalmente se utilizan hidruros de boro, arsnico y fsforo. Un gas inerte (nitrgeno) conduce los tomos
de impuraa hasta la superficie de las obleas desde donde SCdifunde en el silicio.
Para mayor simplicidad de los dibujos, en todas las secciones transversales que figuran en los esquemas
de este captulo, lar zonas de difusin lateral (Fig. 5-50) figuran como verticales cuando en realidad si se
190 Microelectrnica moderna

abre una ventana en la capa de SiOz y por ella se introducen impurezas, stas se dltunalrn lateralmente
la misma distancia que lo hacen verticalmente. Por tanto las impurezas avanzarn por debajo de la capa
pasivadora de xido y el perfil de las uniones debera trazarse ms realsticamente como en la Fig. 5-S.
En un transistor bipolar se emplean frecuentemente dos difusiones de impurezas. Para un dispositivo
npn laprimeraesladifusinde la base tipopenelcolectorrecrecidoepitaxialmentede tipon. Y la segunda
es la de la regin emisor de tipo n en la base tipo p. La Fig. 5-6 representa el perfil de impurezas tpico de
un transistor monoltico npn con doble difusin.
La concentracin N,, en el colector epitaxial est representada por la lnea de trazos de la Fig. 5-6. La
concentracinN de boro es alta (5 x 10 tomoskm) en la superficie y va decreciendo dentro del silicio
comopuedeverseen lafigura.Aladistanciax = x,en laqueN se igualaaN,, ladensidad netade impurezas
es nula. Para x CC, la concentracin neta de impurezas es positiva, y si x > x, ser negativa. Por tanto J
es la distancia desde la superficie a la que se forma la unin de colector. Para el transistor cuyo perfil de
impurezas es el de la Fig. 5-6, ,r, = 2.7 pm.
La difusin de emisor (fsforo) parte con una concentracin superficial mucho ms alta (prxima a la
solubilidad slida) de unos 10 tomosicn? y penetra hasta 2 km en donde se forma la unin de emisor.
Esta unin se corresponde con la interseccin de las distribuciones de impurezas de base y de emisor.
Puede verse que el espesor de la base de este transistor monoltico es de O,7 pm. Normalmente se trata la
unin emisor-base como abrupta, mientras que la de base-colector se considera como linealmente gradual
debido a la variacin ms lenta de la concentracin en funcin de la distancia.

Implantacin de iones
La implantacin de iones es un segundo procedimiento para introducir impurezas. Un haz de iones
apropiados (boro para el tipop y fsforo para el tipo n) se aceleran con energas entre los 30 y los 200 ke V.
La profundidad de penetracin se determina por la energa de aceleracin y por la concentracin de iones
Fabricacin de circuitos integrados 191

dopantes. Este procedimiento se emplea frecuentemente donde se requieran capas finas de silicio dopado
como es en la regin de emisor de un BJT, el canal en un MOSFET y la regin de puerta de un JFET. En
estas zonas finas la implantacin de iones permite controlar mejor la concentracin de dopado que el
procedimiento de difusin. La capa de SiOl pasivada forma una verdadera barrera frente a los iones
implantados con lo que slo quedan dopadas las zonas definidas fotolitogrficamente. Tambin es
ventajosa la implantacin de iones porque se realiza a baja temperatura. En consecuencia, las regiones
previamente difundidas (o implantadas) tienen menos tendencia a extenderse lateralmente.
Otra particularidad del proceso de implantacin de iones es que el potencial de aceleracin y la
concentracin de iones dopantes se regulan elctricamente desde fuera del aparato en que se produce
la implantacin. Por el contrario, en el proceso de difusin debe controlarse la temperatura sobre toda la
superficie dentro del horno. Todas estas ventajas han hecho que la implantacin de iones se convierta en
el principal procedimiento en la fabricacin de circuitos integrados.

Metalizacin
La metalizacin se emplea para formar las interconexiones entre los componentes de un chip. Estas
conexiones se forman depositando una tenue capa de aluminio (el conductor ms frecuentemente usado)
iobre toda la superficie del chip. La deposicin se consigue por evaporacin en alto vaco en el interior
de un recipiente. Se calientael aluminio hasta que se vaporice. Las molculas gaseosas formadas irradian
uniformemente en todas direcciones y cubren completamente la superficie de la oblea. Las trayectorias
de las conexiones se definen con una mscara eliminando por corrosin el aluminio sobrante. En esta
Seccin hemos descrito la tcnica plana relativa a la fabricacin de circuitos monolticos integrados.
Hemos tocado los siete procesos citados al principio de la Sec. 5-2. En las cuatro secciones siguientes
describiremos las secuencias en estos procwx necesarios para fabricar transistores, diodos, resistencias
y condensadores.

j-3. FABRICACIN DE TRANSISTORES BIPOLARES


En esta seccin pretendemos describir la fabricacin del BJT planar para circuitos monolticos
mediante los procesos tratados en la Sec. 5-Z. Para seguir la secuencia de fabricacin nos centraremos en
laconstmccindedos transistoresnpnenlafuentedecorrientedelaFig.S-lh. EnlaSec. 5-8describiremos
la fabricacin de resistencias.

Fabricacin de transistores
Una vez preparada la oblea (el sustrato tipop) se crece una capa epitaxial tipo n, tal como sz ve en la
Fig. 5.70. Esta capa forma las regiones de colector de los transistores. Seguidamente se deposita una capa
de xido para cubrir la superficie. Ahora deben aislarse entre s las regiones de ambos transistores. Para
ello se forman tres ventanas en el SiO? (Fig. 5-7h) mediante fotolitografa y corrosin. Se difunde una
reginpen lacapaepitaxial expuesta hastaque alcance el sustrato. Este proceso establece una islaaislada
alrededor de cada transistor como se ve en la vista superior de la Fig. 5-7~. El crislamiento elctric se
consigue conectando el SUS~IYZ~O a la tensin ms negativa del circuito. Con esto se garantiza que la unin
pn entre los colectores y el sustrato permanezca con polarizacin inversa.
Una vez completada la difusin de aislamiento se recubre nuevamente la oblea con una capa de SiO?.
Con una nueva mscara se forman las ventanas en las que se difunden las bases de tipo p como se ve en
la Fig. 5.7d, quedando definidas las regiones de las bases en la vista superior de la Fig. 5.7e.
lY2 Microrlectrnica moderna

Se recrece una capa de SiO2 para cubrir la oblea despus de la difusin de la base. Con una terccera
mscara y un proceso de corrosin se elimina el SiO, como preparacin para la difusin superficial de
emisor (Fig. 5. 7fl. Obsrvese que tambin se difunde una regin n+ en la regin de colector de cada
transistor. Aqu se hace el contacto en aluminio del colector, y la zona ti+ contribuye a formar un buen
Fabricactn de circuitos rntegrados 193

contacto hmico (vase la Sec. S-7). Despus de la difusin de colector se crece otra capa de SiO? sobre
la superficie de la oblea.
El ltimo paso del proceso es la metalizacin. La capa de xido se graba con una cuarta mscara para
descubrir la oblea all donde SCdeseen los contactos. Para recubrir toda la superficie se vaporiza aluminio;
el sobrante se elimina qumicamente (una sexta mscara) dejando los contactos y las conexiones deseadas.
En la seccin transversal de la Fig. 5-7~ y en la YIS~Rsuperior de la Fig. 5.7h puede verse el resultado de
esta secuencia. La Fig. 5-7~ es idntica a la Fig. 5-1~ para Ql y Q2.
Las dimensiones sealadas en la Fig. 5-7 son las tpicas empleadas en la fabricacin comercial de BJT
de pequea geometra>>. Al construirse ambos transistores simultneamente y fsicamente prximos, sus
caractersticas elctricas son prcticamente idnticas. Para fabricar transistores con propiedades elctricas
distintas, normalmente se modifica la geometra del dispositivo. En particular, para obtener BJT de mayor
corriente (aumento de IF)) se aumenta la superficie del emisor, con lo que todo el dispositivo queda
aumentado. Empricamente se acostumbra a limitar a lo:1 la relacin entre las superficies dc emisor de
transistores muy prximos entre s, y ello debido 8 las limitaciones del proceso de difusin.
En la fabricacin de circuitos integrados comerciales corrientemente se emplea la implantacin de
iones cn las monas de emisor y de base. Estas regiones son muy tenues y puede regularse mejor su espesor
mediante la implantacin. Adems, como la implantacin se realia a menor temperatura que la difusin
se minimiza el inconveniente de la difusin lateral de base y emisor.

Capa enterrada
La fabricacin del BJT indicaos en IU Fig. 5-7 casl sux~~pre SCmodifica aadiendo un nuevo paso al
proceso como cn la Fig. 5-X (y en la S-7). Las dos regiones II+, conocidas como <~qx~eniemzda, entre las
capas n y p se dcposiran antes del crecimiento epitaxial. Recurdese que con el smbolo n+ se designa una
regin n con mayor concentracin de dopado que otra designada Gmplemente como de tipo n. La
utiliacin de las regiones ncumple dos funciones: (1) mejora la formacin de la capa epitaxial, y (2) la
mayor densidad dc electrones en la capa PI+reduce la resistencia en serie entre la unin de colector y el
terminal del propio colector (ver Sec. 3-7).

Fabricacin del pnp


La gran mayora de transatore~ bipolares mtegrados son,q~, s, bien en algunos CIICUIVX se nece~nan
los npn. Por ejemplo. en el par de emisor acoplado descrito cn la Sec. 3-12. Las resistencias de colector
lY4 Microelectrnica moderna

generalmente se lo&ran utilizando un par de transistoresPnp en configuracin de fuente de corriente. Las


do3 clases de tales transistores ms corrientemente empleadas son el pni> larerul y el ~x~pwrrirui.
En la Fig. S-8 puede apreciarse que la base, el colector y la regin aislada forman un transistorpn,,
parsito. El trmino lateral se refiere al hecho de que los tres elementos estn ubicados en un plano
horizontal contrariamente al plano vertical de los transistores npn. Anlogamente, un dispositivo pnp
vertical parsito se forma por la base y el colector del transistor npn y el sustrato de tipo p. Estas
observaciones conducen a la fabricacin de los dos tipos de transistores pq~ empleados en circuitos
integrados.
El pnp lateral, cuya seccin transversal es la de la Fig. S-9, se forma implantando las regiones tipo p
de emisor y de colcctoral mismo tiempo que se fabrican las bases de dispositivos nl,n. Asimismo se fonmm
simultneamente el contacto II de base del transistor~vz~? y los emisores II+ del BJT quz. As vemos que
tanto los transistores npn como lospnp se fabrican segn las mismas secuencias del proceso. Todo lo que
se necesita para clpnp son ventanas adicionales en las mscaras.
El transistor lateral pnp tiene un valor de (3,considerablemente menor que el del npn. Esto es debido
a que el emisor de tipo/, no puede inyectar portadores minoritarios en la base tipo II con la misma eficacia
que lo hace el emisor tipo n en la base tipo/) de un BJT npn. Adems, la mayor rea de la base y el hecho
de que algunos de los huecos inyectados migren hacia el sustrato hace que disminuya el nmero de huecos
que llegan al colector. Por tanto, los transistorespn,, laterales se emplean generalmente en circuitos con
poca corriente de colector.
El transisrorpnp vertical se emplea donde se quieran mayores corn~entes y potencias. En la Fig. 5.10
est representado este dispositivo y en ella se ve que tambin puede fabricarse simultneamente y con los
mismos procesos empleados para los transistores qvz. Los dos pasos simultneos son: (1) la fabricacin
de las regiones,, de emisor del transistor~n,, y las bases de los npn, y (2) la fabricacin de la regin JI+
de base del sustrato,q~ y los emisores de los transistores npn.

r b c <

Ya hemos hecho notar que el sustrato debe conectarse ala tensin ms negativa del circuito. Por tanto,
un transistorpnp vertical slo se puede utilizar si su colector est a una tensin negativa fijada. A esta
configuracin se le denomina seguidor de emisor y ser comentada en la Sec. 10.10.
Fabricacin de circuitos integrados 195

Transistores de emisor mltiple


La densidad de componentes de un circtnto Integrado puede mejorarse utilizando racmnalmente las
dimensiones chip. Se puede ganar espacio empleando un conjunto de dos o ms dispositivos en un mismo
chip que compartan una o ms regiones en comn. Los transistores reunidos ms comnmente empleados
son los de emisor- mltiple cuya disposicin, representada en la Fig. 5-l 1 es la base de la I&a
transisror-r~ansistor (TTL o TL) que se tratar en la Sec. 6-l 1. En la Fig. 5-l 1 cada lnea de emisor puede
considerarse como el emisor de un transistor separado y cada uno de ellos comparte con los dems una
base y un colector comunes. Efectivamente, esta disposicin simula las dos configuraciones equivalentes
de la Fig. S-12. Se han fabricado transistores de emisor mltiple con ms de 60 lneas.

El transistor Schottky
Paraaumentarla velocidaddefuncionamientodelcircuitoesnecesarioevitarquelos transistoresentren
en saturacin (Sec. 3-8) lo que se puede conseguir empleando un diodo Schottky como enlace entre base
y colector, como en la Fig. 5.l3a. Si se intenta saturar este transistor aumentando la corriente de base, cae
la tensin de colector, D conduce y la tensin base-colector queda limitada a unos O,4 V. Puesto que la
tensin de colector queda polarizada en directo a tensin inferior a la umbral (0.5 V) el transistor no entra
en saturacin (Sec. 3-6).
Como puede apreciarse en la Fig. 5-13h la metalizacin de aluminio para formar el terminal de base
hace contacto tambin con la regin n de colector (pero sin intervenir la regin n). Esta simple disposicin
196 Microelectrnica moderna

forma un diodo metal- semiconductor entre bahe y colector. El dispositivo de la Fig. 5. 13h es equivalente
al circuito de la Fig. 5-13~. Esto constituye un transistor Schottky que se representa con el smbolo de la
Fig. 5.13c.. Obsrvese que puesto de la unin metal-semiconductor se forma durante el proceso de
metalizacin, este transistor requiere el mismo nmero de pasos en la fabricacin que un dispositivo npn.

El transistor Schottky SCemplea en circuitos digitales para aumentar la velocidad de conmutacin.


Existe un cierto retraso (tiempo de almacenamiento) al pasar un transistor de ON (saturacin) a OFF(corte)
porque hay que eliminar primero el exceso de portadores minoritarios en la base. Conectando el diodo
Schottky cntre base y colector se evita que el transistor llegue a saturacin con lo que prcticamente se
anula el tiempo de almacenamiento. (Recurdese que el almacenamiento de portadores minoritarios en
un diodo Schottky es prcticamente nulo.)

Transistores Super-f3
En un transistor monoltico npn el valor tpico de p,, y& del orden de 1SO. Este valor puede aumentarse
hasta 2.000 o 5.000 mediante la implantacin (o difwon) de la regin de emisor en la regin de base de
tipop (Fig. S-7J). Los dispositivos fabricados de esta forma se denominan trrrnsi~tore.s super-P. Este mayor
valor de pl es consecuencia de ser la capa de base ms fina de lo usual, lo que va acompaado de una
disminucion de la tensin de ruptura de la unin emisor-base. Por eso estos transistores se utilizan
nicamente en circuitos en los que tal unin quede sometida a tensin baja.
Fabricacin de circuitos rntegrados 197

5-4. FABRICACIN DEL FET


Dexribicndo el proceso secuencia de la elaboracin del NMOS de acumulacin y de dispositivos de
deplexin, queda explicada la fabricacin de transistores MOS (Fig. 5.2). En esta Seccin se incluye la
construccin del JFET.

Fabricacin del NMOS de acumulacin


El primer paso consiste en recubrir completamente una oblea de tipo n con una capa de nitruro de
silicio (SiIN,). En la Sec. 3-2 vimos que los dopantes empleados penetran menos fcilmente en el Si,N,
que en el SiO,. El primer paso de mscara y corrosin se usa para definir una zona suficientemente amplia
para abarcar la fuente, puerta y drenaje. El Si,N, se elimina qumicamente de la superficie exterior de la
zona del transistor. Seguidamente se implanta una capa@ junto ala superficie expuesta del sustratop. La
implantacinp sirve para aislar entre s dispositivos adyacentes como se explic en la Sec. 5-3. Esta parte
del proceso secuencial se completa creciendo unacapa (1 pm) de SiO, sobre la reginpimplantada, como
se indica en la Fig. S-140 (la regin Si,N, no queda afectada por la oxidacin).
En la segunda parte del proceso se elimina el Si,N, (pero no el SiO,) sobrante por medio de una
corrosin selectiva recreciendo trmicamente sobre la zona del transistor una fina capa de SiO, (Fig.
S-14/7). Este proceso facilita la capa de xido que hay debajo la puena de los transistores.
AhorasedepositasiliciopolicristalinoIlamadomscomnmentepolisiliciosobre laoblea. Un segundo
proceso fotolitogrfico define la zona de puerta y elimina el exceso de polisilicio. Las Figs. S- 14~ y 5.l4d
representan la seccin transversal y la vista superior del chip. Las puertas de polisilicio reducen la tensin
umbral VT por debajo de la obtenible con puertas de metal, yen consecuencia pueden emplearse tensiones
de alimentacin ms bajas por lo que la mayora de MOS integrados comerciales se fabrican con puertas
de polisilicio.
Las regiones de fuente II+ y de drenaje se obtienen normalmente por implantacin de iones. El xido
del campo y la puerta de polisilicio impiden que los dopantes penetren por debajo de esas regiones. Sin
embargo, s penetran en la fina capa de xido permitiendo la formacin del drenaje y de la fuente. A
consecuencia de la implantacin de iones se auto-alinean puerta y fuente, y puerta y drenaje y puesto que
estos electrodos no se superponen, las capacidades entre ellos C, y C,, quedan muy reducidas.
Despusdelaimplantacindefuenteydrenajeserecubretodalaobleaconunacapaprotectoraaislante
(normalmente de Si0 ). Para definir las conexiones al dispositivo (incluido el cuerpo B) y dejar al
descubierto por corros& las superficies de contacto se emplea una tercera mscara. Luego se vaporiza
aluminio sobre el total y con una cuarta mscara se perfilan las conexiones del circuito (Fig. 5.14~ y 5.l4f).
Obsrvese que la Fig. 5.14~ corresponde a Ql de la Fig. 5-l h

Autoaislamiento
La implantacinp de laa Figs. 5. I-ley 5.1st acta como resistencia baja del contacto B al sustrato del
MOSFET. Normalmente la fuente y el cuerpo estn conectados entre s como en la Fig. 5.14e con lo que
el diodo fuente-sustrato est en corte. En un NMOS la polaridad de la tensin del drenaje es positiva
respecto a la fuente y por tanto respecto al sustrato p. Por tanto. el diodo drenaje-sustrato est en corte
(Fig. S- 14~). Evidentemente no se necesita ninguna isla aislada en un transistor MOS y la corriente queda
confinada al canal entre D y S. En un BJT la difusin de aislamiento ocupa una proporcin muy alta de
la superficie del transistor, y esta carencia de lmites del aislamiento hace que la densidad de empaquetado
del MOSFET sea unas 20 veces mayor que en el transistor bipolar integrado
198 Microelectrnica moderna

Capa de xido
n t

Regin de fuente n4 Re@ de drenqe n+

Transistores NMOS de deplexin


La fabricacin de los MOSFET de deplexin eh semejante a la de los de acumulacin. El nico paso
adicional que se requiere es el de implantacin del canal II (vase Q2 en la Fig. 5.2h). Este se forma antes
de la deposicin de la capa de puerta de polisilicio y supone un paso ms de mscara y corrosin.
El proceso NMOS de cuatro mscaras descrito es el ms sencillo que se puede emplear. Para mejorar
el rendimiento y tener un control ms efectivo sobre las propiedades elctricas del transistor, muchos
procesos industfiales emplean un mnimo de siete m&xras.

Largo y ancho de puerta


Fabricacin de circuitos integrados 199

geometra del dispositivo. Segn la Ec. (4-5) la corriente de drenaje 1, vara con WIL, relacin entre el
ancho y la longitud de puerta. La mayor parte de los chips de alta densidad (VLSI) emplean elementos de
las mnimas dimensiones alcanzables (2 pm en 1986). Para WIL = 1 tanto el ancho como el largo de puerta
pueden ser de 2 km. Para hacer que WK = 1/4 como en el Ejemplo 4-4 se emplea el ancho mnimo y se
aumenta L cuatro veces, resultando as una puerta de 2 x 8 mm.
Todos los dispositivos fabricados de esta forma son para corrientes dbiles (50 a 300 pA como se ve
en las Figs. 4-12 y 4-17). Para aumentar el nivel de corriente hasta por ejemplo 1 mA, se pueden construir
MOSFET con WIL = 4 o W = 8 lm y L = 2 pm. Tericamente se puede aumentar WIL para tener cualquier
nivel de corriente deseado. Sin embargo al aumentar el rea de la puerta se aumenta tambin la capacidad
del dispositivo lo que a su vez afecta desfavorablemente sobre la velocidad de funcionamiento, y por ello
es raro que se fabriquen MOSFET con una relacin WK mayor que 10.

Fabricacin de JFET
Un FET de canal n se fabrica por el proceso de elaboracin de un bipolar. La capa epitaxial qut
constitua el colector del BJT ahora se convierte en el canal n del JFET. Como se ve en la Fig. 5-15 las
islas aisladas se difunden en la capa epitaxial n para separar los dispositivos individuales. La regin de
puertap es implantada (o difundida) en el canal n y se crece una tenue capa de xido. Luego se recubre
toda la oblea con SiO?. El enmascarado y corrosin definen las superficies de contacto para los terminales.
Frecuentemente las regiones n+ se implantan debajo de las regiones de los contactos de drenaje y de fuente
para tener unos buenos contactos hmicos. Seguidamente se recubre el todo con una capa de aluminio y
con una ltima mscara se perfilan las interconexiones deseadas. El proceso se completa eliminando por
i corrosin el aluminio en exceso.
200 Microelectronica moderna -

5.5. TECNOLOGA CMOS


Los circuitos complementarios metal~xldo~semiconductor requieren que los transistoreh NMOS y
PMOS de acumulacin se fabriquen ambos en un mismo chip. Para conseguirlo hacen falta por lo menos
dos pasos adicionales. El circuito CMOS de la Fig. 4-38a, repetido para mayor comodidad en la Fig. 5.16b
est fabricado como se representa en la Fig. 5-16~. En esta misma figura se ve que el transistor PMOS se
ha construido en un asiento de tipo n implantado o difundido en el sustrato p. La regin tipo n acta de
cuerpo B2 o de sustrato del transistor PMOS, y para obtener esa regin se necesita por lo menos otra
mscara y otra corrosin. El segundo paso adicional requerido es el de la implantacin de iones de las
regiones de fuente y drenaje tipop del PMOS. El resto de los procesos para formar las regiones de xido,
las reas de puerta de polisilicio y la metalizacin son idnticos a los correspondientes a los transistores
NMOS de acumulacin.
Como siempre, la configuracin del circuito es la que determina la mscara de metalizacin. Por
ejemplo, el inversor de la Fig. 5.16h necesita que se formen conexiones entre D 1 y 02 as como entre G 1
y G2.
Se disponen conexiones al sustrato separadasB1 y 82. Obsrvese que Bl est unido a SI y conectado
a la menor tensin (0 V en la Fig. 5.l6h) mientras que B2 est conectado a S2 y mantenido a la mayor
tensin positiva V,,. Puesto que BI es de tipop y 82 de tipo n el diodopn que se forma entre estas zonas
est con polarizacin inversa, con lo que automticamente el NMOS y el PMOS quedan aislados entre s.
Hayqueobservarqueel transistorPMOSocupamenosespacioenelchipqueel NMOS. Estoesporque
la movilidad de los huecos es menos de la mitad que la de los electrones. El factor k de la Ec. (4-4) es
directamente proporcional a la movilidad y para que ambos transistores conduzcan la misma corriente,
W/.L debe ser mayor en el PMOS que en el NMOS.

5-6. DIODOS MONOLTICOS


En la fuente de corriente de la Fig. 5.lh la base de Ql et en wrtucirculto con el colector, aun lo que
extste una unin diodo entre los terminales de emisor y de base. Esta es una de las cinco conexiones
posibles. Las tres configuraciones de diodos ms corrientes son las representadas en la Fig. 5.17, que se
Fabricacin de circuitos integrados 201

Cld Cmk i\Od comn

Aydu 1 R Aydo CSydo ~ r ; C?

obtienen a partir de una estructura de BJTcmpleando el diodo emisor-base con el colector en cortocircuito
conlabase (Fig. 5-17a),el diodocolector-baseconel colectorabierto(Fig. S-17h)yeldiodocolector.base
con el emisor en circuito abierto (o no construido siquiera) (Fig. 5. 17~). La eleccin de uno u otro tipo
de diodo depende de las aplicaciones y prestaciones deseadas. Los diodos colector~base son los que tienen
mayor tensin de ruptura nominal (-12 V mnimo) y son adecuados para formar sistemas de diodos con
i
ctodo comn difundidos en una sola isla aislada, como en la Fig. 5~18~. Tambin pueden construirse
dispositivosconnodocomnconladifusincolector-basecomoenlaFi&.5-l8h. Esteltimocasoprecisa
I un aislamiento individual para cada diodo y los nodos se conectan por metalizacin.
Se emplean mucho las regiones de emisor y de base para formar diodos, siempre que las tensiones
mvcrsas requeridas por el circuito no excedan la menor tensin de ruptura base emisor (-7 V). Pueden
formarse fcilmente dispositivos de nodo comn mediante la difusin de emisor y de base empleando
un transistor de emisor mltiple en una sola ~onii aislada. Esta estructura es la misma que la de la Fig. 5-I 1.
El colector puede conectaise a la base (Fig. 5.170) o dejarlo abierto !flotante) como en la Fig. 5.17h.
202 Microerectrnica moderna

Caractersticas del diodo


Las cai-acteristxas directas tensin-corricnrc dz Ioh tre, npos de diodos cnada antcr~um~c~~teson laz
representadas en la Fig. 5- 19. Puede observarse que el transistor conectado como diodo (emisor-base co
el colector cortocircuitado con la base) provee la mxima conduccin para una tensin dada. El tiempo
inverso de recuperaan de este diodo es tres o cuatro veces menor que el del diodo colector-base.

5-7. CONTACTO METAL-SEMICONDUCTOR


Son posibles dos tIpos de unin entre metal y semiconductor: la hmicu y la wct@~zdwu. La pnmwa
eslaadecuadacuandohadeconectarunterminal aunsemiconductor.Porotraparteelcontactorectificador
es un diodo metal-%miconductor denominado bar-I-em Schottky (Sec. Z-12).
Ya x indic en la Sec. S-1 que el aluminio acta como impureza tipo p cuando est en contacto con
el \ilicio. Si el aluminio se emplea corno terminal de un silicio tipo n y se pretende tener un contacto
hmico. debe evitarse la formacin de una uninpn. Por este motivo se hacen difusiones n* en las regiones
,I cerca de la \uperficie en que \e deposita el aluminio (Fig. 5.7~). Por otra parte, si se prescinde de la
difusin II- y x deposita directamente cl aluminio sobre el silicio tipo n se formar una estructura
equivalente a una unin JI,* resultando un excelente diodo metal-semiconductor. En la Fig. 5-200 el
contacto 1 es una barrera Schottky, mientras que el contacto 2 cs hmico (no rectificador) existiendo un
diodo Schottkv entre ambos terminales como se ve en la Fig. 5.20h.

5-X. RESISTENCIAS INTEGRADAS


En los circuitos integrado\ monolticos se obuenen las res~encns utilizando la resistividad de
volumen de una de las regiones del transistor. La tcnica ms corriente cs usar la regin difundida o
Fabricacin de circuitos integrados 203

implantada de tipop del transistor bipolar. Aunque tambin puede usarse la capa epitaxial y la regin n+
del emisor. Con la tecnologa MOS a veces se emplea la capa de palisilicio. Tambin se emplea para
formar resistencias el sustrato tipo n del transistor PMOS en la fabricacin del CMOS. La tcnica de
deposicin de una fina pelcula es completamente distinta, y con ella se fabrican resistencias integradas.
En esta seccin describiremos brevemente estos mtodos.

Resistenciapelicular
Las capas semiconductora empleada para formar resistencias son muy tenues, y por ello conviene
introducir una magnitud llamada resistencia pelicular.
Si en la Fig. 5-21 el ancho W es igual a la longitud L tendremos un cuadro L X L de resistividad p,
espesor f y seccin recta A = Lf. La resistencia de este cuadro (en ohmio por cuadro, indicado con el
smbolo !J./n ) es:

R 5 =&=P O-2)
Lf f
Obsrvese que R, es independiente del tamao del cuadro. Normalmente la resistencia pelicular de las
difusiones de base y de emisor cuyos perfiles aparecen en la Fig. 5-6 son de 200 y 5 n/ q respectiva-
mente.

Resistenciasdifundidas
La Fig. 5. IU representa la fabricacin de una resistencia difusa de base, y se repite en la Fig. 5.220. El
valor de la resistencia se puede calcular por

R=&=R.L
tw sw
en donde L y W son la longitud y el ancho del rea difusa como se puede observar en la vista superior.
Por ejemplo, una resistencia de 25 micras de ancho y 250 micras de longitud contiene 10 cuadros (de 25
X 25 micras) y su valor es de 10 X 200 = 2.000 R. Al calcular R se introducen correcciones empricas para
tener en cuenta los COntUCtOSextremos.
Obsrvese que en la Fig. 5-22a la capa epitaxial de tipo n (la regin de colector) sirve para aislar la
resistencia tipop de los dems componentes del chip.
La estructura de una resistencia difusa en emisor de tipo n+ es similar a la de difusin de base. Una
difusin II+ en la base tipo p se realiza simultneamente con la difusin para los emisores del BJT en el
chip. La regin de base as 1a la resistencia de los dems componentes.
Puesto que la resistencia pelicular de las regiones de base y de emisor vienen fijadas por el proceso de
fabricacin, las nicas variables disponibles para disear una resistencia son su ancho y su longitud.
Raramente se emplean anchos menores de 5 krn porque los pequetios errores en la mscara o su colocacin
oen laprecisinde lafotolitografapueden suponerunavariacin significativaenel valorde laresistencia.
Para aumentar la longitud y por tanto el valor de la resistencia puede emplearse el mtodo sealado en la
Fig. 5-226 que no ocupa mucho lugar en el chip.
La gama de valores que se pueden obtener en las resistencias de difusin est limitada por el espacio
disponible en el chip. Los valores prcticos de resistencia van desde 20 R hasta 30 k[L para las resistencias
difundidas de base y entre 10 n y 1 kR para las de difusin de emisor. La tolerancia resultante de las
zv4 Microelectrnica moderna

variaciones del perfil y de los errorcs geomtricos pueden ser de hasa el SO o/ del valor nominal, con
una relacin de tolerancia del +2 % para el ancho mnimo. Con un ancho de las resistencia del orden de
las 50 pm la tolerancia mutua es de aproximadamente el 0.2 %. Por esta razn en el diseo de circuitos
integrales conviene utilizar, cuando ello es posible, la rrlacicin uzhe resistencius mejor que el valor
absoluto de stas. El valor de las resistencias aumenta con la temperatura. En las resistencias de difusin
de base esta variacin cs del orden de 2.000 ppmPC (partes por milln por grado centgrado), y de 600
ppm/Y en las de emisor.
La Fig. 5-23 representa el circuito equivalente de la resistencia de difusin R, comprendidas las
capacidades parsitas de las uniones base-aislamiento C, y de aislamiento-sustrato C,. Adems puede
verse que existe un transistor parsitopnp con el sustrato corno colector, el aislamientoiipo II como base
y la resistencia del material tipo p como emisor. El colector tiene polarizacin inversa porque el rustrato
tipo p est a la tensin ms negativa. Tambin es necesario que el emisor tenga polarizacin inversa para
mantener el transistor parsito en corte. Estas condiciones se cumplen situando todas las resistencias en
la misma regin aislada y conectando toda la regin de aislamiento tipo n en torno a las resistencias, al
potencial ms positivo existente en el circuito. Los valores tpicos de p, para este transistor parsito van
desde 0.5 a 5.

Resistencias de iones implantados


Como sea que las regiones de base y de emisor frecuentemrnte se furrnan por implantacin de iones,
puede tambin emplearse este proceso para formar resistencias de la misma estructura que en la Fig. S-22.
Las resistencias de implantacin tipo II be pueden fabricar mediante un proceso MOS similar al empleado
para formar el canal en un transistor NMOS de deplexin. Las resistencias obtenidas por implantacin de
iones tienen valores comparables a los alcanados con la difusin de base. Sin embargo, las tolerancias y
las variaciones por la temperatura estn bien por debajo de los que se obtienen por difusin. Los valores
de las resistencias implantadas SCpueden ajustar hasta el 3 %, y el coeficxntc de rrmperatura be puzdc
rebajar hasta I OOppm/%. Tambin las tolerancias mutuas se pueden mejorar en un 25 % en comparacin
con las de difusin.

Resistenciasepitaxiales
La resistencia pelicular de la regin epitaxial de colector es dc unas seis veces mayor que la de difusin
de base, y por tanto es posible fabricar resistencias utilizando dicha capa epitaxial. Tales resistencias
quedan definidas por la difusin del aislamiento que las rodea (Fig. 5-22). Este efecto resulta importante,
y para mantener aJustados los valores de la resistencia debe controlarse cuidadosamente la difusin de
aislamiento. La variacin con la temperatura de las resistencias epitaxiales es de unos 3.000 ppm/C y las
tolerancias absoluta y mutua son del orden del 30 y del 5 8 respectivamente.

Resistenciasde estriccin
Observemos lo que sucede a la KSMXKXI dc la FIS. 5-22 SLse le anade una difusin de emisor como
en la Fig. 5-24. El material de tipo n no contribuye a la conduccin, pues de hacerlo la corriente de 1 a 2
tendra que cruzar en sentido inverso al diodo np hacia el contacto 2. Es decir, que slo circular por el
material n la pequea corriente de saturacin inversa del diodo. Al quedar reducida la seccin recta dc la
zona conductora del material p, aumentar la resistencia. Se pueden formar resistencias de ms de 50 ka.
si bien su valor real no es fcilmente controlable (tolerancias absolutas de *50 % con tolerancias mutuas
de i10 Sc). Las resistencias de estriccin son no-lineales puesto que dependen de la tensin aplicada.
situacin anloga ala de la variacin con la tensin del canal de un FET.
A estas resistencias deben aplicarse las mismas limitaciones que 8 la tensin de ruptura inversa
base-emisor BV,?,,>(-6 V) ya que su construccin es idntica a la de una unin base-emisor, prescindiendo
del kmmnal de emisor. Esto no es problema serio ya que tala rcs~atencias se emplean nonnalmcnte en la
polarizacin abaja tensin a travs de la unin base-emisor con polarizacin directa.
Con resistencias epitaxiales de estriccin se pueden conseguir en poco espacio valores altos de
resistenciaparaoperara tensiones ms altas. Laestructuraes lade unaresistenciaepitaxial de tipon dentro
de la que se hace una difusin o implantacin de tipo p. La base tipo p limita la conduccin a la capa
epitaxial aumentando as la resistencia. La unin entre la base p y la capa epitaxial es esencialmente la
unin colector-base de un transistor. Esta unin tiene una tensin inversa de ruptura mayor que la de la
unin emisor-base.

ResistenciasMOS
Los circuitos metal-oxldu-~cmrcunductor utilizan generalmente resistencias difundidas o implantadas
del tipo descrito anteriormente. Pueden emplearse tambin otras tres estructuras de resistencia: la primera
de ellas es la resistencia de p/isi/icio que se forma al mismo tiempo que la regin de puerta del transistor
MOS. Las tolerancias y los coeficientes de temperatura de estas resistencias son comparables a los de las
resistencias difundidas.
Otro tipo de resistencia hace uso de la difusin tipo n que forma el sustrato del transistor PMOS en la
tecnologa CMOS. Efectivamente, esto es anlogo a la resistencia epitaxial en la tecnologa bipolar. Estas
resistencias tienen un coeficiente de temperatura alto y unas tolerancias pobres.
El tercer tipo de resistencia es el transistor MOS en s mismo. Cuando se polariza en laregin hmica,
el MOSFET se comporta como una resistencia (no lineal). Adems, como se ha descrito en la Seccin
4-l 1 y en el Ejemplo 4-4, tanto los MOSFET de acumulacin como los de deplexin, se emplean en la
regin de saturacin como resistencias no lineales.

Resistenciasde pelcula delgada


Para fabricar resistencias en circuitos integrados puede emplearse la tcnica de depositar por vapori-
zacin una fina pelcula. El metal (que generalmente es nicrom [NiCr]) se deposita con un espesor menor
de 1 pm sobre la capa de SiO, empleando mscara y corrosin para conseguir el trazado deseado. La
resistencia metlica as formada se cubre con una capa aislante en la que se practican las aperturas
necesarias para los contactos hmicos. Los valores normales de la resistencia pelicular de estas capas de
nicrom est comprendida entre 40 y 400 Q/u, resultando unas resistencias de 20 a 5.000 52. El
coeficiente de temperatura y las tolerancias son comparables a los de las resistencias obtenidas por
implantacin.
Para fabricar resistencias de pelcula fina se emplean tambin otros materiales tales como el tantalio,
llegndose a unos valores de resistencia tan altos como de 2 w2/0 y unos coeficientes de temperatura
tan bajos como 10 ppmiC.
Las resistencias de difusin o de implantacin no pueden ajustarse una vez fabricadas. Sin embargo
en las de pelcula fina puede hacerse con precisin cortando parte de ella con un rayo lser, aunque este
procedimiento es muy costoso y slo se usa cuando se requieren valores muy precisos. Una de estas
aplicaciones es la fabricacin de los filtros activos (Sec. 16-7) que se emplean en las comunicaciones
telefnicas modernas.
Fabricacin de circuitos integrados 207

5-9. CONDENSADORES INTEGRADOS


Los condensadores en los circuitos integrados se fabrican empleando la capacitancia de la regln dc
deplexin de una unin pn con polarizacin inversa.

La Fig. S-25a representa la seccin transversal de un condensador de unin. El condensador est


formado por la unin con polarizacin inversa J, que separa la capa epitaxial de tipo n de la superior de
difusin de tipo /I. Aparece una unin adicional J, entre el plano epitaxial de tipo n y el sustrato, y una
capacidad parsita C, relacionada con esta unin polarizada en sentido inverso. El circuito equivalente de
este condensador de unin puede verse en la Fig. 5-23 en el que la capacidad deseada C, debe ser tan
grande como sea posible en relacin a C,. El valor de C, depende de la superficie de la unin y de la
concentracin de impurezas. Esta unin es, de modo fundamental, linealmente gradual. La resistenciaR
en serie (entre 10 y SOQ> representa la resistencia de la capa tipo n.
Es evidente que el sustrato debe estar a la tensin ms negativa para minimizar C, y aislar el
condensador de los dems elementos manteniendo la unin J, con polarizacin inversa. Puntualicemos
queel condensadorde uninC2estpolarizadoyaque launinpn J,siempre estconpolarizacin inversa.

CondensadoresMOS y de pelcula delgada


La Fig. 5-26~ representa un condensador MOS no polarizados Esta estructura es la de un condensador
plano paralelo con SiO, como dielctrico (de un espesor de 500 A). La placa superior es una fina pelcula
superficial metlica (aluminio). La placa inferior es la regin n+ fuertemente dopada que se forma durante
la difusin (implantacin) de emisor en un proceso bipolar o durante la implantacin de las regiones de
drenaje y fuente en los procesos MOS. El circuito equivalente del condensador MOS es el de la Fig. 5.26b
en el que C, representa la capacidad parsita de la unin colector-sustrato, y R la pequeh resistencia en
serie de la regin n. Obsrvese que la placa superior no es necesariamente metlica sino que puede ser la
capa de polisilicio empleada para formar las regiones de puerta del transistor MOS.
Algunos procesos industriales de fabricacin de MOS emplean dos capas de polisilicio teniendo as
una capa adicional para las interconexiones entre los componentes. Las dos capas de polisilicio estn
Sustrato tipop

(b)

separadas por una ligera zona de SiO> lo que forma un condensador (Fig. 5-27). A los condensadores
construidos de esta forma se les denomina ~ondensadorespoli-pali.
Los condensadores de pelcula delgada se fabrican de forma similar a los condensadores MOS. Sc
vaporiza una pelcula conductora (placa superior) sobre la capa de SiO, (el dielctrico), y la placa inferior
la fomu la regin II* fuertemente dopada debajo del xido.
La capacidad del condensador MOS o de unin es bien pequea, generalmente del orden de 4 x 10~
pF/pm. Un condensador de 40 pF ocupa un rea de lo5 pn? o cubre un rectngulo de 1 x 0,l mm sobre
la superficie del chip. La mayor parte de los condensadores integrados son de menos de 100 pF. Se han
conseguido valores por encima de los 500 pF pero slo a expensas de ocupar la mayor parte del rea del
chip.
El empleo de pelculas de tantalio puede aumentar 10 veces la capacidad por unidad de superficie.
Como dielctrico se recrece una capa bien controlada de perxido de tantalio (Ta?OJ, y para la placa
superior se deposita tantalio metlico (pues el aluminio es soluble en el Ta,O,). El aumento de la capacidad
se obtiene a expensas de nuevos pasos en el proceso.

5-10. EMPACADO DE CIRCUITOS INTEGRADOS


El ciclo de fabricacin queda completado cuando se han cumplido todos los procesos necesarios para
formare interconectar todos los componentes. Cada oblea se corta en chips (Fig. 5-3) obtenindose as
los sistemas microclcctrnicos individuales. Seguidamente los chips se encapsulan en pastillas dejn-
dolos preparados para su uso. Unas conexiones unen los terminales de los chips con las patillas de la
pastillau siendo stas las que unirn los elementos externos con los del chip. En general, las conexiones
externas vienen determinadas por la forma en que se utilizar el circuito. Normalmente, las seales de
entrada y de salida, las tensiones de alimentacin, conexin a tierra y en general los componentes no
incluidos en el chip se aplican alas conexiones exteriores del conjunto.
Fabricacin de circuitos integrados 209

Comnmente be USI el encapsulado dc do?, en Imea como el dr la Fig. S-28, que puede: wncr cmre 8 y
40 patillas. dependiendo SII ntimrrn de la funcin a desempear por el circuito (aunque no siempre se
utilizan todas).

j-11. CARACTERSTICAS DE LOS COMPONENTES INTEGRADOS


Baado, en la tecnologa de los circuitos integrados vista hasta ahora, podemos resumir las caract~~
ucas m& significativas de tales circuitos de la siguiente forma:
1. Lo\ circuitos integrados normalizados, de existencia en los almac:~ncs de los fabr~canres, son muy
econmicos. Por ejemplo, el LM741 Op-Amp de la National Semiconductor, conteniendo 21
transistores, 1 diodo, y 12 resistencias se puede adquirir por menos de 50 centavos de dlar (al pol-
mayor). Sin embargo, los chips de disefio especial (poca produccin) son relativamente co&tosos.
2. El reducido tamao de los circuitos integrados permite ubicar sistemas complicados (de varios
centenares de chips) en un solo instrumento de tamao manejable.
3 Puesto que todos los componentes se han fabricado simultneamente en condiciones muy controla-
das y debido a que no existen juntas soldadas, los dispositivos microelectrnicos son de gran
seguridad.
1. Debido a su bajo coste se pueden conseguir circuitos muy complejos en un solo chip para mejorar
sus caractersticas de funcionamiento. La adicin de un transistor a un circuito integrado lo encarece
en menos de un centavo.
> Lo& parmetros del dispositivo estn igualados entre si, Gguiendo bien la temperatura.
i: Existe un margen restringido en el valor de las resistencias y capacidades. Normalmente 10 0 <K
, <50 k 0 y C <200 pF.
! 1. Se consiguen tolerancias pobres al fabricar resistencias y capacidades de valores especficos. POI
ejemplo, es clsico un + 20% de su valor absoluto excepto para los componentes de implantacin
de iones. La tolerancia relativa entre resistencias puede fijarse en * 2% ya que todas cllas se han
fabricado al mismo tiempo y con las mismas tcnicas.
X. Los componentes tienen coeficientes de temperatura altos y pueden ser sensibles a la tensin
9. La respuesta en alta frecuencia est limitada por las capacidades parsita>.
I 0. No se pueden integrar inductancias ni transformadores prcticos.
/ 1 1. En la fabricacin de resistencias y capacidades de pelicula delgada se requieren pasos extra lo que
aumenta el coste y disminuye la productividad. Por tanto, estos dispositivos de pelcula delgada slo
t deberan usarse si se necesitan sus caractersticas especiales. Se utilizan primordialmente cuando se
1 requieren valores precisos dc las resistencias , porque pueden ajustarse con rayos lser.
/
/
/ j-12. DISPOSICIN DE LOS CIRCUITOS MICROELECTRNICOS
/

I Conviene citar algunas de las ttcnicas de integracin ms comnmente empleadas para aprovechar al
mximo el rea del chip.
210 Microrlecrrnica modernu

Circuitos bipolares
Las siguientes reglas se emplean en la fabricacin de circuitos bipolares

I Para tener en cuenta la difusin lateral, prever unos bordes de aislamxnro de zspcxx doble que cl
de la capa epitaxial.
2. Puesto que la difusin de asIamiento ocupa una parte apreciable de la superficie del chip debe
reducirse al mnimo el nmero de islas aisladas.
3. Situar todas las resistencias tipo p en una misma isla y conectar ese aslamicnto a la tensin ma
positiva del circuito. Con resistencias tipo n las regiones de aislamiento deben conectarse ala tensin
ms negativa del circuito.
t En el diseo de resistencias, proyectarla\ tan estrechas corno sea posible de acuerdo con las
limitaciones del caso. Las resistencias que deban tener una relacin muy ajustada deben tener el
mismo ancho y estar situadas muy prximas entre s.
5 Todos los transistores que tengan sus colectores unidos deben situarse en la misma isla aislada. En
muchos circuitos cada transistor debe estar en una isla separada.
6. Conectar el sustrato a la tensin ms negativa del circuito.
1. Reducir las dimensiones de las regiones de emisor y de base, as como los contactos, al mmmo
compatible con las corrientes del dispositivo.
8. Fijar la geomettia de los componentes y de la metalizacin de acuerdo con las exigencias de
funcionamiento del circuito. Por ejemplo, el transistor de la etapa de salida de un amplificador debe
tener ms seccin que los dems transistores si esa etapa de salida ha de suministrar la corriente
mxima.
9. Prever las conexiones metlicas tan cortas y anchas como sea posible, particularmente las de emisor
y colector de un transistor en saturacin.
10 Distribuir los elementos tratando de conseguir el mnimo tamafio del chip.
II Emplear un pautado para el dibujo, lo que simplificar el trazado de las sucesivas mscaras.
12. Reducir al mnimo el nmero de cruca.

Circuitos MOS
Muchasdelasreglas antenorc~ wr~aphcables tambir~P>a~alafabricacindecircuitos MOS. Obsrvcx
que no son necesarias islas aisladas, aumentando as la densidad de componentes. En la integracin a gran
escala es importante utilizar para la puerta las dimensiones mnimas compatibles con los niveles de
corriente empleados. Las puertas de polisilicio permiten conseguir dispositivos ms pequeos. Como el
polisilicio constituye una verdadera barrera pan los dopantes, la implantacin de las regiones de drenaje
y de fuente se auto-alinean reduciendo al mnimo los errores debidos a la colocacin de la mscara.

Cruces
Muy frccucntemente en un circuito monoltico se presenta el caso de que deban cruzarse conductora.
Estos cruces no pueden hacerse directamente ya que resultara una conexin elctrica entre dos partes del
circuito. Como todas las resistencias estn protegidas con SiO puede emplearse cualquiera de ellas como
mona de cruce. Dicho de otra forma, si la metalizacin de aluminio pasa por encima de una resistencia no
se establecer ningn contacto elctrico entre resistencia y aluminio.
A veces el esquema es tan complejo que pueden necesitarse puntos de cruce adicionales. Se puede
Fabricacin de circuitos integrados 211

obtener una estructura de difusin muy empleada en ctrcuitos bipolares y que permite los cruces, de la
siguiente forma: durante la fabricacin del emisor se difunden impurezas ni a lo largo de una lnea en la
regin epitaxial, abriendo ventanas para el contacto en ambos extremos de tal lnea. Este proceso forma
un conductor difundido. Se deposita aluminio sobre el SiO, aislante (entre los dos contactos extremos)
segn una lnea normal a la seccin difundida formando un conductqr de conexin para alguna otra parte
del circuito. Con esto los dos conductores (uno de aluminio y otro de material n) se cruzan entre s sin
que haya contacto elctrico. Al conductor de difusin se le denomina cruce enterrado.
En la fabricacin del MOS se tiene un equivalente al cruce enterrado mediante una segunda capa de po-
lisilicio (Fig. 5-27). En consecuencia puede hacerse una conexin empleando una capa enterrada de
polisilicio tan bien como con metalizacin de aluminio.

Trazado con computador


Una vez se ha fabricado el chip no se pueden modificar los componentes de un circuito integrado. Por
tanto, para un diseo dado se requiere, antes de ponerlo en fabricacin, un anlisis ms profundo del que
se requiere para los circuitos con elementos discretos. Se emplean extensivamente los computadores para
el diseo y equipos para el anlisis de circuitos, su fabricacin y su disposicin. Estos equipos no se
emplean para el diseo, pero proporcionan la informacin necesaria para valorar la eficacia de un diseo
dado. No se fabrica ningn circuito integrado comercial sin estos anlisis.

REFERENCIAS
1 Grebene, A.B.: B~pular and MOS Analog Integrated Circuit Design, John Wiley and Sons, Nueva York,
19x4.
2 Sze, S.M., ed.: VLSI Technology, McGraw-Hill Book Company, Nueva York, 1983.
3 Ghandi, S.K.: VLSI Fabrication Principles, John Wiley and Sons, Nueva York, 1983.
4 Colclasser,R.A., y S. Diehl-Nagle: Matetials and Detices, McGraw-Hill Book Company, Nueva York, 1985.
5 Hodges, D.E., y H.G. Jackson: Analysis and Design of Digital Integrated Circuits, McGraw-Hill Book
Company, Nueva York, 1983.
6 Gray, P.R., y R.G. Meyer: Analysis and Design of Analog Integrated Circuits, 2%ed., John Wiley and Sons,
Nueva York, 1984.
7 Yang, E.S.: Fundamentals of Semiconductor Devices, McGraw-Hill Book Company, Nueva York, 1978.
8 Oldham, W.G.: The Fabrication of Microelectronic Circuits. ScienrifcAmerican val. 287, n.O 3, pp. 111-128,
Septiembre 1971

TEMAS DE REPASO
5-1. Citar cinco ventajas de los CIICUIIO~mregraddos.
5-2. Citar los pasos a seguir en la fabricacin de cirw~tob monuhticu~ mrrgrados.
5-3. Describir el crecimi&to epitaxial.
5.4. Describir el proceso de fotocorrosin.
5-5. (0) Describir el proceso de difusin.
(h) iQu se entiende por perfil de impurezas?
5-6. (0) iCmo se forma la capa superficial de SiOiY
(h) iPara que se forman las capas de SiO,?
5.7. EnphGu Colilla \c Lxm\,gur el .uslanllLxll 211,re IOh c<>,npnenw~ de UI1 ClKUIII> InrcgraLio.
5.8. ;,Cmo se conectan entre si los componentes de un circuito integrad?
5-Y. Describir el proceso de implantacin de iones.
5.10. Esbozar la seccin Iranwer~~l de un tran~isfor bipolar integrado.
5.11. Definir la capa enterradu ;,para que se emplea?
5.12. Describir un transistor lateral ,XI(I ;,por qu es de poca ganancia de corriente?
5-13. Describir un tlnsi\tr ,>n,> vertical ipor qu es de empleo restringido?
5.14. Describir un transistor uper-b.
5.15. Esbozar la xccin transversal de un JFET de canal PI.
5.16. Esbozar la seccin rransversal de un transistor NMOS de acumulacin.
5-17. Repetir el tema anterior para un transistor NMOS de deplexin.
5.1% (o) ;,Que se entiende por polisilici~~?
(h) i,Qu efecto tiene una puerta de pl~\~hcio?
S-19. Esboza lil secci6n de un trn\~\fr compuesto CMOS.
5.20. (i,) i,Cmo se fabrican los diodos integrados?
(h) Dibujar esquem~ticanrente los dos tipos dc dlodob crri~rwtrse
5.21. Esborar la vista superior de un transistor de mltiple emisor. Sealar las rcgione~ dc ~~I~~~IUIZIIIU.cI~IuI,
base y emisor.
5.22. ;Cmo se hace un cntac~ de aluminio con un siliciode tipo n de forma que sea: (CI) hmico, y (h) rectificador.
5.23. ;,Pr qu se elimina el iiempo de almacenamienr en un diodo meta-semiconductor?
S-24. i,Qu CSUII transktor Schottky? i,PIqU queda eliminad el tiempo de almacenamiento de rl tr~&tr? Para
construir ate transistor ;,es necesario al_gn paso extra? Enplquoc
5.25. Esbozar I seccin tranversal de un transistor Schoftky integrad.
5.26. (u) Defmir la resstencia pelicular K,.
(h) Esbozar la \eccin de una raistencia integrada.
(c, ;,De qu orden de magnitud es la mayor y la meno, I~~J\LCIIC,~ w@ada!
5.27. (0) Eshwar el circuim equivalente de una resistencia de difusin de base mosrmndo rodos Io? elementos
p3kitlX
ib) i,QU debe hacerse (exteriormente) para minimirar el efecto de Is elemen10~ pdrStOS!
5.28. Dacribr una resistencia de pelcula delgada.
5.29. (0) Esboza la seccin Wanvenal de un condensador de unin.
(h) Dibujar el circuif equivalente mostrando todos los elementos parsitos.
5.30. Repetir el teme anterior para un condensador MOS.
5.31. i,Cules son las dos dWmciones b\icas entre un condensador dc unin y Otro MOS?
5-32. (0) ;,h qu tensin se conecta el sustrato? ,Por qu?
(h) Repetir el punto (a) para las islas aisladas que contienen la resistencias
(c,) ;Pueden ubicarse varios trnsisfres en una misma isla aislada! l?xplquese.
5.33. Citar sek caractersticas importantes de lo\ componentes integrados.
5-34. Citar seis reglas aplicables al diseo dc circuitos monolticos
SEGUNDA PARTE

Circuitos y sistemas
digitales

Los circuitos d~girales se valen del funcionamiento como interruptor de los dispositivos electrnicos
para el procesado de seiiales elcticas representativas de datos numricos o codificados. Estas
seales digitales generalmente son binarias, es decir, que son seales que tienen slo dos niveles
distintos, y se utilizan muy extensamente en sistemas de comunicacin, control y medicin, as
como en computadores. En esta seccin trataremos de los circuitos y sistemas usados para el
procesado de seales digitales.
Incluso en un sistema digital a gran escala son pocas las operaciones distintas que se pueden realizar
si bien stas pueden repetirse numerosas veces. Los cinco elementos que forman un sistema digital
son: sistemas lgicos, aritmticos y circuitos de memoria conjuntamente con los dispositivos de
entrada y de salida. En el captulo 6 introduciremos los circuitos lgicos llamados tambinpuerras
Lgicas. Nos referiremos especialmente al funcionamiento de los bloques constructivos fundamen-
tales que comprenden las cuatro tecnologas de fabricacin de uso ms corriente, que son: NMOS,
CMOS, lgica transistor-transistor (TTL) y lgica de emisor acoplado (ECL). Los circuitos
combinacionales y secuenciales, es decir, la interconexin de muchas puertas lgicas ser+~ tratados
en los captulos 7 y 8 respectivamente.
La realizacin prctica de la mayora de circuitos descritos en los cap. 6 y 8 es considerada como
integracin a pequea escnla (SSI) o a media escala (MSI). En el captulo 9 se estudiarn los
sistemas de integracin a gran escala (LSI) y a muy grande escala (VLSI). Se incluyen los sistemas
de memoria, formaciones lgicas y microprocesadores.
^ Circuitos lgicos
bsicos (digitales)

El lgebra de Boole es un sistema para el anhsls matemtico de la Iglca y tue Ideada en el siglo XIX
por el matemtico ingls George Boole. Las puertas lgicas se refieren a los circuitos digitales utilizados
en el manejo de las ecuaciones del lgebra de Boole. En este captulo se tratar de las puertas lgicas NOT,
AND y OR (NO, Y, 0) as como de sus complementarias NAND y NOR. El principal objetivo es describir
cuantitativamente la realizacin de estas puertas utilizando circuitos integrados. Las dos familias lgicas
que utilizan FETs son las NMOS y CMOS, y las dos familias lgicas bipolares ms importantes son las
ECL y TTL. Las cuatro familias lgicas dependen para su funcionamiento de la aptitud de los FET y BJT
para actuar como un dispositivo binario (por ej. un interruptor).
Este captulo lo abriremos con un breve comentario sobre los nmeros binarios y su representacin
como seales elctricas. A esto le seguir una introduccin al lgebra de Boole. A muchos lectores esto
les puede servir de repaso de lo que hayan podido tratar en otros lugares.

6-1. EL SISTEMA BINARIO


Una seal, o dispositivo o circuito binario est en uno de dos estados posibles. Por ejemplo,
consideremos el circuito de la Fig. 6-l. La tensin V,>es de 5 V cuando el interruptor S est abierto y de
0 V cuando S est cerrado. No son posibles otros valores de V,. Como tanto el interruptor como V,, estn
en uno u otro estado, ambos funcionan de una forma binaria. En los captulos 3 y 4 hemos visto que tanto
elBJTcomoelFETtienenlascaractersticasdeinterruptorgobemadoyportantosondispositivosbinarios.
Los circuitos interruptores de dos estados con transistores son rpidos, fiables y econmicos y se pueden
fabricar en grandes cantidades. Por tanto, los sistemas digitales actuales trabajan con numeracin hinariu
o de base dos. Puesto que el lgebrade Boole es la representacin lgica de dos estados, el sistema bmario
se utiliza indistintamente para operaciones lgicas y aritmticas y as se utilizarn los mismos circuitos
para llevar acabo ambas funciones.
Para designar los dos estados se utilizan varias formas. Numricamente los dgitos binarios son 1 y 0;
y en sistemas lgicos los dos estados son verdadero y falso o s y no. En electrnica frecuente-
mente se utilizan los smbolos ON y CJFFo HI y LO. Estos ltimos signos, HI y LO, generalmente
corresponden a los niveles de tensin o de corriente en un elemento interruptor. Para indicar los niveles
de tensin correspondientes a los dgitos binarios 1 y 0 se emplean las designaciones V( 1) y V(0)
respectivamente. Puesto que cualquier estado es posible, cada dgito binario o bit es capaz de transmitir
informacin. Un grupo de bits que tenga un determinado significado constituye una informacin, pulabra
o cdigo.
La representacin de los nmeros en el sistema binario (de base 2) es en todo anloga a la empleada
en el sistema decimal (de base 10). En realidad el nmero decimal 378 no es ms que 300+70+8 o bien 3
x lo* + 7 x 10 + 8 x 10. Cada lugar en un nmero decimal representa una potencia de 10, y cada dgito
eh el nmero de veces que cxl\tc la anr~spur~dilrntc p~tcna, dic 1(1. Ur rtrrxru hmdnu c>ta tomwilu po,
una serie de lo\ dgitos I y 0 cada uno de los cuales multiplica una potencia de 2. El nmero 101011 es =
1 x 2 + 0 x 2 + 1 x 2 + 0 x 2f 1 x 2+ I x 2 lo que es igual al nmero decimal 41. Con tres dgitos
decimales SCpueden representar 1.OOOnmeros distinkx, del 0 al 999, siendo el mayor de ellos IO- 1. De
igual fama, un nmero de 6 bit puede representar 2 valores distintos, del 0 al 2- 1. Generalirando tenemos
la relacin de la Ecuacin (6-l)

N=2-1 (6-l)

donde w es el nmero de bits y N el mayor nmero decimal que SApuede representar con >Ibith.

Un nmero decimal D se puede pasar al sistema binario B de la siguiente forma


1. Formar dos filas de nmeros com en la Tabla 6-l.
2. Empezando por el extremo dc la derecha, dividir por 2 el nmero II y colocar la parte entera 11, del
cociente cn la primera columna dc la fula D.
3. Colocar el resto K, (si lo hay) en la primera columna de la fila B (R, ser uno o cero ya que D eh
necesariamente par 0 impar).
1. Dividir D, por 2 y colocar el cociente DI en la segunda columna de la fila B.
5 Colocar el resto RI (0 o 1) en la segunda columna de la fila B.
6. Repetir los paxx 4 y 5 hasta encontra un cociente cero. Los dgitos de la fila B Iedw de izquierda
a derecha. forman la representacin binaria del nmero decimal U. El lugar de ms a la izquierda,
que representa la mayor potencia dc 2 es el hii nf~ sirriifcari~~~~(MSBI y el ms a la derecha el bit
nieiros si~nijimiw (LSB).

Tabla 6-1: Conversin de decimal a binario


Circuitos lgicos bsicos (digitales) 211

Solucin

Preparar una disposickm semejante a la dc la Tabla 6-l como se ve en IaTabla 6.2. La expresin binaria
de 73 es cl nmero de 7 cifras 1001001, lo que se puede comprobar calculando:

1001001 = 1 x 2h + 0 x 25 + 0 x 24 + 1 x 2 + 0 x 22
+Ox2+1x2
=64+8+1=73
Tabla 6-2: Preparacin para ejemplo 6-1

8 7 6 c 4 3 2 I

0 !=o
2 z=,
2 42 2 9 2
IR 9 i6=,g - =36 Ll=73
2 2
0 I 0 0 1 0 0 1 B

El procedimiento seguido en la Tabla 6-l puede extenderse para convertir un nmero decimal D a otro
de base B. Los sucesivos restos R,, R2...Jr, ledos de izquierda a derecha forman el nmero de base B
buscado. Si por ejemplo B = 5, R slo puede valer 0, I,2,3 o 4. Frecuentemente se indica la base empleada
mediante un subndice. As, N,, es un nmero decimal y N, un nmero binario.
As como la coma en el sistema decimal separa las potencias positivas y negatwas de 10, la coma en
el sistema binario separa las potencias positivas y negativas de 2. El nmero binario 101,011 tiene el
equivalente decimal 5,375.
Los nmeros negativos se represeman aadiendo un signo a la izquierda del bit ms significativo. Un
(0) designa un nmero positivo y un (1) un nmero negativo. As 0 A 1001001 equivale al +73 decimal y
11001001 al -73. El signo A se emplea para indicar que la primera cifra es la que indica el signo.
En el sistema digital se emplea una variedad de representaciones derivadas de nmeros y cdigos
binarios. Al tratar de la aritmtica binaria en la Seccin 7-3 introduciremos algunas de ellas.

6-2. LGEBRADEBOOLE

El algebra de Boole es una lgica sm~bka dc dos estado>. Una variable A ~SU~Z un de 10~ doS
valores posibles, 0 o 1. As, A puede ser 1 (A = 1) o puede ser 0 (A = 0). Si A no es 1 debe ser 0. El lgebra
de Boole conteniendo distintas variables requiere nicamente tres funciones lgicas bsicas llamadas
218 Microelectrnica moderna

AND, OR y NOT. Una,we,-tu lriyiu es un circuito que sc emplea para cumpllmcntar una funcin lgica
bsica. Las combinaciones de puertas lgicas sirven para plantear ecuaciones complejas de Boole. Estos
circuitos sern tratados en el Captulo 7.

Sistemas lgicos
La implementacKin dr la puerta dcpzndr dic la turma cn yuc he defina na xlial hmal-la. En un ~~~tcmo-
de continua nivel lgico un bit se caracteriza por no de los dos niveles de tensin. Si como en la
Fig. h- 20 la temin ms positiva es el nivel 1 y la otra cl 0. [V(l)>V(O)j, se dice que el sistema emplea
Igicapo.~iriw. Por otra parte un sistema de lgica ire~~rrtiw, como el de la Fig. 6- 2h. cs el que designa al
potencial ms negativo como 1 y al ms positivo como nivel 0, [V (O)>V (l)]. Hay que tener en cuenta
que el valorabsolutode ambas tensionc\ no es significativo en atas definiciones. Concretamente, el estado
0 no representa necesariamente un nivel de tensin cero, aunque en algunos sistemas pueda serlo.
En un sistema dinmico o de /<i,si<u de inr/~ulsos, un bit se reconoce por la presencia o ausencia de II
impulso. Un 1 significa la existencia de un impulso positivo en un sistema dinmico de lgica positiva y
un impulso negativo supone un I en un sistema dinmico de lgica negativa. En ambos sistemas un 0 en
una entrada (o salida) en un momento dado significa que no hay impulso alguno en ese preciso momento.

La puerta OR
La puerta OR tiene dos o ms sntrada~ y una sola salida, y funciona segn la siguiente definicin: La
salida de u,,u /xwri, OK est c,, rsrudo 1 si una <>ms mti-odas estn PI, estodo 1. Las n entradas de un
circuito lgico se designan canil. B...., N y la salida con Y. Hay que tener en cuenta que cada una de estas
variables puede tomar no de los dos valores posibles 0 o 1. En la Fig. 3-b fi&m el smbolo normalirado
del circuito ORjunto con la expresin de Boole para esta puerta. La ecuacin debe leerse Y igual a A o B
o ,.. o iv. En lugar de definir oralmente la operacin lgica puede emplearse el mtodo dc la Tabla de lo
verdad que contiene una Tabla de todos los valores de entrada posibles y sus correspondientes salidas.
Quede claro que la tabla de la verdad de dos entradas de la Fig. 6.3h equivale ala definicin anterior de
la operacin OK.
Supongamos que las ondas A y B tienen los niveles binanos en funcin del tnx~po representados en
la Fig. 6.3~. Entonca. la onda de salida Yen esa misma figura se corresponde con la tabla de la verdad
de la Fig. 6-3h para una puerta OR de lgica positiva. Obsrvese que con V (0) = 0 se cumple la operacin
OR tanto en el sistema de nivel lgico como en el dinmico.
Recordando que A, B y C slo pueden tomar los valores 0 o 1, pueden comprobar% fcilmente Iab
siguientes ecuaciones Booleanas correspondientes ala operacin OR (+)

A+B+C=(A+B)+C=A+(B+C) (6-2~
A+B=B+A (6-3)

A+A=A (6-4)
A+l=l (6-5)
A+O=A (6-6)
Circuitos IUgicos bsicos (digitths) 219

Estas ecuaciones quedan justificadas tanto por la definicin de la peracin OR como por la tabla de
la verdad.
Hemos hallado una puerta OR de un simple diodo: el circuito de la Fig. 2.13 obedece a la tabla de la
verdad de la Fig. 6.3h con lgica negativa
220 .Microelecrrnica modernu

La puerta AND
La puerta ,AW t~nc da o ms entradas y una sola salida, y funaona de cuc~do con la stguienlc
definicin: La .wlrd~r de un 4.w esr rn esrudo 1 xilo si iodus ius entradrrs estn en 1. En la Fig. 6- 4a
puede verse el smbolo de esta puerta y su expresin de Boole. La ecuacin debe leerse: Y es igual a A y
B y N. [A veces se pone un punto (.) o un aspa(x) entre los smbolos para indicar la operacin AMJ]
Se puede comprobar que la tabla de la verdad de dos entradas de la Fig. 6.4h concuerda con la definicin
dada de la operacin AND. Las onda5 de la Fig. 6.4~. corresponden a la tabla de la verdad de la Fig. h-4h
para lgica positiva. Anteriormente a este circuito se le llam de ~oiwi&nr~irr porque deben existir al
mismo tiempo todos los impulsos de entrada para tener un impulso de salida.
Puesto que A, B y C slo pueden valer 0 o I se pueden verificar las siguientes expresiones relativas a
la operacin AND

ABC = (ABJC = AWCJ (6-7)


AB = BA (6-S)
AA = A (6-9)
Al =A (6.10)
40 = 0 (6-l 1)
A(B + C) = AB * AC (6.12)

A+ALI=A (h-131

A+W=(A+B)(A+C) (h-14)

d, ade,a,,,c ilc este ,,,,\,,,o captulo tendrcmo\ oca\tn dt: I-cScrirrrt>sa ata, do\ lt~m\ ccuac!onc~
El cixito dc la Fig. 2.13 analizado en el Ejemplo 2-2 es una disposicibn de diodo-resistencia para
puerta A\ de lgica positiva. Todo lo que hay que hacer para tener una puerta AI\,> de lgica negativa es
invertir los diodos.
Obsrvese que este mismo circuito (Fig. 2.13) puede emplearse tanto para la pucrtka ~k\u dc lgica
oositiva como ara la puerta OK de I+t negativa. Esto es debido al hecho de que V (0) en lgica positiva
i, V (1) en neg&iva &esentan ambas cl menor de los dos niveles de tensin, y aimisrno V (1) y V (0)
sonlastensionesmayoreenlgicapo~itivaynegativarespectivamentz. CnestoIlegamosalacnclusin
de que una puerta OK negativa tiene el mismo circuito que una AND positiva. Ehte resultado no queda
limitado a la lgica con diodos, smo que es vlido independientemente del material cmpleado para formar
el circuito.
Circuitos lgicos bsicos (digitales) 221

berta hOT (inversora)

El circuito NT tiene una sola enrra& y una w/a ubda, y rcbpur~de a la wguc~n Iglw de acuerd
con la siguiente definicin: Lu salidade un Cxxito NOI roma el rstado 1 ~610 y niwmentr si ia entrada
no tiene el &ado 1. La norma para indicar una negacin lbgica es un pequeRo crculo en el punto en que
la lnea de la seal se une a un smbolo lgico. En la Fig. 6-5a se indica una negacin en la entrada de un
bloque lgico y en la Fig. 6-Sb una nqacin la salida: la Fig. 6-k representa el smbolo de la puerta
LOT y la expresin de Boole. La ecuacin debe leerse: eY igual a NO AS o bien sY es el complemento de
A.D j Para indicar la operacin 101 aveces se emplea una vrgula () en lugar de un guin (-),] La Fig. 6-W
es la tabla dc la verdad.
Al circuito que cumple la negacin Igca se le denomina MIT. per como invierte el sentid de la
alida respecto al de la entrada se Ic conoce tambin como inrvnur-. En un verdadero sistema binario sdl
se reconocen dos niveles V(0) y V( 1) 4 la salida, as como la entrada del inversor deben actuar entre estas
dos tensiones. Cuando la entrada es V(0) la salida debe ser V( 1) y viceversa. Idealmente un circuito UOT
invierte la seal conservando su forma y los niveles binarios entre los que acta la seal. Los transistores
de efecto campo (FET) comentados en las Secciones 3-X y 4-12 son inversores. Observemos en las Figs.
3-29 y 4-25 que una transicin de baja a alta tensin en la entrda provoca la transicin inversa en la salida.
Adems las fuentes ideales gobernadas introducidas en las Secciones 3-l y 4-l son circuitos inversores
cuando actan como interruptores controlados.
En,rada Sdl&l

+ + ib ~+*Y =+=; i ;

1% Cb, CC, Cd)

r,gwr h-j. NcgaLm loglca(U, Cl,ia rn,rada, (h) il la a*kta dii un bloquel@co, (C)a,mhuiuIli cIrcII<>
1ncxx~r,puerra<NJ(d,
rabiad I \cr<la<i.
A partir de las definiciones bsicas del MT. AND y OK pueden comprobarse las siguientes identidades
de Rk.

=A (h-15)
A+A=I Ch-16)
AA 0 (6 17)
A -AH=AtB (6 18)
Ejemplo 6-2

Comprobar la ccuac~on (6-1 X).


Solucin

leSt que B + 1 = 1 y A 1 = A, se deduce que:

A + AB = A(B t 1) + AH = AB i A + A8 = A + A)H + A = 8 + A

hablendo emplead la Ecuacin (6.16).


Funcin de inhibicin (y habilitacin)
Gn circtnto LOT precediendo un terminal (S) de una puerta A~*Uaztua ~umu Inhlbldor. Ebtr c~rcutu
-\NU modificado da lugar a la siguiente relacin lgica: Si R = 1.B = 1, ...M = 1, entonces Y = 1 siempre
que S = 0. En cambio, si S = 1, la coincidencia de A. B... M se inhibe (e imposibilita) e Y = 0. A esta
configuracin SCle denomina tambin circuito de anticoincidencia. El smbolo lgico se reprewnta cn la
Fig. 6-60 junto con su expresin de Boole. Esta ecuacin se lee Y igual a A y B y ,.. M y no SJ,.En la Fig.
6.6h se ve la tabla de la verdad de una puerta AND con tres Entradas y un terminal inhibidor (S).
El terminal S se llama tambin de inhibicin. El bit habilitador S = 0 permite a la puerta cumplir su
lgica AND mientras que el inhibidor S = 1 mantiene la salida en Y = 0 independientemente del valor de
los dems bits de entrada.

Es posible tambin un circuito .ANOcon dos entradas. una de las wales xa Inhlbldora. Este c,rcuIto
atisface la lgica. La salida es verdad (1) si la entrada A es verdad (1) supucbto que B no lo sea (0) o lo
que es lo mismo, supuesto que B ~ea falso (O).>>Tambin es posible otra configuracin con ms dc un
terminal inhibidor

6-3. PUERTAS on-EXCLUSIVA, NAND Y NOR


La, tres puertas comentadas en esta Seccin no ,011 m& que \Implcs cornbmacrurre~ dc puerta 41~i).
~~einversora~. Debidoasuimportanciaparael procesadodesealesdigitalesycngenerala~udisposicin
con transistores be las considera como puertas bsicas.

Puerta OR-Exclusiva
Una puerta OR-Exclusiva responde a la sigucnrr defimcln. La salida de un OR-Exclua~vo de dos
entradas ebt en estado 1 si una y slo una de las entradas est en estado 1. El smbolo normaliado y la
tabla de la verdad esrn rcpresentadoa en las Figs. 6-7~3 y h. El circuito de la Fig. 6-3 SCrefiere a un
OR-Inclusivo si hay que distinguirlo del OR-Exclusivo.
La anterior definicin equivale a: esi A = f o B = I pero no simultneamente, Y = I . En la notacin
de Boole
Circuitos lgicos bdsicos (digitales) 223

Y = iA + BKABI (6.19)

ESta funcin lgica puede llevarse acabo utilizando puertas lgicas bsicas como cn la Fig. 6.~~.
Otra definicin equivalente sera la siguiente: si A = 1 y B = 0 o si B = 1 y A = 0, entonces y = I, y
la expresin de Boole es

Y = AB + BA (h-20)

En la Fig. 6-8h est representado el diagrama de bloques que satisface esta lgica. Se emplea un
OR-Exchivo en la seccin aritmtica de una calculadora, yen otras aplicaciones como la de comparador
de desi~mldades, circuito de acoplamiento, o derectorporque como puede verse en la tabla de la erdad,
Y 7 1 slo si A f B. Esta propiedad se emplea para comprobar la desigualdad entre dos bits. Si el bit A no
es Idntico al B se tendr una salida, e igualmente si A y E son ambos 1 o si A y B son ambos 0 no se
obtne salida alguna, e Y = O. Esta misma premisa puede ponerse en forma de Boole

Y
224 Microelectrnica moderna

Esta ecuacin nos lleva a un tercer planteamiento de bloque> del oR-Exclusivo, que estindicado por
el diagrama lgico de la Fig. 6-91. Un detector de i,qualdad da una salida Z = 1 4 A y B son amho 1 o si
ambos son 0, y por tanto, haciendo uso de la Ec. (6-15)

Z=Y=AB+AB (6-22)

si deseamos la sallda L se puedc prxindlr de la negacin de la Fig. 6-9~ o aadir un inversor en


cascada con la salida del OR-Exclusivo.
Una cuarta posibilidad para esta puerta es
Y = CA + RNA + BI (6-23)

que se puede deducir de la definicin o de la tabla de la verdad. Esta lgica est representada en la
Fig. 6.9h.
Se puede observar que un OR-Exclusivo de dos entradas se comporta como un inversor controlado o
un inversor con una entrada inhibidora. 0 sea, que si A es la entrada y B = S el inhibidor, de la tabla de la
verdad de la Fig. 6-7 se deduce que Y = A si S = 1 mientras que Y = A si 5 = 0.
Queda demostrado que a veces hay varios caminos para formar un circuito lgico. En la prctica hay
uno de ellos que resulta ms ventajoso que los dems. Frecuentemente se utiliza el lgebra de Boole para
manipular una ecuacin lgica y transformarla de forma que sea mejor desde el punto de vista de la
construccin real. En la prxima seccin comprobaremos mediante el lgebra de Boole que las cuatro
expresiones anteriores del OR-Exclusivo son equivalentes.

Y=CA
+mi +H)
ih,

Leyesde Morgan
Las dos ecuaciones binarias siguientes se conocen como frwrmus de Mwgun.
ABC.. = 2 f B + c + (6241
A + B + C + = ABC. (6-25)

Para comprobar la Ec. (6-24) obsrvese que si todas las entradas son 1, cada mwnbro de la zcuacin
tb cero. Por otra parte si una (o ms de una) entrada es 0 cada miembro de la ecuacin (6-24) valdr 1.
Por tanto, con cualesquiera entradas posibles el segundo miembro de la igualdad se iguala al primero. La
Ec. (6-25) se cbmprueba de forma similar. Las leyes de Morgan completan la lista de las identidades
bsicas de Boole. Para posteriores referencias, todas estas relaciones se resumen en la Tabla 6-3.
Con la ayuda del lgebra de Boole vamos a demostrar la equivalencia de los cuatro circuitos
OR-Exclusivo de ka seccin anterior. Utilizando la Ec. (6-24) resulta evidente que la Ec. (6-19) equivale a
la Ec. (6.23), pudindose desarrollar esta ltima con el auxilio de la Tabla 6-3 de la siguiente forma
(A + B)( + B) = AA + B + AB + BE = BA + AB (6-26)

-
Circuitos lgicos bsicos (digitales) 225

quedando dcrno,tradc que el OR-Exclusivo dc I Ec. (6-21) cs equivalente al de la Ec. (6-23)

Tabla 6-3 Resumen de identidades bsicas de Boole

Le~esfundanrrnrale~
SK NI> hor
/1 + 0 = A (0 = 0 A + _ i
,, + I = I II = A &f = 0
I - ,A = A iri = A A=A
/i,L r = 0
L?Wi i<,>Lili,ii
i H, 1 c. = .A i IH - < , I.WK -LI,K,
,&KS <onmi<mriv<i
-!-H-H4A AB = RA
Le diWhlM
Am + Ix AB / ,lC.
Leyr sfeMqon
An...=R ,B+
/t+n+--. EA...
,denridfl&i au*iliares
A-AA=A A - u .A + ti
I
in + Ll,,,4 + C) A l li<.

1
Uc la ICACOJC Murgan se deduce que para hallar el complemento de una tunuon bwleana deherr
umbi<rrsr todos las opcru&nes ORpor AIVD4 r~icevrrsa, nqazndo todo smbolo binario. Aplicando este
procedimiento u la Ec. (6-21) y h,atiendo uso de la identidad A = A resulta la Ec. (6.23).
Con la ayuda dc las Icycs de Morgan se puede demo?trarque un circuito AND en lgica positiva tambin
iunciona como puerta OR en lgica negativa. Sea Y la salida y A, B, N las entradas a una AND positiva
dc forma que:
y= ,Q....v (6-27)

y de la Ec. (6-2-i)
7 = 4 + B + .. + 3 (h-28)

SI zc complzmcr~t~n la sahda y todas Iah entrada?, de un circuto, de fona que un 1 SCw~w~crta en 0


y viceversa. la lgica positiva se convierte en negativa (tomar como referencia la Fig. 6-2). Puesto que Y
/ e Y representan el misrrro terminal de salida, y A y A ei mismo de entrada, etc. . el circuito que funciona
como lgica AND positiva en la Ec. (6-27) tambin funciona como puerta OR negativa en la Ec. (6-28).
1 Con un razonamiento similar se puede comprobar que un mismo circuito AND de lgica negativa es un OR
de lgica positiva dependiendo de cmo se definan los niveles binarios. Ya hemos comprobado este
rcwltado para el wcuIto con diodos de la Fi_p.2-l 3 pero la prueba presente es independiente de cmo, est
/ fokndo el circuito.
i Qucdc claro que en realidad no es necesario emplearlas tres conexiones, OR, AND y ~7. Son suficientes
las ORy ~7 pues segn las Icycs de Morgan de la Ec. (6-24). la AN\Dpuede obtenerse de la ORo de la NX
1 como se ve en la Fig. 6. lOa. Tambin pueden elegirse como circuitos bsicos lgicos los AND y NOT, y
de la ley de Morgan de la Ec. 6-2.5 se puede deducir el OR como en la Fig. 6.IOb. Esta figura pone de
manifiesto que un circuito II (ANU, negado en la entrada y la salida equivale auna lgica AND (OR).

/
226 Microelectrnica moderna

La puerta NAND

En la Fig. 6.Xo la negawk anta del segundo AND puede muy bien ponerse a la salida del primero hin
cambiarlalgica. Esta sccuencia.~~~-NO.I aparece tambinen IaFig. 6.10hy enotras muchas operaciones
lgicas. Este ANII negado se designa WI- Jamoy abreviadamente NAKD. En la Fig. 6-11 estn representados
el smbolo lgico. la ecuacin dc Boole, la tabla de IR verdad y la forma de onda.

Se puede formar una puerta NAIUDcolocando un transistor inversor (Fig. 3-280) despus de una pucna
~wdediodos. A estarealizacin se ledenominalgicade diodo-transistor(DTL) y fue unade las familias
lgicas semiconductoras desarrolladas. Ha sido suplantada por otras familias lgicas, que veremos ms
adelante en este mismo captulo, de funcionamiento notablemente mejorado.

La puerta NOR

Una negacin a contmuackm dc UIM puerta OK he denomma puerta WI -UK o smrpiemcnte NOK. tn ta
Fig. 6-12 aparecen el smbolo lgico, la expresin de Boole, la tabla de la verdad y la forma de onda. Se
forma una puerta DTL NOR situando un transistor inversor a continuacin de una puerta OR de diodos.
Circuitos lgicos bsicos (digitales) 227

Ya que los interruptores de transistores son de por ri inversores, las puertas NAN y NOR on muy
empleadas para cumplir funciones lgicas. Las leyes de Morgan aportan una metodologa mediante la que
estas puertas se utilizan en diseos lgicos. Ciertamente se pueden preparar sistemas lgicos completos
empleando nicamente puertas NAND o puertas NOR.Este hecho se representa en la Fig. 6-13 con pue-tas
NOR. En la Fig. 6.13~ los dos terminales de entrada estn unidos entre si y en consecuencia queda negada
la nica entrada (una puerta NOT). La puerta wx se utilira para invertir la salida de la NORde la Fig. 6- l3h
para obtener una OR. En la Fig. 6-13~ la negacin de ambas entradas convierte la puena NR en AXI (ley
de Morgan). El anlisis correspondiente empleando puertas NI\ND se deja para el lector (probl. 6-18).

i
1=,,+i~i ::~LlL~.
u/

tnrrada
Sa,,& tcl: 1 r; ),
1: ~ r j;, 7; 7, l;
=l
c 1, i. 1: I, -:
ib) (cm
Figura 6-12. PUcmhK. (, Sirnbk de, CIKx,,O.Ch,labiade ,* vadad: (<) unda para,ogm pomr a

6-4. CARACTERSTICAS DE LAS PUERTAS LGICAS


El diseo y fabricacin de puertas lgicas utilizando transistores reales (comerualmcntz dccwbles)
da lugar a circuitos cuyas ondas de entrada y de salida son slo aproximadas alas vistas en la Seccion 6-2.
El paso de V(0) a V(l) o viceversa no puede ocurrir instantneamente. Adems las tolerancias de
fabricacin, las variaciones de temperatura u otros cambios ambientales pueden modificar los niveles de
tensin. Puesto que las entradas dependen de los niveles de salida de otra puertas, cada circuito lgico
sirve de carga para la etapa precedente. Estas cargas pueden degradar los niveles lgicos. Cada desviacin
respecto al ideal limita la actuacin de los circuitos reales. Centraremos nuestro estudio en los inversores
ya que como hemos demostrado antes, los interruptores bsicos BJT y FET funcionan como inversores.
228 Microelectrnica moderna

El inversor ideal
El inversor ideal, alimentado dc una fuente nica Vu,,, y dependlrndo su sallda dc u,,d \ula cmrada
txne la caracterstica de transferencia (Y, en funcin dc v,) de la Fig. 6. 140. Obsrvese que la transicin
de uno a otro estado ocurre sbitamente a una tensin de entrada v, = V,,J2. Con esto, el estado de salida
queda claramente determinado para cualquier tenbin de entrada (excepto V,,,JZ) y no existe ninguna
incertidumbre en cuanto al estado dc la salida.
En la Fig. 6.14h estn representadas las ondas de tensin y de corriente de salida correspondientes a
latransicindeV( I)aV(O)yotrave~aV(l)enuninterruptorgobemadoideal. Lapotenciaestticadisipada
en cualquiera de los dos estados es nula puesto que cuando r,f 0, i = 0 (interruptor ideal abierto) y
cuando i f 0, v0 = 0 (interruptor ideal cerrado). Adems, siendo la transicin de uno a otro estado
instantnea, la potencia dinmica disipada, es decir, la potencia consumida durante la conmutacin
tambin es nula.
Hay otras dos caractersticas del inversor ideal relacionadas con la interconexin de estas puertas: (1)
el circuito de entrada no representa una carga para el impulso de seal (la salida de una puerta anterior) y
(2) la salida de un inversor es capaz de impulsar a un nmero cualquiera de puertas similares sin que se
degrade el nivel de %dida.
Las caractersticas del inversor ideal son aplicables igualmente a puertas de entradas mltiplex (MU.
OR,NAND, NOR).Adems, tales puertas ideales pueden admitir cualquier nmero arbitrario de entradas sin
efectos de carga que perturben las etapas anteriores. Las anteriores consideraciones nos hacen ver que al
evaluar la idoneidad de una puerta real resultan muy importantes las siguientes caracteristicas:

1. El orden de valores de la tensin correspondiente los niveles lgicos V(0) y V( 1).


. La regin incierta o gama de tensiones de entrada en los que el estado de salida no est definido.
i La velocidad de conmutacin.
4. Disipacin de potencia esttica y dinmica.
5. Efectos de carga en la entrada y salida.

Caracterstica de transferencia del inversor real


La caractetistica de transferencia dr un circuito inversor real adqulriblr ax~rualmcnt~, rzprcsenrad
en IaFig. 6-15 acusavariasdesviaciones respecto alacaractersticaideal de IaFig. 6-l&. Estasdiferencias
son: que las tensiones V(1) y V(0) no son constantes; que pueden diferir de la tensin de alimentacin y
de cero, respectivamente, y que la transicin de uno a otro estado no es abrupta. La forma general de la
Circuitos lgicos bsicos (digitales) 229

curva de transferencia es similar a las caractersticas de transferencia de los dispositivos BJT y rET de
las Figs. 3-29 y 4-30 respectivamente. En el caso del BJT la caracterstica muestra que el transistor est
en corte con v, < V., (tensin umbral) y I(,= V,.,. (tensin de alimentacin). La operacin est en la regin
activa directa (funciona como fuente gobernada) para v, >V, y v, disminuye al aumentar vi hasta comenzar
la saturacin. Un nuevo incremento de v, satura ms fuertemente el BJT y v, queda limitado en V,, ,rai,=
O,2 V. Anlogamente un MOSFET est en corte para v, < V,. Aumentando v, por encima de VT se hace
que el MOSFET opere en su regin saturada lo que va acompafiado de una disminucin de vg. Si Y!sigue
aumentando, el MOSFET pasa a su regin hmica y v0 experimenta slo pequeos cambios de valor.

La caracterstica de transferenaa resulta til para definir los valora de tensin que corresponden a
V( 1) y V(0). En los puntos A y B de la caracterstica de la Fig. 6-15 la pendiente es igual a la unidad. A la
izquierda de A y a la derecha de B la pendiente es menor de uno, mientras que entre A y B es mayor. Como
sea que la pendiente es un ndice de la ganancia de tensin entre la entrada y la salida, se llega a la
conclusin de que la tratuicin de uno u otro estado requiere una gunmcia de trnsirn maym que la
unidad. Obsrvese que la pendiente negativa indica una negacin lgica.
Los valores de la ordenada y de la abscisa del puntoA se designan V,,,, y V,, respectivamente. V,, indica
el mximo valor de v, identificable como lgica 0 que define el estado de salida como lgica 1. Por tanto,
V,, cs el mnimo valor que puede adquitir V( 1). De igual forma, en B, \,, es el valor mximo de L,,
correspondiente a V(0) y V,, la tensin de entrada mnima (lgica 1) necesaria para provocar este estado
de la salida.
En la Fig. 6-16 e&n sealados los recorridos de la5 tensiones de entrada y de salida correspondientes
a V(0) y V( 1).
Puesto que la salida dc la puerta I sirve de entrada 8 la puerta 2 es necesario que Vo, > V,, y V,, < V,,
para que la puerta cumpla la funcin. Si por ejemplo V,, < V,, existitian valores de la seal de excitacin
correspondientes a V( 1) que no provocaran la transicin a V( 1) de la puerta 2. Por tanto, en el circuito se
habria introducido un error lgico, y lo mimo podra argumentarse para comprobar que V,, < V,,.
La anterior dacripcin de las caractersticas del inversor se pueden aplicar tambin a las puertas NAND
230 Microelectrnim moderna

y NOR. Para stas debe interpretarse v, como la combinacin de entradas necesarias para provocar la
transicin entre estados. Los circuitos con lgica no inversora, tales como los AND y OR tienen sus
caractersticas con pendiente positiva. Para este caso, localizamos puntos semejantes a A y B en los que
la pendiente de la caracterstica sea +1. Haciendo este cambio, las conclusiones a las que se ha llegado en
los prrafos anteriores se pueden aplicara circuitos lgicos no inversores.

Margen de ruido
En un arcuito electrnico se entiende por ruido>> la presencia de cualquier wial no deeada. Existeo
muchas fuentes de ruido entre las que figura el rizado del suministro de potencia y las radiaciones
electromagnticas (por ejemplo las luces tluorescentes o las sefiales de radio y televisin). Como siempre
existen ruidos, es necesario que las puertas lgicas no respondan a ellos e introduzcan errores lgicos. Por
amargen de ruido (designado NM por las iniciales Noise Margima) se entiende el grado de inmunidad
del circuito lgico ante las seales no deseadas. Los valores NM,, y NMcorresponden a los mrgenes de
ruido para V( 1) y V(0) respectivamente. En la Fig. 6-16 se puede apresar que

NM, = V,,, - V,,, NM,. = V,, - Vo, (6-29)


La importancia del margen de ruido radica en que una seal no deseada de valor menor que r! NM no
dterar el estado lgico. Los ruidos que superen el NM se convierten en seales de entrada en la zona de
incertidumbre o provocan una transicin no deseada.
Circuitos lgicos bdsicos (digitales) 231

Fan-out (salida en abanico)


Una puerta lgica debe ser capaz de suministrar la entrada a varios c~rcuto~ zcmc~antc. El xfan-out~
es el trmino empleado para indicar el nmero de circuitos que una puerta puede excitar. El siguiente
ejemplo muestra el efecto de cargar la salida de una puerta.

Ejemplo 63

La Fig. 6.170 rcprwnlacl c,rcu~tode unapuertaenestado 1. Lacargacons~rrr enN entradas ~dermcs.


cada una de ellas con una resistencia de entrada de 2 kn. Para asegurar un funcionamiento correcto, la
tensin v, de entrada a las puertas de carga debe ser por lo menos de 3,5 V. Determinar el nmero de
puertas que se pueden atender, es decir, determinar el fan-out.

Solucin

El circuito de la Fig. 6.l7h cs el equ~valenre del de la Fig. 6- 170. La combinacin en paralelo de la\
N resistencias idnticas es 2/N kR que es la carga equivalente en la puerta. De la Fig. 6.17b

/N
ti, = x 5 2 3.5 v
0. I + ?/N

Despejando N tendremos N = X.57. Puesto que el nmero de etapas ha de ser un nmero entero, cl
tan-out es 8. Obsrvese que si se toma N = 9 tendramos \, = 3,44 V, valor por debajo del especificado.

Fan-in
Fan-III tb cl numero de entradas que un c~rcuto lgico puede admitir. SI se excede dc tstt valor la
puerta lgica producir una salida en estado indeterminado o incorrecto. Adems, las seales de entrada
pueden resultar deterioradas por la carga excesiva.

Disipacin de potencia
Las curva5 de la Fig. 6-l 8 wrrrsponden a las ondas tiplus de tcnsln y de uxr~mz en un cmxL!o dt
puerta real. Observemos que en cualquiera de los estados lgicos ni I ni i son nulos. En consecuencia, la
disipacin de potencia en continua o esttica tampoco es nula y la puerta consume energa cualquiera que
sea su estado. Adems aunque hagamos V(0) = 0 e I,,,, = 0 (reduciendo a cero la disipacin de potencia
estitica) la disipacin dinmica no ser nula debido al tiempo finito de transicin entre estados. Obsrvese
que durante el intervalo de la conmutacin 7 < t < Tz, y T, < f < T, tanto Y corno i difieren de cero.
En el consumo total de potencia de la puerta contribuyen tanto la disipacin esttica como la dinmica.
A veces el factor dominante es la disipacin esttica, y en otros casos, como ciertos sistemas VLSI
fabricados con la tecnologa CMOS, la disipacin dinmica representa la mayor parte de la total.
232 Microelectrnica moderna

Velocidad de actuacin

La velocidad a la que puede trabajar una puerta depende del tiempo neceww para qu ura seal se
propague desde la entrada hasta la salida y del tiempo de transicin de uno a otro estado. En la Fig. 6.19
se han representado las ondas tpicas de entrada y de salida de un inversor. Los tiempos de subida y de
bajada f, y r, respectivamente miden los tiempos de transicin entre estados Ibgicos. Ambos trminos se
definen por el tiempo transcurrido durante la variacin de tensin desde el 10 al 90% de la diferencia
V(l)-V(0). Los tiempos de subida y de bajada tienen su importancia ya que los bordes de entrada y de
Circuitos lgicos bsicos (digitales) 233

salida de la seal se emplean frecuentemente para excitar otru wcuitos. (Esto es particularmente
significativo en cuestiones de temporizacin y sincronizacin que se vern en el Captulo 8.)
El retraso de propagacin t, es la diferencia entre los momentos en que las tensiones de entrada y de
salida estn al 50% de su valor. Observemos que debido a que las transiciones de V(0) a V(1) y de V(1) a
V(0) no son necesariamente iguales, los tiempos de demora difieren entre s y muchas veces se designan
aadiendo los subndices HL y Uf. As, tPHLy f,,, son los retrasos de transmisin de alta a baja y de baja
a alta respectivamente.
La onda rectangular de la Fig. 6-l Y representa una seal de entrada ideal con una transicin instantnea
(r, = 0) en el momento en que la sefial real alcanza el 50% de su valor. Esta entrada permite calcular el
retraso de propagacin (Sec. 6-5) con ms realidad que partiendo de la seal de entrada real. Como se
indica en la misma figura los trminos t,,, y t,,~, son solamente valoraciones de la respuesta de la salida a
los impulsos rectangulares de entrada.
El tiempo necesario para que un circuito lgico realice dos transiciones sucesivas (de forma que vuelva
asu estadooriginal) constituyeel tiempode ~nciclosealado,r~~~ en IaFig. 6-19. Muchas veceseste tiempo
se expresa por su inversa la frecuenciaf,,. Normalmente los slstemas digitales operan con tiempos de ciclo
del orden de 20 a 50 veces mayor que eiktraso de propagacin de la puerta.
Frecuentemente se utiliza el producto retraso-potencia (a veces llamado impropiamente de velocidad-
potencia) para compara entre s puertas lgicas: no es ms que simplemente el producto del retraso de
propagacin por la disipacin de potencia de la puerta. No obstante, como dos realizaciones de circuito
lgico distintas pueden tener el mismo producto, muchas veces el diseador tiene que decidir si para la
aplicacin que se pretende es ms importante la rapidez de la actuacin o el bajo consumo de potencia.
Generalmente los fabricantes especifican los valores corrientes de t, y Pcjx.

6-5. EL INVERSOR NMOS


La familia lgica NMOS es una de las cuatro tecnologas ms usadas para formar circuitos digitaleb.
El nico componente utilizado en la fabricacin es el transistor NMOS que se puede usar tanto como
interruptor gobernado que como resistencia. El poco espacio ocupado por cada transistor en el chip junto
con la sencilla configuracin del circuito hacen que el circuito NMOS tenga la ms alta densidad de
componentes. Esta gran densidad es aprovechada en los sistemas integrados a muv gran escala (VLS en
donde por ahora domina la tecnologa NMOS. Si bien los circuitos I&os NMOS k se encuentran en el
mercado en encapsulados integrados a pequea y mediana escala (SSI y MSI) como sucede en las otras
tres familias lgicas que trataremos, hemos preferido comentar esta familia en primer lugar porque su
comportamiento se asemeja al de la simple combinacin de interruptor y resistencia de la Fig. 6-l.

MOSFEI
de carga
(resistencia)
Salida
234 Microrleclrnica moderna

La carga saturada
La estructura bsica de un NMOS inversor es la representada en la Fig. 6- 200. El exatador es un
dispositivo de acumulacin, siendo posibles varias formas de resistencia de carga. Una de estas cargas
emplea un transistor de acumulacin (Fig. 6-20b) cuyas conexiones del sustrato pueden verse en la
Fig. 6-20~. Este circuito fue analizado en el Ejemplo 4-2 para el caso en que, uDD = 6 V estando ambos
transistores caracterizados por k = 20 PA / P, v,= 2V y WIL = 1. La caractenstlca de resistencia, la lnea
de cargas y la caracterstica de transferencia dadas en las Figs. 4.236,4-24 y 4-25 se repiten para mayor
comodidad en la Fig. 6-21. Recurdese que la caracterstica de resistencia (Fig. 6.21~) es I,, en funcin
de VG, = Vos2.La lnea de carga se construye a partir de las leyes de Kirchhoff, es decir, que I,, = ID>. y
S, = DD-,,.

La caracterstica de transferencia (Fig. 6-2 Ih) es la representacin grfica de v,, = V,,, en funcin de
Y, = VG,, para cada punto de la recta de carga.
En los puntos A y B la pendiente de la curva es igual ala unidad y con ellos se determinan Vo, = 3,s V,
V, = 2 v, v,, = 2s v y v,, = 4 v.
Es evidente que la forma de la caracterstica de transferencia de !a Fig. 6.2lh no se puede comparar
favorablemente con la ideal de la Fig. 6. 14a. De hecho el funcionamiento de este circuito es inaceptable
ya que el margen de ruido (NM,,) es negativo (V,; V,,, = 3.8.4,0 = -0,2 V).
En este circuito slo se pueden ajustar tres parmetros: el factor k, VT y la relacin W/L. Se puede
demostrar (problemas 6.31 y 6-33) que las variaciones de k y de V, influyen poco o nada sobre el
comportamiento del circuito. Sin embargo se puede mejorar considerablemente alterando la relacin W/L
del transistor de carga. Esto queda demostrado en el ejemplo 6-4.

Ejemplo 6-4

El MOSFET excitador tiene WIL = 1, pero el de carga de la Fig. 6.2Oh se cambia de fumu que W/L = 1/4.
Tanto Q 1 como 92 tienen k = 20 pA/V y VT = 2 V. La tensin de alimentacin es V,, = 6 V. (a) Esbozar
la caractetistica de transferencia: (h) determinar el margen de ruido.

Solucin

(u) En la Fig. 6-21~ se han trazado las caractersticas de Ql. Las de Q2 se dan tambin en la misma
figura salvo que la escala de 1, debe multiplicarse por la relacin W/L = l/4. La caracterstica de carga
~ienedadaporI,~enfuncinde V,,,para VG,, = V,,. Los correspondientes valores aparecen en la siguiente
tabla
v,,,, = v,;,, (VI 2.0 2.5 3.0 3.5 4.0 4.5 5.0 5.5 6.

Im (PA) 0 1.25 5.0 11.3 20.0 31.3 45.0 61.3 80.0


Esta resistencia de carga nos lleva a la lnea de carga de la Fig. 6-22~1, basada en f,, = Iu2 y V,, =
V,,-V,,,. La caracterstica de transferencia de la Fig. 6-22b (v,, = V,,, en funcin de Y, = VG,,> se deduce
de la lnea de carga.
(b) En la Fig. 6-226 estn sealados aproximadamente los puntos de la caracterstica de transferencia
con pendiente unidad, que quedan identificados por Vo, = 3.9 V, Vo, = 0,9 V y V,,, = 3,6 V, V,,. = 2,l V.
Valindonos de la Ec. (6-29) tendremos:

NM = 3.9 - 3.6 = 0.3 V y NML = 2.1 - 0.9 = 1.2 V

La caracterstica de transferencia de la Fig. 6-22b se aproxima ms a la ideal que la de la Fig. 6-21 h


(con W/L de Q2 = 1). Comparando entre s las Figs. 6-2 1b y 6-226 se ve que si disminuye la relacin W/L,
V( 1) y V(2) quedan ms claramente definidos y la pendiente en la regin de transicin es mayor. El efecto
de reducir la relacin W/L se aprecia comparando las lneas de carga de las Figs. 6-21~ y 6.22a. En esta
segunda figura, la lnea de carga corta ms caractehsticas de salida en la regin hmica de lo que lo hace
la de la Fig. 6-214 motivando que la transicin entre estados sea ms abrupta.

0123456
(al (b)

Irigura -22. (U, Linea de carga, y (bj caracrersuca de transferrncla de renwh del mversor del Epnplo -4. t.a carga dr
acumulacin tiene Wft. = 1 siendo la relacibn de aspecto del excitador igual a la unidad.
236 Microelectrnica moderna

Una subsiguiente disminucin de la citada relacin se traduce en una mejora del margen de ruido y en
unos niveles lgicos definidos ms abruptamente. Sin embargo esto slo se puede lograra expensas de
ocupar ms rea del chip ya que debe incrementarse la longitud de 92. Por tanto, los diseadores de
circuitos deben compaginar la mejora de las prestaciones con la reduccin de la densidad de componentes.
La prctica indica que relaciones entre 114 y 1/5 pe rmiten llegara soluciones de compromiso aceptables.
Circuitos lgicos bsicos (digitales) 237

Carga lineal (no saturada)


Existe una \rgun& tcnica pal-a mc,lol-a, la\ pre\tuone~ de un NMOS ~nvcnor, que wn>,\tc i,
<mplear una re~i\tenci de carga lineal (hmica o no saturante). De lo visto en la Seccin 4-7 se deducE
que un MOSFET trabajar en la regin hmica si

v<;isz - vm + VD VG, = 0 (6-31)

pu1 IlllW V,,, - V,,, = VGG - VD = 9 - 6 = 3 JJ,

/
y con V, = 2 V, se cumple la Ec. (6-30) de forma que Q2 se ve obligado a trabajar en su regln lineal,
Consideremos el inversor de la Fig. 6.23 en el que Q.1 tiene las caractersticas representadas en la
/
, Fig. 6.21~ y Q2 las de la Fig. 6.23h. De la Ec. (6.31) se @duce

V 52 = vm - 3 (6-32)
I
Para cada valor de VG, de la Fig. 6-23b, se determina V,,, mediante la Ec. (6-32). La corriente ID, para
cada par de valores VG,, y V,, se coloca sobre VDS,resultando la caractetstica de carga de la Fig. 6.236.
Obsrvese que puesto que Q2 opera en su regin hmica, la caracterstica de carga es casi lineal. En la
Fig. 6-23~ se ha trazado la lnea de carga partiendo de V,,, = 6-V,,, e I,, = l,,. La caracterstica de
transferencia resultante (la. = V,,, en funcin de v, = V,,\,> puede verse en la Fig. 6.23d. Esta curva se
/ parece mucho ms a la caracterstica ideal que la de carga de acumulacin. Obsrvese que eligiendo VG,
mayor que V,, en por lo menos VT, V( 1) = V,,. Los mrgenes de ruido son NM, = 5,7-4,7 = 1 V y NM, =
2,5- 1,7 = 0,s V. El mayor inconveniente de usar la carga lineal es que se necesitan dos suministros de
tensin distintos. Muchos sistemas, tanto analgicos como digitales, han de trabajar con una sola fuente
por diversas razones (coste, tamao, disponibilidad o disipacin de potencia, etc.).

La carga de deplexin
El empleo de un transistor de carga NMOS de deplexin (Fig. 6-24) es una tercera forma de mejorar
la actuacin de un inversor. Esta configuracin aparece por primera vez en la Fig. 4-29a y se hace uso de
ella en el Ejemplo 4-4. Las caracterfsticas de deplexin de Q2 se muestran en la Fig. 4-296 repitindose
para mayor comodidad en la Fig. 6-24b. El MOSFET de acumulacin Ql es el mismo que se emplea en
otros inversores comentados en esta Seccin (Fig. 6-23~ o 6-24~). Procediendo como en el Ejemplo 4-4
se obtienen la lnea de carga de la Fig. 6-24~ y la caracterstica de transferencia de la Fig. 6-24d. Como
con la carga lineal, i,ll) = V,,,, pero VAO) es de tan slo de unas oocas dcimas de volt. Obsrvese oue la
curva de transferen& se apr&ika mucho a la canctefistica ideal. Los mrgenes de ruido conseguidos
so NM.. = 2.4 V Y NM, = 2.1 V.
A pesar de qu para?abkcar dispositivos de deplexin y de acumulacin en un solo chip se requieren
pasos adicionales en el proceso, la gran mejora obtenida en su funcionamiento hace que esta configuracin
se emplee mucho en los circuitos lgicos NMOS actuales.
238 Microelectrnica moderna

6 A
Vo,,= 5.8v
5

4
3
2
1 YOi=o.sv/ li
0Ll- i> v
2.6 = v,,, vsu= 3.4
cl 1 2 3 4 5 6
Cd)
Circuitos lgicos bsicos (digitales) 239

Resumen
Los tres mtodos empleados para mejorar la actuacin de un inversor son: reducir la relacin W/L,
utilizar una carga lineal (no saturante), y emplear una carga de deplexin. En la Fi,g. 6-25 estn
representadas las caractersticas de transferencia de cada uno de los cuatro casos presentados en esta
seccin. Estas curvas demuestran claramente que la carga de depiexin (curva la) es la tcnica ms eficaz

6-6. RETARDO DE PROPAGACIN DE UN INVERSOR NMOS


El retardo de la propagacin depende de la rapidez con que se cargan y descargan las capacidada del
MOSFET y de las cargas del circuito durante la transicin entre dos estados. En relacin con el propio
dispositivo existen las capacidades de puerta-drenaje, drenaje-sustrato y fuente- sustrato. (Si stos no estn
conectados entre s.) Adems, el xido relativamente grueso introduce capacidades laterales con cada
uno de los elementos del dispositivo. (Vase la Fig. 5-2 y obsrvese que la capa metalizada, el xido y ya
sea la puerta, el drenaje CIla fuente constituyen las tres capas de un condensador.) Todas las capacidades
del dispositivo son dependientes de la tensin hacindose necestio un clculo simulado para obtener
resultados ajustados. Para los clculos manuales pueden sumarse todos los efectos capacitivos para formar
un nico condensador total C,o, como en la Fig. 6-26~.

El inversor en su forma ms simple consta de un interruptor gobernado, una resistencia de carga R, y


una capacidad equivalente C_ (Fig. 6-266). Puesto que el elemento de conmutacin no es ideal se incluye
la resistencia R, durante el intervalo en que Ql est en conduccin. Cuando Ql est en corte (OFF) se
supone que el mtenuptor abielto tiene resistencia infinita. Consideremos que la entrada est en V(0) de
forma que v. = V( 1) = V,,; as C,o,queda cargado a V,,. Ahora, en el momento t=O se cierra el interruptor
debido a una transicin instantnea de la entrada de V(0) a V( 1). La salida debe hacer la transicin contraria
y Cm,descargarse hacia V(0). En la Fig. 6-26b est representado el circuito equivalente para r > 0 con el
intermptor cerrado (RONconectada a tierra). El condensador se descargar hacia V(0) = R,, VJ (RON+
240 Microelectrnica moderna

R,) con una constante de tiempo z,,~= C,,,, R&/(R,, + R ,,)? La onda de salida para vO(r)es la de la Fig.
6- 27a. El retardo de propagacin zPHL,como se ve en la misma figura, es el tiempo que transcurre para
que v, caiga desde V(1) hasta V [media entre V(1) yV(O)]. Obsrvese que
V = V(0) + 1 [V(l) - V(O)1 = i [V(l) + V(O)] (6-33)
,CO u,(r)
t t

l I 1 I
I
0 PM 0 $ul
(01 (6)
Figura 6-27. Ondas de adida de la Fig. 6-26b mostrando: (a) la transicin de V(1) a V(O), y (b) la transicin de V(O) a v(1).

El circuito equivalente para la transicin de ON a OFF es el representado en la Fig. 6-266 con el


interruptor abierto y con va = V(0) en el instante f = 0. El condensador se ir cargando exponencialmente
desde V(0) hacia V( 1) con una constante de tiempo T,,~= C,O,R, como indica la Fig. 6.27b. Como
R, za RON,Ti > zHLy por tanto Pm > tPHL
Si R, y Ro,, fueran constantes, fPu, y tPHLpodran calcularse a partir de las expresiones analticas de las
curvas exponenciales de la Fig. 6-27. Sm embargo, puesto que estas resistencias no son lineales (varan
con la tensin) para calcular el retardo de propagacin se emplea un mtodo aproximado ms simple.
Seguidamente veremos esa forma de clculo basada en la cuanta de la carga transferida a (o desde) CgO,.
En unatransicin de V( 1) a V(0) la corriente disponible para descargar C,,j8es i, = i,. i, (Fig. 6.26). Esta
corriente vara con el tiempo, y designaremos el valor medio de i, con 1 Durante un intervalo de tiempo
At la variacin de carga en C,O,es 1 Iw 1 At. Si durante este intervalo de%etnpo la variacin de la tensin
de salida es A v0 la variacin de carga vendr dada tambin por C,O,A vy de donde

IhvlAt = Cm, Au,, (6-341


con A f = tpHYHL,
de la Fig. 6-270, A v0 = [V(l)-v(o)]/2 y de la EC. (6-34)

tp/L = 2c,,,II,,1 [i/(l) - V(O)] 16-35)

Elval0rde1~~ se obtienecalculando i, = i,-i,medido a V,, y a V = (V,, + 1/,,)/2 y promediando ambos


valores. As pues

IAb = I[(b - iJ,, + (i,> - i,)/,] (4-36)


Ejemplo 6-5

Determinar tPHLpara el circuito inversor de la Fig. 6-24~. Supngase que Cm, = 0,2 pF y que la seal
de entrada tiene V(0) = 0,3 V y V( 1) = 6 V.
Circuitos Igicos bsicos (digitales) 241

Solucin

Para calcular fp, vase en la Fig. 6.24d que la salida est en Vo, = V,,, = 53 V y que la entrada ha
cambiado a V( 1) = VG,\,= 6V. Para este par de valores se obtiene la corriente i, = 320 mA de la
Fig. 6-24~. Para el transistor de carga, cuando V,],, = 53 V, V,jAj = V,l,,- VDA,= 6-53 = 0,2 V. Las
caractersticas de carga del MOSFET de la Fig. 6.24b sealan que i, = i,, = 5 &4 con V,,,, = 0,2 V y VG,, = 0
A la tensin v del 50% [Ec. (6.3311 VDS,= VO = (5.8 + 0,5)/2 = 3,15 V y VG,, se mantiene en 6 V.
Correspondindose con este par de tensiones tendremos (Fig. 6-24~) i, = ip, = 310 pA. De lacaracterstica
de carga de la Fig. 6-246, en la que V,,, = 0 y V,,, = 2,85 V tendremos I, = I,, = 20 pA. Y as, de la Ec.
(6-36) se obtiene
(320 - 5) + (310 - LO)
1ab = - = 303 FA
2
y la Ec. (6-35) da

0.2 x 10 l2 (5.X 0.5) = ,,7j ~


l,,,,, =
2 x 303 x 10 6

Haciendo un clculo anlogo (probl. 6-40) para el retardo de propagacin, ya que la salida sube cuando
la entrada cambia bruscamente de V( 1) a V(O), obtendremos tpw = 26,5 ns. Obsrvese que tal como era de
esperar f uI tpHr: El anlisis seguido en el Ejemplo 6-5 es slo aproximado, pero concuerda bastante
bien (= f5%) con el clculo con simulador.

6-7. PUERTAS LGICAS NMOS


Los inversores de la Seccin 6-5 se pueden modificar para formar puertas NAND y NOR empleando
mltiples excitadores alimentando una sola carga. El circuito de la Fig. 6-28~ es una puerta NOR de dos
entradas (fan-in2) consiste en dos excitadores NMOS de acumulacin idnticos y una carga de deplexin.
Como inversor ideal este circuito se comporta en forma anloga al de la Fig. 6-28b en el que los
interruptores estn abiertos con entradas V(0) y cerrados con entrada V(1). En consecuencia si una
cualquiera de las entradas, o ambas, de la Fig. 6-286 est en V( 1) queda cerrado un interruptor y vu = V(0)
= 0. La salida Y es V( 1) = V,, slo si las dos entradas A y B estn a V(0) (ambos interruptores abiertos).
En el circuito real NOR de la Fig. 6-28a, una o ms seales de entrada mayores o iguales a V,H hacen
que la salida sea menor o igual a Vo,. Slo cuando ambas entradas estn por debajo de V,L tendremos v,, 2
Vo,. En la Fig. 6-16 los valores de las tensiones de salida y de entrada se designan: Va(l) >V,, V,(O) 5
Vo,, VJl) > V,,,, y V,(O) < V,. Empleando estas notaciones, la lgica NOR queda expuesta en la tabla de la
verdad de la Fig. 6-28~.
Cabe aumentar el nmero de entradas (fan-in) colocando excitadores adicionales en paralelo. El
mximo nmero de ellos viene limitado por la corriente que el MOSFET de carga puede tolerar y por los
efectos de la carga de la entrada (tal como CJ.
La puerta NAND de la Fig. 6-29~ se ha formado conectando en serie los excitadores y la carga. La Fig.
- 6-29beselequivalenteidealizadoy 1aFig. 6-29~ es la tablade laverdad. EnlaFig. 6-296 slo hay corriente
si ambos interruptores estn cerrados, es decir que tanto A como B deben estar en lgica 1 para que la
salidaseaV(0) = 0. CualquierotracombinacindeentradasdarunacomentenulaenR,yenconsecuencia
Y = V,, e Y = 1. La puerta NAND NMOS de la Fig. 6-29~ dar Y = 0, lo que corresponde a v, < Vo, ~610 si
iy B son 1 (tensiones de entrada mayores o iguales a V,,,).
Obsrvese que cuando uno o los dos excitadores estn en corte se consume muy poca potencia en
cualquier circuito, pero si los dos estn en conduccin la disipacin de potencia esttica ya no es
despreciable. La puerta NAND consume potencia slo durante una de las cuatro condiciones de entrada
posibles, mientras que la puerta NOR disipa potencia durante tres de los cuatro estados. Sin embargo, la
NORaventaja a la NAND en que todos los terminales de fuente de los excitadores estn conectados atierra.
Esta conexin permite que cada sustrato quede conectado directamente a su terminal de fuente facilitando
la fabricacin.
Una importante particularidad en la fabricacin de circuitos NMOS es el hecho de que requiere un solo
transistor de carga independientemente del nmero de sus entradas, lo que influye notablemente sobre la
densidad de componentes alcanzable. Adems, aun cuando la relacin W/L del MOSFET de deplexin
necesita ms tiea de la ocupada por cada excitador, ello no representa un aumento significativo de la
superficie total del chip ya que slo se necesita un transistor de carga.
Las configuraciones de circuitos MOSFET comentadas en esta seccin son una fotma de lgica de
transistor directamente acoplado (DCTL) que ya fue tratada al desarrollar los circuitos lgicos bipolares.
Otro ejemplo de DCTL empleando MOSFET es la puerta AOI @ND-OR- NVERT) que se ver en la Seccin
7-l.

Figur6.3U.ju)L)lagramade~ircurtode uninversorCMOS;(hJ~urepresentac~bnequrvalente deinterruptorrs;(i)~sraclenlnc*


de transferencia de tensin del sistema en (a) con V,, = 5 V y tensiones umbral de 2 V(Q1) y -2 V(Q2)

6-8. EL INVERSOR CMOS


Los circuitos digitales complementarios metal-xido-semiconductor son muy empleados por tener la
ventaja de virtualmente no tener ninguna disipacin de potencia esttica en ninguno de los dos estados de
lgica 1 o 0. El inversor bsico CMOS, descrito ya en la Seccin 4-15 tiene la configuracin de la Fig.
6-30. El excitador NMOS y la carga PMOS conectados en serie son dos transistores de acumulacin. Sus
drenajes est idos y la serial de salida se toma en este nudo. La seal de entrada se aplica simultnea-
mente a ambos dispositivos en el tetminal comn de puerta formado al conectar entre s ambas puertas.
La tensin de entrada v, vara entre V(0) = 0 y V( 1) = V,,. Cuando v,,3 0 tendremos V,,, = 0 y Ql est en
corte (ow) mientras que VG, = -V,,,, y el PMOS Q2 est en conducclon (ON). No obstante, puesto que los
dos FET estn en serie, la corriente en Q2 es igual a la de Ql (I,, f,, = 0) aun cuando la tensin de
puerta tenga un valor que tericamente provocara la conduccin. En otras palabras: Q2 opera en el origen
de la caracterstica de salida del PMOS correspondiendo auna tensin de puerta V,,s2= V,,. Puesto que
VDJ2= 0 se deduce que v0 = VDm Se ha cumplido la inversin porque vii = V (1) cuando v, = V (0).
Supongamos ahora que vz= V,, = V,,; entonces Ql est en conduccin. pero Q2 co VG, = 0 est en
corte. Por tanto I,,, = -ID2 = 0 y Ql trabaja en el origen de la caracterstica de drenaje del NMOS
independiente de V,. Ya que la tensin a travs de Q 1 es cero, ve = 0. Nuevamente se llega a la propiedad
del NOT; v0 = V(0) cuando v, = V(1). En cualquier estado lgico Ql o Q2 est en OFF y la disipacin de
potencia en reposo es tericamente nula. En realidad, esa potencia es igual al producto de las corrientes
de fuga en OFFpor vDD lo que supone unos pocos nanowatt por puerta.
De los razonanuentos anteriores podemos deducir que el circuito de la Fig. 6-306 es anlogo al
funcionamiento de un CMOS. Como siempre ha de haber un intermptor abierto, no existir corriente
alguna entre la tensin de alimentacin y tierra y por tanto tambin la potencia ser nula. En una situacin
ideal la conmutacin es instantnea sin consumo de potencia dinmica. En el prximo prrafo demostra-
remos que en la prctica la potencia dinmica no es cero.
244 Microelecm5nica modernu

Consideremos el circuito de la Fig. 6-3Oa en el que V,, = 5 V, Ql tiene VT = 2 V, y QZ tiene V, = -2


V. Supongamos que el factor de procesado k y la relacin W/L sean las mismas para el PMOS y el NMOS.
De nuestros anteriores razonamientos deducimos que con Y,< 2 V, Ql est en corte y con VG,, < -3 V, QZ
est en conduccin. La tensin de salida en estas condiciones es vO= V,,= 5V. Anlogamente con v, 2
3 v, 1 i,(, > -2 V, cortando Q2 y pasando Ql a conduccin de forma que la salida sea V(0) = 0 V. Sin
embargo, creciendo v, desde cero en el espacio 2 <vi <3 V estarn los dos dispositivos conduciendo con
10, = -I,,, y decreciendo v,,desde 5 a 0 V. En V,= 2,s V, vg = V,,/2 = 2,5 V como se ve en la caracterstica
de transferencia de la Fig. 6-30~. Obsrvese que la curva de esta figura se acerca mucho ala caracterstica
del inversor ideal de la Fig. 6-14~. Con los dos dispositivos en conduccin durante la transicin entre
estados(2 < L,< 3 VI circula una corriente en el circuitoconsumindosepote,lciadinmica.Frecuentemente
estoes un factor significativoen los sistemas integrados apequeaescalade tecnologaCMOS. En general
en un sistema digital se pretende una alta velocidad de accin, lo que corresponde aun tiempo de ciclo
corto i,, y una frecuencia alta f,., (Fig. 6-19). La disipacin de potencia dinmica aumenta y muy frecuentes
mente se necesita una puerta lgica para los cambios de estado. La potencia media consumida por una
puerta CMOS es proporcional a la frecuenciaf,,.

6-9. PUERTAS LGICAS CMOS


Las puertas NAND y NCJIIcomplementarias metal-xido- semiconductor pueden formarse a partir del
inversor bsico casi en la misma forma que con la tecnologa NMOS. Sin embargo, la principal diferencia
estriba en que cada excitador NMOS requiere su propia carga PMOS4. En consecuencia los integrados no
tienen tanta densidad de componentes como los circuitos NMOS.

La puerta NOR

En la Fig. 6-320 est representado un circuito CMOS NOR de dos entradas, y en la Fig. 6-326 la
representacin mediante interruptores ideales. Los excitadores estn conectados en paralelo (como en el
Circuitos lgicos bsicos (digitales) 245

GI v: IYO) IRi,,
PMO 1

L$J3 ;kf-J

;b N.hS V(l)T IV(O)1 c


c c
(al Ch) )

&ura -32. ,ii, Pucm si, lranmi\ln CMOS. (h) la tensinde enriada6, b, 1, j la *r gutx rn,>u~l~c>ll\l,irln
VI i, di p,111
L,[>,<,/
luego (0,: CC),mbol del CIICUiKL

NMOS) pero las cargas lo estn en serie. La necesidad de esta disposicin se hace evidente al analizar el
circuito de la Fig. 6-3 lb. Con cualquiera de los dos A y B en lgica 1, la salida queda puesta atierra. No
existe ningn camino entre el nudo de salida y el suministro de potencia V,,. Si tal camino existiera hara
que v. = V,, en contradiccin con la ley de Kirchboff. Poniendo las cargas en serie si una o las dos entradas
estn en 1 uno o los dos interruptores de carga permanecen abiertos. Y anlogamente, si ambas entradas
estn en V(0) ambos interruptores estn cerrados abriendo un camino desde la salida hasta V,,.

La puerta NAND
La puerta CMOS NAND se forma conectando en serie los excitadores y en paralelo las cargas. Esta
configuracin aparece en el Problema 6-48.

La puerta de transmisin
La configuracin del MOSFET complementario de la Fig. 6-32~ -ta como puerta de transmisin
(digital CJanalgica) gobernada por las tensiones complementarias C y C. Consideremos una lgica
positiva con dos niveles lgicos V(0) y V( 1). Supongamos que C = 1 de forma que vG, = V(1) y vc2= V(O)
como en la Fig. 6-326. (Prescindamos por ahora de los valores entre corchetes). Si A = V( 1). entonces vGs,
= V( 1). V( 1) = 0 y Ql est en OFF. Pero 1 vGs / = V( 1). V(0) > V, y vGszes negativo motivando que el
PMOS Q2 entre en conduccin. Puesto que no hay ninguna tensin de drenaje aplicada Q2 traba.ja en la
regin hmica en donde vos2= 0. Dicho de otra forma: Q2 acta como una pequea resistencia conectando
la salida con la entrada y B = V(1) = A. De forma similar se puede demostrar que si A = V(0) entonces Q2
est en CJFFmientras que Ql conduce y B = V(0) = A.
Consideremos el caso en que C = 0 de forma que vc, = V(0) y Y,.~= V( 1) como indican los valores entre
corchetes de la Fig. 6-32b. Si la entrada es V( 1) como se indica, entonces vGs,es negativa y el NMOS Q 1
es WF y vGsz= 0 estando Q2 tambin cortado. Como ninguno de los dos FET conduce, tenemos un circuito
abierto entre entrada y salida y por tanto queda inhibida la transmisin a travs de la puerta. Si la entrada
es V(0) nos encontraremos otra vez con que ambos dispositivos estn cortados. En resumen, si C = 1 la
puerta transmite la mrada a la salida de forma que B = A mientras que si C = 0 no hay posibilidad de
transmisin.
246 Microelerrrnica modernu

Puertabsica~027 Inversor 1 Imerwr 2

Dutllr \rpr*clr
Figura 6-33. PuertaNOR
CMOSde dosentradascon doble separacindebida a los inversores I y 2.

La n del PMOS est unida a V(l), la ms alta tensin positiva del circuito, y el sustratop del NMOS
a V(O), la tensin ms negativa. El smbolo de una puerta de transmisin es el representado en la Fig.
6.32. El control C es binario (slo puede tener uno de los dos valores), pero la entrada v,,puede ser o bien
digital, como se ha visto en prrafos anteriores, o bien una seal analgica cuyos valores mstantneos han
de estar entre V(0) y V( 1). Por ejemplo, una seal de entrada senoidal con V(0) = -5 V y V( 1) = + 5 V (y
cuyo valor de pico no supere los 5 V) aparecer en la salida si C = 1 (vG, = + 5 V), pero no se transmitir
a travs de la puerta si C = 0 (vc, = -5 V).

Familias lgicas CMOS


Los circuitos lgicos complementanos de metal-xido-semiconductor se pueden adquirir en el
mercado como subsistemas de integracin a pequea y a gran escala. Las familias lgicas CMOS son las
de las series 40CKlB, 74C y 74HC estando todas ellas fabricadas con puertas de polisilicio. Los circuitos
de estas series pueden operara tensiones de alimentacin tan bajas corno 3 V o tan altas como 20 V, una
circunstancia que proporciona al diseador una considerable flexibilidad. Cuando trabaja con una
alimentacin a 5 V, cada salida es capaz de estimular una entrada de una puerta lTL de la serie 74LS (esta
serie ser tratada en la Seccin 6-13).
La Fig. 6-3 la conesponde a la estructura bsica de la puerta NOR en esta familia lgica. Las salidas de
estas puertas estn doblemente separadas, es decir, que la salida de la puerta bsica constituye la entrada
al primero de un par de inversores en cascada como se ve en la Fig. 6.33. Los inversores en cascada. no
afectan la funcin lgica llevada a cabo. Sin embargo, las dimensiones de los MOSFET del inversor 2 son
mayores, con 10 que su salida es capaz de excitar muchas puertas similares (son corrientes fan-out de
ms de 50). La salida doblemente separada de la Fig. 6.33 tambin puede alimentar una carga no incluida
en el mismo chip que la puerta NOR con mayores capacidades de las conexiones.
La familia de circuitos CMOS ms moderna es la serie 74HC que utiliza mtodos de fabricacin ms
avanzados para reducir el tamao del conjunto. En consecuencia el retardo de propagacin es menor que
el de otras familias CMOS. Esta familia CMOS de alta velocidad tambin puede excitar la entrada de una
Circuitos lgicos bsicos (digitales) 247

puerta l-K. 74LS con retardo de propagacin comparable, y al igual que con cualquier circuito CMOS la
disipacin esttica de la puerta es extremadamente poca. Como sea que la caracterstica de transferencia,
particularmente con la doble separacin, est muy prxima a la del circuito lgico ideal, los mrgenes de
ruido son amplios y las puertas CMOS gozan de una buena inmunidad ante el ruido.

6-10. EL INVERSOR BJT


El inversor BJT de la Fig. 6-34a es simplemente el transistor intermptor descrito en las Secciones 3-5,
3-6 y 3-8. Las caractersticas de transferencia pueden deducirse de la siguiente forma:
1. Con v, 2 0,5 V = VT, la tensin de corte, (vase tabla 3-l) el BJT est cortado y despreciando I,, la
tensin de salida es V ic
2. El transistor es escasamente conductor cuando v, es ligeramente superior a 0,5 V y la pequea
corriente de colector que se forma hace decrecer vg desde V,, en lpc
3. En la regin activa directa, B,, tON,= 0,7 V e 1, = p, ~0,~~y

, - VEmON, (6-37)
IB =
RB
La tensin de salida viene dada por

u, = Vcc - I,R, = Vcc - y Iu, - VBE,ONJ (6-38)

Entonces, segn la Ec. (6-38) v0 decrece con v,


248 Micruetectrnica moderna

4. El crecimiento contmuado de I, satura ligeramente el transistor y ~1~)= 0,3 V. Con vi, = 0,3 V puede
hallarse I, por la Ec. (6-38) e I,, de la Ec. (6-37).
5. Un posterior aumento de la,satura fuertemente el transistor y \;, = V,, ,,,,,, = 0,2 V.

La caracterstica de transferencia resultante puede verse en la Fig. 6- 34b. En esta misma figura se han
sealado los puntos de pendiente -1 de forma que se pueden determinar V,,, V,,, V,L y V,,,. Observemos
que VO,, = VcCy V,,. vale aproximadamente VE, ,ON,= 0,7 V. Obsrvese asimismo que V,, es, tambin
aproximadamente, V,, ,ro,,= 0.2 V siendo V,,, el valor de v, correspondiente a v0 = 0,2 V obtenido de la Ec.
(6.38). Basndonos en estas observaciones trecuentemente es conveniente representar la caracterstica de
transferencia como en la Fig. 6-35. Los tres segmentos rectos representan el estado del circuito cuando el
BJT est en las regiones de corte, activa directa y saturacin. Los valores de V,,, V,L, V,, y V,,, se deducen
de los dos puntos de quiebro A y B. El punto A representa la transicin desde corte a activa directa, mientras
que en B el transistor est en el lmite de saturacin. La caracterstica aproximada de la Fig. 6-35 da
suficiente precisin para los clculos manuales obtenindose resultados ms ajustados de los clculos
simulados.
La caracterfstica de la Fig. 6-35, con valores de V,, = 0,2 V y V,L = 0,7 V da unos mrgenes de mido
algo optimistas. Los diseos ms conservadores parten de V,, = 0,3 V (V,, en el borde de saturacin) y
V,L = 0,s V, la tensin de corte. El valor de V,H puede regularse ajustando R, o R,. Con un valor de R,
dado, la reduccin de R, hace que el BJT se sature con un valor ms bajo de v, [vase Ec. (6-38)]. El mismo
efecto se consigue aumentando R, con R, fijo.

Inversor de transistor Schottky


Para llevar un BJT hasta saturacin existe una limitacin debida a que el retardo de propagacin
aumenta al aumentar el tiempo de almacenamiento de portadores minoritarios (ver Sec. 3-8). Este efecto
no se da en el MOSFET puesto que el FET es un dispositivo de portadores mayoritarios. Por tanto, el tiempo
de almacenamiento no se toma en consideracin en las Figs. 6-19 y 6-27. Para evitar la saturacin de un
transistor bipolar se conecta un diodo Schottky entre la base y el colector como en la Fig. 5.13~. A esta
combinacin se le denomina transistor Schotrky y se la representa con el smbolo de la Fig. 5-13~. En la
Sec. 5-3 se describe la fabricacin de este dispositivo y se explica el porqu un transistor Schottky no
puede llevarse a saturacin.
La base de wios de los circuitos TTL ms corrientes descritos en las Sec. 6-l 1 a 6-13 estriba en la
mayor velocidad de conmutacin que se obtiene con los transistores Schottky.

6-11. LA PUERTA TTL NAND


La recnologa de integracin a pequea escala (SSI) ms empleada en las dos ltimas dcadas
(1966.1985) ha sido la de la familia Igica transistor- transistor (TTL). La puerta NAND es el bloque
constructivo TTL bsico, y su desarrollo procede de una anterior familia lgica bipolar integrada llamada
Igica diodo-transistor (DTL). Como ayuda para el anlisis de la puerta NAND lTL puede ser til el
siguiente ejemplo describiendo el circuito NAND DTL.

Ejemplo 6-6
Circurtos lgicos bsicos (digitdes) 249

La puerta NAND DTL de lgica positiva de la Fig. 6-36 es esenciabnente un circuito AMI de diodo (Fig
2-13) en cascada con un inversor BJT. Las entradas binarias A, B y C tienen niveles lgicos correspon-
diendo alas salidas V(0) y V( 1) de puertas semejantes. Los parmetros del BJT son: V = 0,5 V, V :
0.7 V, V,, /sy,)= 03 V Y V,, (_,/ = 0,2 V. La tensin de corte del diodo es de 0,6 V y &ndo cond&?la
cada de tensin a su travs es de 0,7 V. Supngase que Q no est cargado por la etapa siguiente: (a)
Comprobar que el circuito funciona como puerta NAND para p, < p, ,,,,,,,,. (h) Hallar p,,,,,,,,,. (~1 Funcionar
el circuito si no se empleaD2~

(a) Los mveles de salida del inversor BJT (Fig. 6-35) son V(0) = V,, ,ru,i= 0,2 V y V(1) = V,, = 5 V.
Si por lo menos una de las entradas est en V(0) su diodo conduce y Vj = 0,2 + 0,7 = 0,9 V. Puesto que se
necesita una tensin de 2 x 0,7 = 1,4 V para que Dl y 02 conduzcan, estos diodos estarn en corte y V
= 0. Puesto que la tensin de corte de Q es Vy = 0,5 V, Q est en OFF, la salida se eleva hasta 5 V e Y =
Esto confirma las tres primeras lneas de la tabla de la verdad de la NAND de la Fig. 6- 1 lb.
+vcc (5 1

Si todas las entradas estn en V( 1) = 5 V supondremos que todos los diodos de entrada estn en OFF,
que Dl y 02 conducen y que Q est en saturacin. Si estas condiciones son ciertas, la tensin en P es la
suma de la cada en los dos diodos ms V Bz,_,) o sea V, = 0,7 + 0,7 + 0,8 = 2,2 V. La tensin a travks de
cada diodo de entrada es 5-2,2 = 2,8 V en sentido inverso justificando el supuesto de que D est en OFF.
Determinemos ahora la corriente de la base de Q
v, < v,> 5 - 2.2 = 0.560 mA
I, = c
RI
0.X
1: = vm..,,, Ibu mA
RZ 5

Itl = 1, - I2 = 0.560 - 0.160 = 0.400 mA


Pdilrlllcrldu que p, > p, ,,,/,//, este valor de I, satura Q y hace que I;) = V( 1) = Vc6 ,,,,,y confirma IU luma
lnea de la tabla de la verdad de NAND.
(b) El valor de P, imm) es 1, ~lo,,/~8.
1 V CC - VCElrarl 5 - 0.2
ara,, = = ~ = 2.182 mA
RC 2.2
1 2.182
PF(rni>= - ctsat1 = ~ = 5.46
Is 0.400

As, con p, > 5,46 el supuesto de que Q est saturado es vlido.


((.) Si por lo menos una de las entradas est en V(O), entonces V, = 0,2 + 0.7 = 03 V. Por tanto, si entre
el punto P y la base B hay un solo diodo D 1, entonces V,, = 0,9-0.6 = 0,3 V representando 0,6 V la tensin
de corte del diodo. Puesto que la tensin de corte de la base es VT= 0,5 V, tericamente Q est en corte.
Sin embargo, ste no es un diseo verdaderamente conservador, ya que una pequea punta de mido
(> 0.2 V) pasar Q a ON. Un diseo ms conservador emplea tres diodos en serie en lugar de los dos
sealados en la Fig. 6-36.
En los comentarios anteriores hemos supuesto, fuera de la realidad, que la puerta NAND no tena ninguna
:arga. Si excita N puertas anlogas podemos decir que su fa-out (salida en abanico) es N. El transistor
de salida acta ahora como sumidero de la corriente en la entrada de las puertas que excita. En otras
palabras, cuando Q est saturado (Y = 0) la corriente de entrada 1 de una etapa posterior (Fig. 6-36) se
suma a la corriente de colector de Q. Supongamos que todos los diodos de entrada a la siguiente etapa
(considerada como una fuente de corriente) estn altos a excepcin del excitado por Q. De esta forma, la
corriente en este diodo es / = (5-0,9)/5 = 0,820 mA. A esta corriente se le denomina carga normal. Ahora
laconiente total de colectordeQ serl, = 0,820N + 2,182 mA, siendo 2,182 mA lacorriente de colector
descargado hallada en la parte a del anterior ejemplo. Siendo la corriente de base casi independiente de
la carga, I, se mantiene a su anterior valor de 0,400 mA. Si atribuimos a p, imrn,el razonable valor de 30,
el fan-out vendr dado oor

lc = 0.82ON + 2.182 = 30 (0.400) = 12.0 mA


de donde N = ll ,97, pero como N ha de ser un nmero entero es prudente tomar N = ll. Naturalmente no
debe sobrepasarse la corriente nominal de Q.
El circuito bsico TTL NAND que emplea la topologa de la puerta DTL puede verse en la Fig. 6-37.
Las uniones de emisor del transistor Ql de emisor mltiple de la Fig. 6-37 reemplazan los diodos Dael
rectngulo de la izquierda de la Fig. 6-36. Adems Dl est sustituido por la unin de colector de Ql. La
unin de emisor y la resistencia de emisor R, de Q2 de la Fig. 6-37 sustituyen a 02 y R2 de la Fig. 6-36.
.Amb\ circuitor utilizan un in\,erx~r de salida (Q3 o Q). La explicacin del funcionamiento de la puerta
TTL es pnialrla a la del interruptor DTL. AG pu&. si por lo menos una de las entradas est en V(0) = 0,2
v. etCe\:
v, = 0.2 + 0,7 = 0,9 v.
Para que la unin de colector de Ql est con polarizacin directa y Q2 y Q3 estn ec ON se necesita
que V, sea de unos 0,7 + 0,7 = 1.4 V. Por tanto, Q2 yQ3 estn cortados (OFF); la salida sube a V,, = 5 V,
e Y = V(1). Por otra pate, si todas las entradas estn altas (a 5 V) los diodos de entrada (las uniones de
emisor) tendrn polarizacin inversa y V, sube a V,, y lleva Q2 y Q3 a saturacin. Entonces la salida es
V cz ,,B,,= 0,2 Ve Y = V(0) (y V, queda a unos 1.6 V).

Actuacin del transistor de entrada


Las explicaciones dadas en el prrafo anterior suponen que Ql acte como unos diodos en oposicin
y no como un transistor. A las mismas conclusiones se llega si se tiene en cuenta el funcionamiento de
Ql como transistor.
Circuitos lgicos bsicos (digitales) 251

Condicin 1. Si por lo menos una entrada est baja, Y,= 0,2 V. El emisor de Ql tiene polarizacin
directa y suponemos que Q2 y Q3 estn en corte (OFF). La corriente I,, ( = 0 en el colector P debe ser la
corriente desde el emisor a la base de Q2. Por tanto I,, es igual a la corriente de saturacin inversa del
diodo de la unin de emisor de Q2. Puesto que esta corriente es sumamente pequetia (unos pocos
manoamperios) Z,, > Ic,/fif y Ql est en saturacin. La tensin en Fes igual a VcE ,sB,,+ v, = 0,2 + 0,2
= 0,4 V. Esta tensin es demasiado pequeria para poner Q2 y Q3 en conduccin. Esta argumentacin
confuma nuestra suposicin de que Q2 y Q3 estn cortados, y por tanto Y = V( 1) = V,,
Condicin 2. Todas las entradas estn altas. Los emisores de Ql tienen polarizacin inversa mientras
que el colector la tiene directa porque la base de tipop est conectada a los 5 V positivos de la alimentacin
(a travs de la resistencia de 4 k R ). Por tanto Ql est operando en el modo inverso (Sec. 3-3). La ganancia
de corriente inversa p, de un transistor integrado es muy poca ( cl). La corriente de entrada (ahora la
corriente de colector del transistor invertido) es &/$,. La corriente 1 (ahora la corriente de emisor del
transistor invertido) es -(l + Ijn) I,,.Esta fuerte comente satura Q2 y Q3 e Y= V(0). Esto concluye 1;
argumentacin de que la Fig. 6-37 obedece a la lgica NAND.
+I;, (5 ,
1

Tiempo de almacenamiento bajo


Vamos ademostrarque debido al funcionamiento como transistor de Q 1 durante la transicin, el tiempo
de almacenamiento f (Sec. 3-14) queda muy reducido. Obsrvese que la tensin de base Q2, que es igual
ala tensinde colector de Ql, es deO,E + 0,8 = 1,6 V durante la saturacin de Q2 y Q3. Si ahora cualquiera
de las entradas cae a 0,2 V, instantneamente la tensin de la base de Q 1 pasa a 0,9 V. En este momento
la unin de colector se polariza en inverso por 1,6-0,9 = 0,7 V, la unin de emisor est con polarizacin
directa y Ql est en su regin activa directa. La elevada corriente de colector1 de Q 1 elimina rpidamente
la carga almacenada en Q2 y Q3. Esta actuacin de transistor es lo que le da al IX. una velocidad mayor
que la de cualquier otra lgica saturada. Hasta que no se hayan eliminado las cargas de Q3 y Q2 (de forma
que estos transistores se corten) no se saturar Ql, como se ha comentado en la 1. condicin.

Diodos de enganchede entrada


Estos diodos (representados con lneas de trzos en la Fig. 6-37) frecuentemente se colocan entre cada
entrada y tierra con el nodo a tierra. Estos diodos no forman parte del circuito con seales de entrada
252 Microelectrnica nroderna

positivas, pero limitan a un valor de seguridad las tensiones negativas en la entrada. Estas seales negativas
pueden estar motivadas por resonancias entre inductancias parsitas de las conexiones y las capacidades
en paralelo.

6-12. ETAPAS DE SALIDA TTL

En los comentarios de la Sec. 6-l 1 relativos al fat-out se tuvieron en cuenta dos circunstancias: (1) el
transistor de salida debe saturarse cuando est cargado por N puertas, y (2) no debe sobrepasarse la
corriente nominal de este transistor. Ahora aadiremos una nueva consideracin (dinmica).
En el terminal de salida de la puerta lTL existe una carga capacitiva C, consistente en las capacidades
de los diodos con polarizacin inversa de las puertas de salida, ms cualquier capacidad parsita de las
conexiones. Si la resistencia del circuito de colector es R,, entonces cuando la salida pasa del estado bajo
al alto, el transistor de salida se corta y la capacidad se carga exponencialmente desde vc. (~~,,hasta V,,
La constante de tiempo R,.C, de esta onda puede suooner retardos de tiempo prohkxtwos para el
funcionamiento de estas puenas.

La etapa en totem
El retardo de salida puede reducirse disminuyendo R, pero esto aumentara la disipacin de potencia
cuando la salida est en su estado bajo ya que la tensin a travs de R, es V,,- V,, ,ru,,,En la Fig. 6-38 se
representa una solucin mejor, en la que el transistor acta como un circuito activo, sustituyendo la
resistencia pasiva. A esta configuracin de salida se le denomina amplificador totem porque el transistor
Q4 se asienta sobre Q3. Tambin se le denomina etapa de salida conductora de potencia o separador de
potencia.
El transistor Q2 acta como partidor defase ya que la tensin de emisor est desfasada respecto a la
de colector (con un aumento de la corriente de la base, aumenta la tensin de emisor y decrece la de
colector). Seguidamente vamos a explicar detalladamente el funcionamiento de este circuito excitador
refirindonos a la puerta TTL de la fig. 6-38.
Lircuitos lgicos bsicos (digitales) 253

Cuando Q2 y Q3 pasan a saturacin, la salida est en su estado bajo. Para este estado querramos que
Q4 estuviera en OFF ;lo est? Obsrvese que la tensin de colector de Q2 respecto a tierra N viene dada
por:

b <il _ V<,? ,,<,,, f LI!, 3l,.,l/ = 0.2 + 0.x = 1.0 v

Puesto que la base de Q4 est unida al colector de Q2 tendremos V,, = V,, = 1,O V. Si faltara el diodo
DO, la tensin base-emisor de Q4 sera

que pondra Q4 en saturacin. En estas condiciones la corriente constante a travs de l sera

lo que er CXCC\VOy malgastado. Ahora se vc clara la necesidad de aadir/IO.Con l en su lugar, la soma


de V,, y V,, es 0,8 V. Por tanto Q4 y DO estn ambos cortados. Resumiendo: si C, est a la tensin alta
V( 1) y se excita la puerta, Q4 y DO se cortan y Ql conduce. Debido a la elevada corriente en su regin
activa, Q3 descarga rpidamente C, y a medida que v0 se aproxima a V(O), Q3 entra en saturacin. El
transistor Q3 de abajo del totem es considerado como un sumidero de corriente que descarga C,.
Supongamos que estando la salida en V(0) hay un cambio de estado debido a que una de las entradas
cae a su estado bajo. Entonces Q2 cambia a OFFlo que motiva que Q3 se corte ya que V,, cae a cero. La
salida se mantiene momentneamente en 0,2 V ya que la tensin a travs de C, no puede cambiar
instantneamente. Ahora Q4 se satura y DO conduce, como se puede comprobar

+ v,,,, + c,, = 0.x + 0.7 + 0.2 = 1.7 v


VI,,&, = vH141\1111

y las corrientes de base y de colector de Q4 sern


5 1.7
I*i = v,i 1.4
v,,,\, ~
1.4
2 2.36 mA

Por tanto si p, supera p, im,n,= 1cd//84= 39,0/2,36 = 165, y Q4 est en saturactn. Se puede decir que
Q4 es una fuente que suministra corriente a C,. Mientras 94 se mantenga en saturacin, la tensin de
salida crece exponencialmente hacia V,, con la constante de tiempo muy pequea (100 +R,, + RI> C,,
siendo R, la resistencia de saturacin (Sec. 3-10) de Q4 y RI (de unos pocos ohms) la resistencia dlrecta
del diodo. Al aumentar v,, decrece la corriente en Q4, que pterde saturacin, y finalmente v,, alcanza un
valor estable cuando Q4 queda cortado. Por tanto, el valor final de la tensin de salida es:

i=G
II ,, = 5
<<-b /</I ./,,,,,,, v IX>1,,1,,<,h 0.5 0.h = 3.9 v = lV(1)

Si se suprimiera la resistencia de 100 R, el cambio de V(0) a V(1) sera ms rpido. Sin embargo se
necesita esta resistencia para limitar las puntas de corriente durante los transitorios de cambios de estado.
En particular, Q3 no pasa a OFF (debido al tiempo de almacenamiento) tan rpidamente como Q4 pasa a
ON. Si ambos transistores del totem condujeran al mismo tiempo, la tensin de alimentacin quedara
cortocircuitada de no existir la resistencia de 100 fi. El pico de corriente librado por la eliminacin durante
el transitorio queda limitado a I,, + IB4= 39 + 2,4 = 41,4 mA si se emplea esa resistencia de 100 fi. Estas
254 Microelectrnica moderna

puntas de corriente provocan midos en el sistema de distribucin de potencia y aumentan el consumo de


potencia a altas frecuencias

Salida de tres estados

Para ampliar las prestaciones de un sistema digital muchas veces se hace necesario combinar entre s
varios chip idnticos (Fig. 7-31). Consideremos un diseo tal que la n-sima salida Yv corresponda a Y,
del chip 1, a Y, del chip 2, a Y, del chip 3, etc. Segn sea la lgica especificada se reqwere que cualquiera
de las Y,, Y,, Y,, etc. (pero slo una de ellas) aparezca en una salida Y. Esto se consigue uniendo entre
s todas las Y,, Yn,, etc., (conexin OR enlazada) y habilitando ~610 el i-simo chip mientras Yu deba estar
en Y,,.La etapa de salida en totem, TL, de la Fig. 6-38, modificada para incluir la habilitacin puede verse
en la fig. 6.390 y su correspondiente circuito de salida de colector abierto en la Fig. 6.3Yh.
En la Fig. 6-39~ si la setial del chip selector o de habilitacin (CS) es baja, Dl y 02 estn en OFF y la
salida est en estado 1 o en estado 0 segn que los datos de entrada sean 0 y 1. No obstante, si CS est
alto, Dl y 02 estn ON; estos diodos enganchan Q3 y Q4 OFF,y la salida Y es realmente un circuito abierto.
Esta situacin conocida como fercer estado de alta impedancia permite la conexin OR de las salidas de
varios chips. El circuito de la Fig. 6-396 funciona en forma similar de tres estados. No obstante 10s
fabricantes designan la configuracin de la Fig. 6-39a como salida de triple estado (TS) y la de la Fig.
6-39b como salida de colector abierto (OC).

La caracterstica de transferencia
La puerta ITL NAND (Fig. 6.38) se convierte en inversor cuando todas las entradas esto unidas entre
s. La aproximacin lineal a la caracterstica de transferencia del inversor resultante, representada en la
Fig. 6.40 difiere de la de la Fig. 6-35 del inversor BJT bsico. La siguiente argumentacin cualitativa
justifica la forma de tal caracterstica (Fig. 6-40). Se deja para el lector la evaluacin numrica de los
valores crticos de tensin (Probl. 6-66).
Con v<-cV,~tanto Q2 como Q3 estn cortados, Q4 en saturacin y la salida es V( 1). En el ponto A, Q2
empieza a conducir. Sin embargo, la corriente producida en Q2 es insuficiente para provocar la cada de
tensin V,, = V, necesaria para poner Q3 en conduccin. La disminucin de V,,, mantiene a Q4 en
estado de conduccin pero ya no est en saturacin y da cuenta de la disminucin de v,~ Aumentando v!,
hasta su valor en B se aumenta la corriente de emisor en Q2 y por tanto Q3 pasa a ON. Entre B y C de la
Fig. 6.40, Q3 est en su regin activa directa y la salida decrece al incrementarse v, (similar a la regin
entre A y B de la Fig. 6-35). Al ir Q2 conduciendo ms, Q3 pasa a saturacin y Q4 acorte (en el punto C).
quedando la salida limitada a V,, ,r~,,con v, > V,,,

6-13. FAMILIAS LGICAS TTL


La familia lgica lTL original se denomin sene 54174 y usaba el circuno NAND de la Fig. 6-38 (o
con la etapa de salida de la Fig. 6-39 incorporada) como bloque constructivo bsico. Para aumentar la
velocidadse aadieron transistores Schotky llamndose aestafamiliaserie74S. Elretardodepropagaci6n
qued reducido a un tercio a expensas de duplicar la disipacin de potencia. No obstante, el producto
Circuztos lgicos bsicos (digitales) 255

retardo-potencia se mejor respecto la serie 74. Tanto la serie 74 como la 74s han sido suplantadas por
la serie 74LS (Schottky de baja potencia), la 74AS (Schottky avanzada) y la 74ALS (Schottky avanzada
de poca potencia).
La serie 74LS es en la prctica (en 1987) la familia normal lTL de uso general, en la que la puerta
Nm bsica es el circuito de la Fig. 6-41. Su topologa es similar a la de la Fig. 6-38 excepto que se han
sustituido los BJT por transistores Schottky. En la Fig. 6-41 la designacin de los transistores Ql a Q4 se
corresponde con las numeraciones de la Fig. 6-38. Los transistores con numeracin ms alta son los que
ha habido que aadir. Obsrvese que el transistor de emisor mltiple Ql de la Fig. 6-38 ha sido
reemplazado por el circuito AND de diodo Schottky (D 1.02 y 03). La adicin de Q6 elimina virtualmente
el segundo ponto de quiebro B de la Fig. 6-40 ya que tanto Q6 como Q3 deben ser conductores para la
corriente existente en Q2. Adems, debido a que ambas Q6 y Q3 cambian simultneamente, el punto A
de la Fig. 6-40 ocurre a tensin de entrada ms alta. El quiebro C corresponde a una tensin de entrada a
la que el transistor Q3 est enganchado debido al diodo Schottky. En consecuencia, se reduce la diferencia
de tensin entre V,L y V,,,
La inclusin de Q5 provee mayores corrientes de carga, cuando la salida es V( 1) que la que podra dar
Q4 solo. Obsrvese que los emisores de Q4 y Q5 van conectados a la salida (a travs de la resistencia de
4 kC). Los diodos 04 y D5 se emplean para aumentar la velocidad en que Q4 se corta cuando la salida
debe cambiar de V( 1) a V(0).
256
- Microelectrnica moderna

Puede verse el funcionamiento de baja potencia comparando los valores de las resistencias asociadas
con el transistor Q2 en las Figs. 6-41 y 6-38. Se puede dividir por 5 la disipacin de potencia conseguible
con una velocidad comparable.
La Puertas- 74AS es la ms rpida de la serie TTL. Se han hecho intentos para minimizare1 retardo
de propagacin a expensas de la disipacin de potencia. La configuracin del circuito es semejante a la
de la Fig. 6-41 salvo que se.emplean resistencias ms pequeas para mejorar la velocidad (menor constante
de tiempo) aunque aumenta la disipacin de potencia (corrientes ms elevadas).
La serie 74ALS se deriva de la 74LS cuyo diseo se.utiliza para reducir al mnimo la disipacin de
potencia. Como el retardo de propagacin tambin se reduce, esta serie tiene el mejor producto retardo-
potencia que cualquier otra familia lgica. El consumo de potencia se reduce debido al empleo de
resistencias ms elevadas y la correspondiente disminucin de corriente. La velocidad aumenta por la
anexin de elementos activos tales como tres seguidores de emisor pnp para reemplazar Dl, 02 y 03.
(En la Sec. 6-14 demostraremos que la resistencia de salida de un seguidor de emisor es baja, de forma
quelaconstantede tiemporesultantetambi~nespequea.)Lamejoradeprocesostcnicospermitefabncar
dispositivos pequeos que a su vez mejoran las caractersticas de velocidad de la serie 74ALS.

6-14. CIRCUITOS LGICOS DE EMISOR ACOPLADO


La familia lgica ms r$ida actualmente disponible es la lgica de emisor acoplado. Su veloctdad es
debida a usar el interruptor de corriente no saturante basado en el par de emisor acoplado (diferencial)
descrito en la Sec. 3- 12 y cuyo circuito est reproducido en la Fig. 6-42a. En esa Seccin se demostr que
Circuitos l@icos bsicos (digitales) 257

todas las tensiones y corrientes I,,, I,,, vO, y vozresponden a la diferencia de tensin Yo= Y,-Y~como se
indica en las Figs. 3-38 y 3-39 que por comodidad se han repetido en las Figs. 6-426 y c. La suma de
corrientes i,, + i, = a,Z,, = ItF para todos los valores de las tensiones de entrada vI y v,. Observemos que
a efectos prcticos, con vd 2 4 VT= 100 mV = 0,l V (a temperatura ambiente), i,, = Zkk e i, = 0. La
situacin es la contraria con vd negativa siendo / Y,, / > 4 V,. Aqu Ql est virtualmente cortado y toda
la corriente est en QZ. Si seleccionamos v2 para que sea una tensin fija de referencia VR,entonces cuando
la seal de entrada v, = v, cambie de VR + 0,l a VR-O,1la corriente 1, cambiar de Q, a Qz La variacin
de Y, desde VR-O,1a VR+ 0,l volver a pasar la corriente de Q2 a Ql. Mientras estos transistores Ql y Q2
esti en conduccin (-0,l < vd < 0.1) estn en su regin activa directa y uno cualquiera de ellos est
virtualmente cortado si v, est fuera de estos lmites.

6.~2. t<rjparde emiauraLupladocancaracwsticasdeUmaferencra


~~~~~~ deibi ~otiente de colector y (c) renmn dc aahda

Obsrvese que cuando 1 IJ$ 1 > 4 V,, I(~~estar alto y (IJ bajo, o viceversa. En trminos de Boole Iab
dos salidas son complementarias (si v, es Y, voJ ser 7). Las puertas lgicas de emisor acoplado
aprovechan esta circunstancia para habilitar simultneamente ambas entradas Y e Y.

Puerta bsica OR/NOR de emisor acoplado (ECL)


La topologa normal de la puerta OR/NORde emisor acoplado es la representada en la Fig. 6-43~. Se
obtiene a partir de la Fig. 6-42a empleando una tensin de referencia constante VRpara v2 de Q2, y con
transistores en paralelo con una resistencia de colector comn en el lugar de Ql. La Fig. 6-43~1corresponde
aun fan-in (nmero de entradas) de 2. Si una de las entradas A o B est en V(l) >V, + O,l, las salidas
sern vO,= V(0) y voz= V(l), y si ambas entradas son mayores que V, + O,l, nuevamente val = V(O) y voz
= V( 1). Sin embargo, si ambas entradas A y B estn en V(0) CV -O,l, voi= V( 1) y voz= V(O). Por tanto, voz
es la salida OR (Y? = A + R = u) y vO,en la salida NOR [Y, = (A%) = r] como se ve en la Fig. 6-436.

La tensin de referencia \ R

La fuente de corriente de la Fig. 6-43 est formada de la manera ms simple por una resistencia R,
situada entre el emisor comn y el negativo del suministro. A partir de la Fig. 6-43 (con R, sustituyendo
I,,) aplicando la ley de Kirchhoff al lazo formado por V,, V,,, R, y VE, permite expresar la corriente 1 en
RE como f = (V,- V,,, + V,,)/R,. Puesto que la variacin de V,, con la corriente en la regin activa es
pequea en comparacin con V, + V,,, I se mantiene esencialmente constante en el par de emisor acoplado.
Esta corriente constante simula la fuente de corriente I,, de la Fig. 6-42a.
1.C

.l
Circuitos lgicos bdsicos (digitales) 259

El circuito de la Fig. 6-43~ requiere tres suministros de potencia (V,,, V,, y V,). Se puede obtener una
puerta ECL ms prctica con un solo suministro <VE,) poniendo V,, a OV (a tierra) y derivando V, de la
configuracin de la Fig. 6-44a. Para calcular V, obsrvese aue el equivalente de Tltvenin del circuito es
el de la Fig. 6- 44b, en el que
Rh Rx = R, Re (6.391
VLVJ
= CV,, - 2V,J
Rh f R, Rr f R<>
siendo V, la tensin a travs de cada diodo.
Si admitimos que I,, provoque una cada de tensin despreciable en R, en comparaci6n con V,,,
entonces
VR = - VB8 - V8E,NM (6-40)

Empleando los valores numricos mostrados en la Fig. 6-44a y suponiendo V, = 0,75 V tendremos,
segn las Ec. (6-39) y 6-40)
(5.2 - 2 x 0.75)(0.907) = o.570
V HB =
0.907 + 4.98

V, = ~0.570 0.75 = - 1.32 V

El motivo para elegir V = 0,75 V (en lugar de 0,7 V) es que para conseguir las velocidades de la
lgica de emisor acoplado hay que emplear dispositivos de pequeas dimensiones, lo que lleva a
que los transistores operen a corrientes ms elevadas en relacin a los valores de IEsgeneralmente habidos.
Esta mayor corriente necesita que V BL(ON) >.0,7 V, y es prctica normal tomar 0,75 V para la tensin
base-emisor en los circuitos ECL. Vamos a Justificar la suposicin de que V, = 0,75 V. Puesto que 1,
I,, tendremos, segn la Ec. 6- 44a
-
ID = VE
RB + 2v.o
R, = 0.907
5.20 + 1.50
4.98 = 0.63 mA

De la Fig. 5- IYa hallamos para el diodo base-emisor con el colector abierto, V, = 0,75 V a 0,63 mA
como habamos supuesto.

La caracterstica de transferencia
El circuito utilizado para determinar la funcin de transferencia OR se deduce de la Fig. 6-43 con RE
en lugar de la fuente de corriente I,, como est representado en la Fig. 6-45~. S610 se ha dibujado un
transistor de entrada Ql ya que todos los otros en paralelo (tal como el Q3 de la Fig. 643) se consideran,
a efectos de este comentario, como en no conduccin. Para trazar la caracterstica aproximada de
segmentos rectilneos de la lgica OR se han de cumplir dos condiciones: (1) si vi = V(O), Ql est en OFF,
Q2 en ON y voz= V(0); y (2) si vi = V(l), Ql est en ON, QZ en OFFy vos= V( 1).
Para calcular la primera V(0) consideremos Q2 de la Fig. 6-45~ en conduccin:

VE = VK VBE~oN= - 1.32 0.75 = -2.07 v (6-42)


ve - (- V,d = v,, + v,,
IA = (6-43)
RE R,.
260 Microelectrnica moderna

-2.07 + 5.20
I,, = I, = = 4.02 mA (6-44)
0.779
o,z = -IczR~ = ~4.02 x 0.245 = -0.98 V = (0)

Vamos a comprobar la primera condicin, o sea que Ql est en OFF cuando v, = V(O)

V BE, = V(O) Vt = ~0.98 + 2.07 = 1.09 V

de Puesto que este valor es superior al de la tensin umbral V = 0,5 V, Ql no est en corte y el arcuto
la Fig. 6-451 nofunciona apropiadamente, pero esta dificultad se remedia fcilmente. Para cortar Ql
el valor de V(0) debe hacerse ms negativo lo que se logra con el circuito cambiador de nivel de la Fig.
6.45b. Conectandola baseB5 deQ5 alcolectorC2de Q2y tomandolasalidaenelemisordeQ5 tendremos

0u-i = u,,> V,,,,, = -0.98 0.75 = ~ 1.73 V = V(O)

Figura 6.15. (rri Pucm bam ECL con 1, originado por R, Y V,. (b) Circum despluador de nivel (segudor de emisora

Obsrvese que con la adicin de Q5 y con v, = V(0) tenemos

VSF, = (i, V,- = 1.73 + 2.07 = 0.34 V < V, = 0.5 v

lo que confirma la condicin 1 de que Ql est en OPP con una entrada V(0).
Para calcular V(1) supongamos la segunda condicin, es decir, que para v, = V(l), Ql conduce y Q2
est cortado. Puesto que In = 0, voz = 0 y ver= VBEcON,= 0,75 V = V(1). Comprobemos el supuesto de
que Q2 est realmente cortado. Puesto que V, = Y,- v,,, = V(l)-V,, (oN = -0,75-0,75 = -1,5 V, y V,,, =
V,-VE = 1,32 + 1,50 = 0,18 V. Puesto que esta tensin es menor que = d ,5 V queda comprobado que Q2
est cortado.
Hay que advertir que los valores hallados de V(0) y V(1) son slo aproximados ya que se han
despreciado las corrientes de base y adems la corriente en el transistor cortado no es exactamente nula
(vale alrededor del 2% de su valor en conduccin). Si se toman en cuenta estos trminos (Probl. 6-75),
V(1) = -0,90 V y V(0) = 1,74 V. Obsrvese que estos valores son simtricos respectoa la tensin de
Circuitos lgicos bsicos (digitaks) 261

referencia V,R= -1,32 V (1,32-0,9 = 0,42 = 1,74- 1,32). En la Fig. 6-46 se representan las caacterfsticas
real y aproxtmada respectivamente.

Y> =,4 +B)

i4 +*
Etapas de salida
del seguidor de emisor

Circuito
de tensin
de referencia
El argumento empleado aqu de que 1, es esencialmente constante supone que Q2 est siempre en
conduccin con V,, = VB, ,ON),Sin embargo, con Ql en ON Q2 debe estar en OFFe I, viene determinado
por el nivel de entrada V(1). As I, = [V(l)- V,,, + VJR, = Icl. Se deduce (Probl. 6-76) que I,, es
ligeramente mayor que 1, (con Q2 en ON). No obstante para tener unas caractetsticas OR/NOR simtricas,
la tensin I,,R, cuando Ql conduce debe ser igual a la cada (Ic&) a travs de R, cuando Q2 est en ON.
De aqu se deduce que R, debe ser ligeramente inferior a R, como en la Fig. 6-47.

Mrgenes de ruido
Generalmente se determinan V,L y Vi, de forma que la diferencia entre tensiones de entrada de una
corriente de colector del transistor OFF del 1% de la del transistor ON. Los valores de V,L y V,Has obtenidos
difieren muy poco de los dados por los puntos de pendiente unidad (Probl. 6-74). Segn la Ec. (3-41) la
variacin de Yonecesaia para que IJI, = 100 se calcula en Yo= 112 mV. Por simetra V,,, = V, + 0,112
yV,~=V,-0,112.TomandoV,=-1,32V,V,~=-l,21 VyV,L=-1,43V.
El margen de mido se calcula por la Ec. (6.29)

NM,, = V<>,, v,,, = --0.90 + 1.21 = u.31 v


NMI = V,, - v,,, = 1.43 + 1.74 = 0.31 v
Obsrvese que la simetra de la funcin de transferencia da lugar a igual margen de mido, NM, = NM,
=0,31 v,

La caracterstica NOR
La salida NOR se obtiene del emtsor de un cambiador de mvel Q6 (tdnttco al Q5 de la Fig. 6.45b)
conectado al colector de Q 1. La caracterstica de transferencia NOR est tambin indicada en la Fig. 6.46.
Con tensiones de entrada altas aparecen grandes diferencias entre las caractersticas OR y NOP..Al ir
creciendo \, ms all de V,,,, l,, contina creciendo y la salida NOR cae por debajo de V,,,. No obstante
cuando Y,se hace suficientemente grande para saturar Q 1 la corriente de emisor aumentada hace aumentar
V,. Con V,,, constante en V,, ,~ = 0,2 V, la tensin V,, tambin crece. Por tanto, a medida que vr sigue
creciendo por encima de la tension a la que se alcanza la saturacin de Q 1, la salida NOR sube nuevamente
como se ve en la Fig. 6-46 (Probl. 6-73). Sin embargo, en el funcionamiento normal se limita a la tensin
de entrada.

La etapa de salida
El circuito de la Fig. 6.45b, adems de ser un camblador de nivel se denomina tambin seguidor de
emisor. Expliquemos el significado de esta voz: puesto que VB, (ON)es prcticamente constante e
independiente de la corriente del transistor, cualquier incremento de la tensin base-tierra se traduce en
igual incremento de la tensin emisor-tierra. As pues, el emisor sigue a la base en cuanto a las
variaciones de tensin. El circuito es un amplificador de ganancia en tensin aproximadamente uno. Esta
conclusin es vlida aun cuando vare la carga exterior de emisor y en consecuencia la resistencia de salida

Circuitos lgicos bsicos (digitales) 263

Ro de unseguidorde emisoresextremadamente
baja(vasela Sec.lo- 12parael clculocuantitativodeRa)
Un seguidordeemisorconstituyeunaexcelenteetapade salidaya queproporcionala bajaresistenciade
salidanecesariaparaconseguirgrandesvelocidadesal excitar una cargacapacitiva(las entradasa las
puertasquecomprendenla carga).

Topologa ECL ORINOR


La configuracincompletaes la de la Fig. 6-47 consistenteen los tres circuitos principalesya
comentados:(1) El par diferencialconmutadordecorriente,(2) la redde la tensindereferenciay (3) la
etapadesalidaseguidoradeemisor.Losvaloresdelos componentes dadosenla figurasonlostpicamente
usadosen la familia ECL serielO.ooOquesevermsadelanteen estamisma seccin.
En las puertasECL no estprevistaningunacargainterior al chip, y no habiendocargalos emisores
de Q5 y Q6 estnabiertos.La resistenciade cargadecadaseguidorde emisor la danlas conexionesde
transmisin(frecuentemente una lnea de transmisinde 50R) y la resistenciade entradade la etapa
siguiente.Las resistenciasde 50 K2 conectadasentrela basey V,, de los transistoresdeentradapueden
suponerla cargaparalas salidasdel excitador.Obsrvesequesin sealdeentrada(A y B permanecenen
circuito abierto)estasconexionesde resistenciaaseguranque las entradasestnen V(0). As pues,las
puertasECL tienensubidaactivay bajadapasiva.
El circuitode la tensindereferenciasediseadetal forma queV, resulteprcticamenteconstante,
independientemente de la corrientede baseen Q2,haciendo1, muchomsgrandequeZBzEn parte,este
elevadovalordeI,, cuentaparala altadisipacindepotenciade laspuertasECL. Los diodosD 1 y 02 se
empleanparacompensarla temperaturade la unin base-emisorde Q4 y juntamenteconR mantienenI
igual a1,
Comopuedeverseen la Fig. 6-47hay dosconexionesdistintasa V,, (tierra);unaparael seguidorde
emisor y otra parael interruptorde corrientey la tensinde referencia.El motivo de sepa& estas
conexioneses paraaislarlos transitoriosde las interrupciones(elevadospicos de corrientey de tensin
causados porla cargay descargadela cargaexternay delascapacidades de salidaparsitas)queaparecen
en la salidade los seguidoresde emisor afectandoel par diferencialy el circuito de referencia(etapas
internas).TeniendolaspuertasECL unmargenderuido baio(0,3V) estaconfiguracinayudaa contener
los nivelesderuido.

Disipacin de potencia
Una consecuencia del empleode intermptoresdecorrienteno saturanteesqueV, puedesuministrar
unacorrienterelativamentealta. Esto suponeunadisipacinde potenciamayorquela de otrasfamilias
lgicas.La corrienteI, (despreciando las corrientesde base)es:

SegnlaEc. (6-41)laconientedeldiodoesf, = 0,63mAy segnla(6.44),I,, = 4,02mA. Lacorriente


total suministradapor V,, es1, = 1, + Z,, +I, = 0,63 + 4.02+ O,@l= 5,29 mA, y la potenciaconsumida
esP, = 5,29x 5,2 = 27,5mw. Obsrvesequeen estevalor no estincluidala potenciasuministradaa la
cargaporel seguidoreemisor(parala disipacinnormalpor puerta,vasela tabla6-4).
Lh4 Microelectrnica moderna

Nmero de salidas (fan-out)


En las puertas ECL el nmero de salidas no est limitado por la corriente en continua que puede
suministrar la etapa de salida, sino que viene determinado por la capacitancia de la carga. Cada puerta de
carga que debe ser excitada tiene una capacidad C a la salida de la puerta excitadora, y con un fan-out N,
la capacidad total ser NC. Para conservar la velocidad (tanto de propagacin como de subida) de una
puerta ECL slo puede usarse un nmero limitado (unas 10) de tales puertas.
La gran velocidad de las puertas ECL obliga a que la transmisin de la seal de una puerta no degrade
la calidad de la seal que tiene un tiempo de subida del orden de 1 ns. Con la velocidad de la luz en un
semiconductor, que es de unos 1,s x 10 m/s (aproximadamente la mitad que en el vaco) un tramo
conductor de ms de 4 cm supone un retardo de ms de 0,25 ns, lo que es comparable con el tiempo de
subida. Por tanto las vas de transmisin de estas dimensiones deben ser tratadas como sistemas de
distribucin (lneas de transmisin). Una lnea de transmisin mal terminada puede provocar que alguna
de las seales transmitidas se refleje hacia atrs hasta el extremo emisor. Lo mejor que puede pasar es que
la seal reflejada se combine con el impulso inicial, deteriorndose la calidad (resonancia), y lo peor es
que la seal reflejada se retrase lo suficiente para que aparezca como una segunda seal e introduzca
errores lgicos. Para evitar cualquier reflexin, las lneas de transmisin usadas para interconectar puertas
ECL deben terminarse con su resistencia caractetstica (usualmente entre 50 y 100 Q).

Familias ECL
La familia lgica ECL ms popular es la serie 10.000 (o serie 10K) que tiene un retardo de propagacin
de tan slo unos 2 ns. Esto se atribuye ala pequea variacin de tensin entre V(0) y V(1) y al interruptor
no saturante excitando un circuito de baja resistencia. Existe tambin en el mercado otra familia lgica
ECL que es la serie 100.000 (o 1OOK).
La serie 10K est diseada de forma que la tensin de referencia con compensacin de temperatura,
quede siempre entre V(0) y V( 1). No obstante, estas tensiones varan con la temperatura, y los cambios de
los niveles lgicos pueden ser perjudiciales. Las modificaciones del circuito en la serie 100K hace que las
tensiones V(0) y V( 1) sean casi insensibles a la temperatura.
La serie 1OOK es ms moderna y por ello emplea tcnicas de fabricacin ms avanzadas, y en
consecuencia consigue las mayores velocidades alcanzables actualmente, con retardos de propagacin
menores de 1 ns. Sin embargo, debido a su circuito de la tensin de referencia ms complejo, su disipacin
de potencia es mayor que en la serie 10K.
La familia lgica ECL trabaja a distintos niveles lgicos y con una tensin de alimentacin negativa.
Esto es diferente de otras familias lgicas (ITL y CMOS) existentes en circuitos integrados a pequea y
media escala. A veces es necesario y conveniente construir sistemas en los que las diferentes secciones
que lo forman utilicen distintas familias lgicas. Muchos fabricantes proporcionan adaptadores para
facilitar la interconexin entre puertas ECL y las familias TIL y CMOS, pudiendo ser de ITL a ECL o
de ECL a l-i-L.

6-15. COMPARACIN ENTRE FAMILIAS LGICAS


En la Tabla 6-4 se exponen los datos de funcionamiento de los circuitos clstcos para cada una de las
familias lgicas comentadas en este captulo. Las caractersticas especficas que se dan en esta Tabla

8 Lasdesignaciones
IOKy IOOKhieronintrcducidas por FaalUd Semxductor.DosseriesSimilareSMECLII Y rEcz,II laSempleala
Motorolacompny, qe desarroll
la serieoriginalMECLI.La serieMECL,, escomparableconla IOKperoconU retardodepropagaci,5n
de
casieldable.LaMECLIIItieneprcticamente propiedades
idnticas
r la IMK peroEOsubidamsrpida.
Circuitos lgicos bsicos (digitales) 265

corresponden a la temperatura normal de trabajo de 25 C. El recorrido lgico, que es la diferencia entre


V,, y VoL se basa en los valores mnimo y mximo respectivamente de estas cantidades. Anlogamente,
, y NM, = v, cm.,-voL ,-, representan los valores en el peor de los casos. Todos los
NM,,= Vo, ,,r.iYx Cb/l
demas datos que aparecen en la tabla han sido ya definidos previamente en este captulo.
Comparando los datos de la tabla se llega a las siguientes conclusiones (ya establecidas a lo largo del
captulo):

1. La familia ECL tiene los menores retardos de propagacin, lo que representa que son los circuitos
lgicos ms rpidos disponibles.
2. La familia ITL 54/74 ALS tiene el menor producto retardo- potencia,
3. Los circuitos lgicos CMOS son los que disipan menor potencia.

No se han incluido en la tabla los datos correspondientes a las puertas NMOS pues no existen como
wcuitos integrados a pequea y media escala, aunque se utilizan macho a gran y muy grande escala
(captulo 9) porque permiten la mayor densidad de componentes en un chip de cualquier tecnologa. Es
interesante observar aue cualauiera de las cuatro mincipales familias lgicas vistas en este captulo es la
mejor bajo un ciertpunto d; vista.

Tabla 6-4 Comparacin entre familias lgicas


Familia, serie TTL cIuos* ECL

Parmetro 74 LS 74 AS 74ALS 74C 74HC IOK IOOK

5 5 5 -5.2 4.5
0.5 0.4 0.4 ml.7 1.7
2.7 4.2 4.2 -0.9 0.9
0.X i .o I .o ml.4 1.4
2.0 3.5 3.5 ml.2 1.2
0.7 0.7 0.7 0.3 0.3
0.3 0.6 0.6 0.3 0.3
2.0 3.x 3.x 0.8 0.8

I =-(1 = 24 40

4 30 10 2 0.75
I OO >lOO > I OO 10 10
3 Sedra. A.S.. y K.C. Smath: Microelectronic Cucu~ts. Holt. Nueva York, 19x2
4 Ghausi. M.S.: Electronic Devices and Circuit\: Discrcte and Intcgrted, Holt, Nueva Ywk. IYXS.
5 Elmawy. M.I. (ed.): Digital MOS Integrated Circuits, IEEE Press.Nueva York, 1981.
6 Solomon, P.M.: A Compatison of Semiconductor Devices for High-Speed Logic, Proceedings of IEEE, val.
70. II" 5. pp. 4X9-509. Mayo 1982.
7 IEEE .low uol of Sol,</-Siurr Ci,.< ui,\. edlcwne\ e\pecw,lcr sobre Igu de lo\ \em~conductore> y memona.
Ocluhrc lY70 hasta el oraente.

TEMAS DE REPASO
6-l. Que se entiende por nmero binario?
6-2. Definir (a) lgica positiva y (b) lgica negativa.
6-3. iQu se entiende por lgica dinmica?
6.4. Definir una puerta ORy escribir su tabla de la verdad.
6-5. Evaluar las siguientes expresiones: (a) A + 1, (b) A + A, (c)A + 0.
6-6. Definir una puerta ANDy escribir su tabla de la verdad.
6-7. Evaluar (a)Al, (b)AA, (c) A 0, (6) A +AB.
6-8 Defmir una puerta NOTy escribir su tabl&de la verdad.
6-9 Evaluar las siguientes expresiones: (a) A; (b) AA; (c) 2 + A.
6.10 Definir un inhibidor y dar la tabla dc la verdad para ABS.
6-11. Definir una o&Exclusiva y dar su tabla de la verdad.
6-12. Mostrar dos diagramas de bloques lgicos para una oR-Exclusiva.
6-13. Demostrar que las siguientes expresiones de Boole representan una OR-EXCIUSIVB:
(aJ + AB, (b) (A + B) ( +
B 1.
6-14. Enunciar las dos formas de las leyes de Morgan.
6-15. Mostrar cmo se forma una ANDcon puenas ORy NOT.
6-16. Mostrar cmo se forma una ORcon puertas ANDy NOT.
6-17. Definir una puerta NANDy dar su tabla de la verdad.
6-18. Definir una puena NORy dar su tabla de la verdad.
6-19. Definir(a) fan-out, (b) fan-in.
6-20. Definir el margen de ruido.
6-21. Esbozar un inversor NMOS con una carga de acumulacin.
6-22. Repetir el tema 6-21 para: (a) una carga lineal, (b) una carga de deplexn.
6-23. iQu efecto tiene la disminucin de la relacin WIL del transistor de carga suhrc el funcionamiento del
inVWSOr?
6-24. Definir (a) tiempo de subida, (b) tiempo total, (c) retardo de propagacin.
6-25. Esbozar una puerta NMOS NORde dos entradas y comprobar que satisface la ecuacin NORde Boole.
6-26. Repetir el tema 6-25 pan una puerta NANDde dos entradas.
6-27. Repetir el tema 6-25 para un inversor AND-OR.
6-28. iQu se entiende por lgica interconectada?
6-29. (a) Esbozar el circuito de un inversor CMOS.
(b) Comprobar que esta configuracin cumple la operacin NOT.
6-30. Esbozar el circuito de una puerta CMOS NANDde dos entradas y comproba que satnface la ecuacin NANU
de Boole.
6-31. Citar cinco propiedades deseablesde las puertas CMOS.
6-32. (a) Esbozar el circuito de una puerta de transmisin empleando transistores CMOS.
(b) Explicar su funcionamiento.
6-33. Dibujar el circuito de una puerta TTL NANDy explicar su funcionamiento.
Circuitos lgicos bsicos (digitales) 267

6-34. Dibujar un separador de salida en totem y explicar su funcionamiento.


6-35. Explicar la funcin de una puerta ML de tres estados.
6-36. (a) Esbozar una puerta ECL OR(y tambin NOR)de dos entradas.
(b) Quk parmetros determinan el margen de mido?
(c) Por qu no son iguales las dos resistencias de colector?
(4 Explicar por qu prcticamente no existen picos de potencia.
6.37. Citar y comentar por lo menos cuatro ventajas y cuatro inconvemcntcs de lab puznas ECL
6-38. Comparar las vtiudes relativas de las familias lgicas NMOS, CMOS, TTL y ECL.
l
Combinacin de sistemas
digitales

.A ~nzd~adu~del ~glo XIX Charles Babbage admiti que un sistema digiral debe contener una unidad
de control (lgica), otra aritmtica, y memoria (la facultad de almacena datos) as como los mecanismos
de entrada y de salida apropiados. Estas unidades se utilizan tambin en los sistemas electrnicos digitales
modernos para el clculo, comunicacin y control.
Para procesar las seales binarias empleadas en los sistemas digitales bastan unos pocos tipos de
circuitos bsicos. Estos bloques constructivos elementales se usan repetidamente en varias configuracio-
nes topolgicas para desempear funciones especficas. El control y la aritmtica binaria se llevan a cabo
mediante combinaciones de puertas lgicas, y como se hizo notar en la Sec. 6-3, toda operacin lgica
puede lograrse con un nico tipo de puerta (p. ej. una puerta NAND). Tambikt puede construirse a partir
de puertas lgicas bsicas una clula de memoria bsica; el biestable o FLIP-FLOP(PP). Debido a que este
es un bloque constructivo fundamental en los circuitos secuenciales, tales como registradores y contadores,
ser tratado en el Cap. 8.
En este captulo nos referiremos a circuitos cuyo funcionamiento depende de combinaciones lgicas.
El nmero de funciones a realizar no es grande, incluyendo la suma y multiplicacin binarias, la seleccin
de datos (multiplexor) y decodificacin (demultiplexor). Los fabricantes de circuitos integrados encap-
sulan en un chip circuitos y subsistemas para realizar estas funciones y tambin preparan encapsulados
conteniendo varias puertas. Estos bloques constructivos se encuentran normalmente en el mercado en las
tres tecnologas TTL, CMOS y ECL.

7-1. MONTAJES DE PUERTAS NORMALIZADAS


Las puertas fundamentales descritas en el Cap. 6 se emplean en grandes cantidades aun en sistemas
digitales relativamente simples. En consecuenciaes ms eficaz y conveniente construir varias (o muchas)
puertas en un solo encapsulado que encapsular individualmente cada puerta. La siguiente lista de
componentes digitales integrados a pequea escala es tpica, pero en modo alguno exhaustiva:

NAND cudruple de 2 entradas NOR cudruple de 2 entradas


NAND triple de 3 entradas OR cudruple de 2 entradas
NAND doble de 4 entradas NOR-Exclusivo cudruple de 2 entradas
NAND simple de 8 entradas OR-Exclusivo cudruple de 2 entradas
AND doble de 4 entradas NOR triple de 3 entradas
AND triple de 3 entradas NOR doble de 4 entradas
Inversor sxtuple OR triple de 3 entradas
AOI doble de 2 bandas y 2 entradas NOR simple de 8 entradas
AOI simple de 2 bandas y 4 entrada OR sxtuple de 2 entradas
AOI simple de 4 bandas, y 4-2-3-2 entradas OR triple de 4 entradas
AOI simple de 4 bandas y 2 entradas N~R triple de 4 entradas.
AOI simple de 4 bandas y 2-2-3-2 entradas

EAtascombinaciones pueden adquirirse en la mayor parte de las familias lgicas (ITL, CMOS, etc.)
atadas en la Sec. 6-15. El nmero de puertas por chip est generalmente limitado por el nmero de
terminales (patillas) disponibles. El encapsulado ms corriente es el de dos en lnea, (de plstico o de
cermica) con 14 terminales, siete en cada lado (Fig. 7-k). Las dimensiones del conjunto, que es mucho
mayor que el tamao del chip, son aproximadamente 20 x 7,5 x 5 mm. En la Fig. 7-k est representado
el esquema de un NAND triple de tres entradas. Obsrvese que hay 3 x 3 = 9 contactos de entrada, tres de
salida, uno de alimentacin y uno de puesta a tierra: en total, catorce.
I,, I< IY 3< 3u i I 3Y I?, IH iu IC 1,
~ombinucin de ststemas digitales 211

En la Fig. 7.lb est representado un AOI (inversor AND-OR) doble de dos banaas y dos entradas. Esta
combinacin precisa 4 patillas de entrada y una de salida para cada AOI, o sea 10 para el doblado. Si se
aade una para la alimentacin de potencia y otra para la puesta a tierra, vemos que de las 14 disponibles
se utilizan 12. La voz dos bandas indica el nmero de puertas AND que alimentan las puertas OR y se
refiere aun sistema lgico de dos niveles.
El esquema de esta puerta AOI viene dado por la Fig. 7-2 dispuesto en lgica ITL. El funcionamiento
deestaredquedaclaroconlasexplicacionesdadasenelCap. 6. Ql y laentradadeQ2 (quesecorresponden
con los transistores de igual numerarin de la Fig. 6-37) forman una puerta AiVD.La disposicin idntica
de Q5 y Q6 forma una segunda puerta AND. Puesto que los colectores de Q2 y Q6 estn unidos en P, la
salida de este nudo corresponde a cualquiera de las entradas 2 y 3 o 4 y 5. Adems, debido ala inversin
en un transistor, aparece la operacin NOT en P, resultando una lgica MD-OR-Icvertida (AOI) con
rerresentacin (AB + CD). Finalmente, obsrvese que Q3,DOy Q4 forman la etaDa salida en totem de la
Fig. 6-38.
Otra forma de analizar el circuito de la Fig. 7-2 consiste en considerar que Ql y Q2 (juntamente con
la salida en P) forman un circuito NAND, y de igual forma, Q5 y Q6 forman una segunda puerta NAND. Las
salidas de estas dos configuraciones NAND estn unidas entre s por la conexin de los colectores de Q2 y
Q6, formando un AND. Por tanto, la salida en P P ser,
ser, segn la ley de Morgan [Ec. (6.25)1.

(AE)(CD) = AB + CD
lo que confirma la obtencin de una lgica AOI.
Una lgica interconectada se refiere a la facultad de efectuar operaciones lgicas adicionales conec-
tando entre s las salidas de varias puertas. La puerta de la Fig. 7-2 es un ejemplo de lgica MD-interco-
nectada. La puerta AOI NMOS de la Fig. 7-3 representa una configuracin oil-interconectada. Los
excitadores Ql, Q2 y Q3, Q4 puede considerarse que cumplen la operacin AND. Cada una de estas
configuraciones, junto con sus cargas NMOS de deplexin Q5 y Q6 actan como inversoras. La conexin
entre las respectivas salidas cumplen la operacin OR. Obsrvese que slo se necesita un transistor de
deplexin, ya que la interconexin entre las puertas conecta en paralelo Q5 y Q6.

Algunas de las funciones ms complejas descritas en este libro requieren ms de 14 patillas, y estos
urcuitos integrados se encapsulan con 16,20,24 y hasta 64 terminales.
Las combinaciones normalizadas consideradas en esta seccin forman ejemplos de inregracin a
pequeria escala, (SSI). Menos de 12 puertas (unos 100 componentes) en un solo chip, se considera
integracin a pequea escala. Los biestables (flip-flop) descritos en la Sec. 8-3 son tambin SSI. Muchas
otras funciones que se comentan en este captulo constituyen una infe,qracin a escala media (MSI)
conteniendo ms de 12 pero menos de 100 puertas por chip. Las memorias de la Sec. 7-9 y otras
fornxxiones en este captulo y en el 9 pueden contener ms de 100 puertas (ms de 1.000 componentes)
y se califican como de integracin a gran rscalu (LSI). Muchos chips de memorias y de procesado de
seales contienen ms de 10.000 componentes formando la integracin a muy pwz escala (VLSI).

Criterios de diseo
El diseador, al proyectar un sistema elctrico debe hacerlo empleando cuanto sea posible los sistemas
integrados normalizados tratando de reducir al mnimo el nmero de chips (y por tanto el coste). Es
preferible un chip integrado a media escala que varios de ellos a pequea escala que cumplan la misma
funcin, y asimismo es preferible uno a gran escala que varios a escala media. Es decir, que al disear un
sistema digital debe realizarse a base de encapsulados normalizados a media o gran escala (MS1 o LSI).
Las puertas discretas (SSI) deben utilizarse nicamente para establecer las necesarias relaciones entre
subsistemas integrados.
En el Apndice B-l figura una lista de los fabricantes de circuitos integrados. Estas empresas pueden
facilitar datos, manuales e instrucciones valiosas para el diseador por tenerlo informado al da de los
nuevos encapsulados y sus aplicaciones. En el Cap. 8 se trata de las principales funciones desarrolladas
por los circuiios integrados a escala media. En el Cap. 9 se trata de los encapsulados a gran y muy gran
escala (LS1 y VLSI). El sistema LS1 ms verstil es el microrompufador, que es un computador
pro~ramahle, en un solo chip.

7-2. SUMADORES BINARIOS


Un calculador digital debe contener, naturalmente, cmutos que efecten operaclones armnucas tales
como suma, resta, multiplicacin y divisin. Las operaciones bsicas son la suma y la resta, ya que la
multiplicacin no es ms que una suma repetitiva, y la divisin una resta tambin repetitiva.
Supongamos que se desea sumar dos nmeros en aritmtica decimal y obtener, por ejemplo, los dgitos
de las centenas. Deberemos sumar conjuntamente no slo los dgitos de las centenas de cada nmero sino
tambin los dgitos que se arrastran de las decenas (si los hay). En forma anloga, en aritmtica binaria
deberemos sumar no slo los dgitos de cada lugar significativo de los nmeros a sumar sino tambin (en
caso de que los haya) el dgito que se arrastra del lugar significativo prximo inferior. Esta operacin se
lleva a cabo en dos pasos: primero, sumar los dos bits correspondientes a los dgitos 2 y luego sumar el
resultado de lo que se lleva del 2~. A un sumador de dos entradas se le denomina semisumador porque
para completar la suma se requieren dos semisumadores.
Veremos cmo se constmye un semisumador a partir de puertas lgicas: Un semisumador tiene dos
entradas.Y*l y B--que representan los bits a sumar, y dos salida@ (para los dgitos de igual significacin
que A y B) y C (para el bit que se lleva).

Semisumador
En la Fig. 7-4~ est representado el smbolo del semisumador y en la Fig. 7.4b su tabla de la verdad.
Obsrvese que la columna D es la suma de A y B mientras esta suma pueda ser representada por un solo
Combinacin de sistemas digitales 273

dgito. Si la suma fuera mayor de lo que se puede representar con un solo dgito, la columnaD representara
el dgito de la suma correspondiente al mismo lugar significativo que los sumandos. Es decir, que segn
vemos en las tres primeras filas de la tabla, D da directamente la suma de A y B. Puesto que la suma decimal
l ms 1 igual a 2 se escribe en forma binaria OI ms 01 igual a lo, en la ltima fila resulta D = 0. El
1 deber llevarse al lugar de la cifra significativa inmediata superior, o sea C = 1.

En la Fig. 7.4b vemos que D corresponde a la funcin DR- Exclusiva, y C sigue la lgica de una puerta
AND. Estas funciones quedan indicadas en la Fig. 7-4~ y pueden llevarse acabo de muy distintas maneras
con los circuitos vistos en el Cap. 6. Por ejemplo, se puede construir una OR-Exclusiva con cualquiera de
las cuatro tipologas de& Sec.- 15 yen cualquiera de las familias lgicas de la Tabla 6-4. Laconfiguracin
de la Fig. 6.8b (Y = AB + BA) se construye en lgica TTL con el circuito AOI de la Fig. 7-2. El inversor
para B (o para A) es una puerta NAND de una sola entrada. Puesto que Y tiene una topologa AND-OR (ms
que AOI) se coloca un transistor inversor entre el nudo P y la base de Q4 de la Fig. 7.2.

Funcionamiento en paralelo
Dos nmeros multidgitos pueden sumarse en serie (una columna cada vez) o en paralelo (todas las
columnas al mismo tiempo). Consideremos primeramente el funcionamiento en paralelo. Para un nmero
binario con N dgitos hay (adems de una tierra comn) N conexiones de seales por cada nmero. La
wsima linea del nmero A(o B) se activa por A,, (o B,,) que es el bit para el dgito 2 (n = 0, I ,...,N-1).
Sumador completo
Con circuitos integrados, la suma se realiza con sumadores completos y no con dos semisumadores
(por razones de economa de componentes). El smbolo del sumador completo (FA, full-adder) es el
indicado en la Fig. 7-k. El circuito consta de tres entradas: los sumandos A y Bn y el arrastre C+, del bit
inmediato inferior. Las salidas son: la suma Sa (a veces designada Z ,) y el arrastre C. La Fig. 7- 5b
representa un sumador en paralelo de 4 bit. FAO corresponde al bit menos significativo, y 1x1tiene entrada
de arrastre, por tanto Ch , = 0.
A partir de la Fig. 7-6 cabe determinar el circuito en el interior del bloque FA. Esta figura es la tabla
de la verdad para sumar 3 bits binarios. Con esta tabla podemos comprobar que las expresiones de Boole
de Smy Cn son

s,, = A,,B,,C,,m / - A,,B,,C,, , + A,,B,,C,, I + A,,B>,Cr. (7-l)


c,, = ,,B,,C,, / + A,,i%,C,,~ / + A,,B,,C,, , + A,,B,,C,, / (7-2)

Observese que el primer trmino de S corresponde a la lnea 1 de la tabla, el segundo trmino a la


lnea 2, el tevxm ala 4 y el ltimo a la 7.(Estas son las nicas lneas en las que Sm= 1). Anlogamente,
el primer trmino de C corresponde a la lnea 3 (en la que C = l), el segundo trmino a la lnea 5, etc.
La funcin AND se denomina a veces producto de A y B y C. Asimismo, la operacin OR + se expresa
como sumu. Por tanto, expresiones tales como las de las Ecs. (7-l) y (7-2) representan una suma de
productos de Boole. Una ecuacin de este tipo se dice que est dada en forma cannica o normalizada y
a cada uno de sus trminos se le llama minterm. Un minterm contiene el producto de todas las variables
de Boole o sus complementos.
La expresin de C puede simplificarse notablemente de la siguiente forma: puesto que Y + Y + Y =
Y. la E.C.(7.2) con Y =A,,B,, IC,, , \c convier1c en

C,, = (A,,B,,C,, , + ,ft,,B,,<.,, /) - (A,,,,C,, / + A,,B,,(,, (


- 1/4,,fL(,. i A,,B,,(,, 1) 17-31

puc,,,, +z ,y + ,k = 1 ,~ndo X = /\, en el primer parntesis, X = B en el segundo y X = C , en el


tercero, la ecuacin antetior se reduce a

c,, = H,,C II I 4 (,, IAS, 7 AJf,, (7-41


Combinacin de sisremar digitales 215

Esta expresin podra escribirse directamente a panir de la tabla de la verdad de la Fig. 7-6 observando
que Cn,= 1 si, y slo si, por lo menos dos de las tres entradas son 1.
Es mteresante observar que si se cambian todos los 1 por 0 y todos los 0 por 1 se intercambian las
lneas 0 y 7 as corno las 1 y 6,2 y 5 y 3 y 4. Ya que esta permuta de los 1 y los 0 no cambia la tabla, esta
es igualmente vlida, cualquiera que sea la lgica representada por la Fig. 7-6, si se complementan todas
las entradas y salidas. Por tanto, la Ec. (7-3) es cierta si se niegan todas las variables, o sea

c,, = B,,C,, / + c,, IA,, + AnB,, (7.5)

Al rntsnw lesultado xz llega (Probl. 7-2) transformando la Ec. (7-4) de Boole.


Hallando D, = (A,+ B,+ Cn-,) C,,ycomparandoel resultadoconlafk (7-l) cnwrmarcmosque & =
Dr, + An Ba C ,,-,, o sea:

S,, .-t,,C,, + B,,C,, + C,, ,c,, + &BnC,, 1 (7-6)

LasEca. (7.4)~ (7.6) ~~cumplim~ntanenlaFig.7-7conelempleodepuenasA01deltiporepres~ntado


en las Figs. 7-2 y 7-3.

SumadoresMS1
Existen en el mercadu sumadores completos de I,2 y 4 btt cada uno de ellos en un solo encapsulado.
La Fig. 7-X representa la topologa lgica para la suma de 2 bit. Las entradas a la primera etapa son Ao y
BO;la entrada sealada C., est atierra. La salida S0.es la suma. El arrastre C0 est conectado internamente
y no auna patilla de salida. La segunda etapa del bit menos significativo (LSB) es idntica a la de la Fig.
7-7 con n = 0.
Como el arrastre de la primera etapa es C, debe negarse antes de introducirlo en la etapa 2. Sin
embargo, el retraso introducido por la inversin no es deseable, puesto que la limitacin de la velocidad
mxima de funcionamiemo proviene precisamente del retraso de propagacin (Sec. 6-15) del arrastre a
travs de todos los bit del sumador. El retardo de la puerta NOT se elimina completamente en el arrastre
conectando directamente C0 a la siguiente etapa y completando las entradas A, y B, antes de introducirlas
en esta etapa. En la Fig. 7-8 se utiliza este ltimo mtodo. Obsrvese que ahora las salidas S, y C, se
obtienen directamente sin necesidad de inversores. La lgica seguida para el arrastre en esta segunda etapa
viene dada por la Ec. (7-5) y para la suma por la Ec. (7-6) modificada, en la que cada smbolo es sustituido
por su complemento.
En un sumador de 4 bit, C, no sale al exterior sino que est conectado interiormente ala tercera etapa
que es idntica a la primera. Asimismo, la cuarta y la segunda. tienen topologas idnticas, Un sumador de
4 bit precisa un encapsulado de 16 patillas; 8 de entrada, 4 de salida de suma, 1 salida de arrastre, 1 de
entrada de arrastre, 1 para la alimentacin y 1 atierra. La entrada de arrastre slo se necesita si hay dos
unidades aritmticas en cascada. Por ejemplo, poniendo en cascada un sumador de 2 bit con otro de 4 nos
da la suma de dos nmeros de 6 bit. Si la unidad de 2 bit se emplea para los dgitos 24 y 25 deber sumarse
4 a todos los subndices de la Fig. 7-8. Por ejemplo, Ch, se llamar ahora C, y proceder de la salida de
arrastre del sumador de 4 bit.
El chip MS1 (54LS283) para un sumador binario completo de 4 bit contiene unos 200 componentes
P
b
Combinacin de sivtemas digitales 271

(resistencias, diodos o transistores). El tiempo de retraso de la propagacin desde la entrada a la salida del
dato es de unos 16 ns, con una potencia disipada de 190 mw.

Figura 7-Y. (U .de

Funcionamiento en serie
En un sumador en serie las entradas A y B son trenes de impulsos sincronizados en dos lneas del
calculador. Las Figs. 7.9a y b representan trenes de impulsos tpicos representativos de los nmeros
decimales 13 y 11 respectivamente. La suma (24) y la diferencia (2) estn representadas por los trenes de
impulsos de las Figs. 7-9~ y d. Un sumador en serie es un dispositivo que toma corno entradas las ondas
de las Figs. 7-9a y b y da como salida la de 7-9~. Asimismo un sustractor (Sec. 7-3) da la salida de la Fig.
7.9d.
Hemos hecho notar que la suma de dos nmeros multidgitos puede hacerse aadiendo a la suma de
los dgitos de igual significacin el arrastre (si lo hay) resultante del lugar inmediato anterior. Con respecto
a los trenes de impulsos de la Fig. 7-9 lo dicho equivale a decir que en cualquier momento debemos sumar
(en forma binaria) a los impulsos A y B el de arrastre (si lo hay) procedente del resultado obtenido un
periodo de tiempo anterior T. La lgica citada se logra con el circuito sumador completo de la Fig. 7-10.
Este circuito difiere de la configuracin del sumador en paralelo de la Fig. 7-5 en que incluye un tiempo
de retardo D igual al tiempo T entre impulsos. As el impulso de arrastre se retrasa un tiempo T y se
aade a los impulsos dgitos de A y B en su momento exacto.
Comparando las Figs. 7-5 y 7-10 se ve que la suma en paralelo es ms rpida que la en serie porque
en la primera se suman simultneamente todos los dgitos y en la segunda se hace secuencialmente. Pero
mientras para una suma aritmtica en serie slo se necesita un sumador completo, en el sistema en paralelo
se necesita uno por cada bit. Por tanto, la suma en paralelo es mucho ms costosa que la en serie.

Figura 7-10. Sumador completo en serie.


La unidad de retardo de tiempo TD es un FLUI-ELOP(biestable) tipoD y la serie de nmeros A_, Bn y Sn
SCalmacenan en registradores de desplazamiento (Sec. 8-4 y 8.5).

7-3. PUNCIONES ARITMTICAS


En esta seccin y en las dos siguientes veremos adems del sumador ya citado otras unidadea
aritmricas, incluyendo el s~wactor, la unidadaritmtica lgica (ALU), el multiplicador, el comparador
digital y el comparador de paridad.

Sustraccin binaria
El proceso de sustracctn (ti nxnos A) es equivalente a la suma si se emplea eI complemento A del
sustraendo. Para justificar esta premisa vanos a considerar el siguiente razonamiento (aplicado especfi-
camente a un nmero de 4 bit). La funcin NOT cambia los 1 y 0 y viceversa, por tanto
AmsA= 1111
AmsAms1=1111ms0001=10000
0 sea
A = 10000 menos A menos 1
y finalmcntc
B mmos A = (B ms A ms 1) menos 10000 (7-7)
Esta ecuactn mdtca que para restar un nmero A de 4 bit de otro nmero B tambin de 4 bit slo se
requiere sumar R, A y I (un 2. bit). La operacin B menosA debe dar una respuesta de 4 bit. El trmino
menos loOOOde la Ec. (7-7) infiere que la suma (B ms A ms 1) tiene un quinto bit del que deberemos
prescindir. Sumando un I al complemento uno de un nmero binario. \c forma el complemento a dos
de dicho nmero. As, para un nmero binario B, B ms 1 es la representacin del complemento a dos de B.

Ejemplo 7-l

Comprobar la Ec. (7-7) para B = 1100 y A = 1001 (en decimal. 12 y 19).

B ms A ms 1 = 1100 ms 0110 ms 0001 = 10011. Los cuatro bit (menos significativos) 0011
representan el decimal 3 y el quinto bit 1 es un arrastre.
Puesto que en notacin decimal B-A =12-9 = 3, y la respuesta correcta se obtiene calculando la suma
dentro del parntesis de la Ec. (7-7) teniendo en cuenta que se debe ignorar el arrastre.
En la Ec. (7-7) cl I del l<~XNI es el arrastre de salida C, = 1 del sumador de 4 bit y cabe emplearlo
para el 1 que debe sumarse a A. A este bit se le denominaarrastre de retorno (EAC) porque este arrastre.
realimenta la entrada C., del bit menos significativo de A. En la Fig. 7-l 1 se indica esquemticamente el
proceso de sustraccin mediante un sumador paralelo de 4 bit.
El mtodo de complemento que acabamos de describir slo es vlido si B es mayor que A de forma
que la diferencia resulte positiva y se genere un arrastre de (B + A + 1). Si B es menor que A, el bit ms
significativo (MSB) de B (que difiere del correspondiente bit de A) es 0 mientras que el de A es 1. Puesto
que A = 0 el bit ms significativo de (B ms A) es 0. Por tanto no habr arrastre en la suma (B ms A ms
0001) y hay que modificar el mtodo de la Fig. 7-l 1. Vamos a demostrar que si no hay arrastre en el
Combinacin de sistemas digitales 279

sistema de la Fig. 7-11, la respuesta correcta de B menos A es negatwa y se obnrne por la huma (B ms
x) complementando los dgitos de la suma SO,S,, S,, y S,. De la Ec. (7-7)
BmenosA=(BmsA)menosllll=
= menos [l ll 1 menos (B ms A)]
= menos (B ms A)

Resumiendo: pararestarA deB efectuarlasuma(B ms A) y versiexiste arrastre. Si lo hay, ladiferenc~~


B menos A es positiva y viene dada por S de la Fig. 7. ll Pero si el arrastre es nulo, la diferencia es negativa
y viene dada por S. En la Fig. 7-l 1 se han omitido los circuitos para detectar el arrastre y para obtener el
complemento de S cuando falta el arrastre de retorno.
Un mtodo alternativo para representar nmeros binarios negativos consiste en valerse de un bit
adicional llamado bit de signo. El nmero decimal positivo, por ejemplo el 46, se escribe en forma binaria
OAOIO1l10 mientras que si fuera negativo se escribira 1WlOlllO. El bit de signo es el dgito situado a
la izquierda del signo . Como ya se ha indicado, el bit de signo es cero para nmeros positivos.y uno para
los negativos. El valor del nmero est representado por los dgitos binarios situados a la derecha del bit
de signo, con el ms significativo junto a la separacin . Tngase en cuenta que este signo de separacin
no siempre se emplea.

Unidad aritmtica lgica (ALU) / Funcin generador


La sustraccin puede hacerse tambin utilizando una unidad aritmtica lgica (ALU) i& LUIIL~ id
74AS-18 1A (o bien la 74AS-88 1A). Usando cuatro (o tres) lneas selectoras de funcin se pueden resolver
las siguientes operaciones con dos nmeros de 4 bit: B menos A, A menos B, A ms R, A 8 B, A + B, AB,
A = B, A > B as como otras operaciones aritmticas y lgicas. Zstos encapsulados integrados a escala
media tienen 24 patillas y tienen la complejidad de 85 puertas equivalentes fonos 800 componentes1

Multiplicadores binarios
El encapsulado 74LS261 de 16 patillas se emplea para realizar en paralelo el producto de 4x4 bit y
para dar una salida de 4 bit. Emplendola conjuntamente con el chip 74LS284 se consigue un producto
de 8 bit en unos 40 ns. A esto mismo se puede llegar con el encapsulado 74AS274 de 20 patillas y gran
complejidad. Obsrvese que hace falta un segundo chip para obtener los 8 bit resultantes de la multipli-
cacin de 4 x 4 bit. Sin este segundo chip ~610 se obtienen los cuatro bit ms significativos del producto.
-
28ll Microelectrnica moderna

7-4. COMPARADOR DIGITAL


A veces es necestio saber si un nmero binario A es mayor, igual o menor que otro nmero LI. El
sistema para determinarlo se denomina comparador de magnitud digital (o binario). Consideremos
primero nmeros de un solo bit. Como ya se indic en la Sec. 6-3, la puetta~ot+Exclusiva es un detector
de igualdad, ya que

1 A~B
A-B
E=ABfAB= o

La wndun A > B v,ene dada por

C=AB= 1 (7-10)

ya qut si A >B, enronces A = I y B = 0, siendo as C = 1. Por otra pate, s, A = B o A<B (A = 0, B = 1)


entonces c = 0.
Anlogamente, la restriccin A <B viene determinada por

D=ZB= 1 (7-l 1)

tl dlagrama de bloques lgico de n-simo bit de la Fig. 7-12 tiene las tres salidas deseadas C, D y
En. Consta de dos inversores, dos puertas AND y del circuito AOI de la Fig. 7-2. Asimismo se puede
considerar que la Fig. 7-12 consta de una puerta NOR-Exclusiva y de dos puertas AND. (Obsrvese que
las salidas de las puertas AND del bloque AOI de la Fig. 7-2 no son accesibles, y por tanto hay que formar
puertas AND adicionales para tener C y D.)

- 4 = I,H,.
14,. <B,)

T,
Ji
t,, =.4,& +A,,U,,
(An = B,,1

0, =A,,Bn
CA, > B,,)

DIgura 7-12. Comparador digital de I bit.

Consideremos ahora un comparador de 4 bit. A = B requiere que

A,=B,yA,=B,yA,=B,yA,=B,

por tanto, la puerta AND (E de la Fig. 7-13) descrita por


E = E,E,E, E, (Fig. 7-12)
Combinucron de sistemas digitales 281

implica que A = B si E = 1 y A f B si E = 0 (se supone que la entrada E se mantiene alta, E = 1.)


La desigualdad A> B requiere que

A, li Bi (MSB)

<> A, = B, Y AL > BI

o A, = B? Y Az=Bz y A, > B,
I
o A, = B, ) Al = B, y A,=B, Y Ao > Bu

La umdiciones anteriores se satisfacen por la expresin de Boole


/
C = A,R, + JA& f E,EzAIBf + ~&E,A,&

w y xh v = 1. La puerta AND-OK para C est indicada en la Fig. 7-13 (supuesto que C = 0).
La condicin de que A >B se ohticne de la Ec. (7. 13) intercambiando A y B, o sca

D = AiR, + E&B, + E,E,x,B, f EE


3 2EI2 0B0 (7-14)
Entrada
282 Microelecrrnica moderna

implica que A <B si, y slo si D = 1. Esta parte del sistema~obtiene de la Fig. 7-13 cambiando A por B,
B por A y C por D. Adems D se puede deducir de D = EC ya que si A z B (E = 0) y si A > B (C = 0),
entonces A <B (D = 1). Sin embargo esta utilizacin de D introduce el retardo de propagacin adicional
de un inversor y de una puerta AND. Por tanto, la lgica indicada en la Ek. (7-14) paraD se fabrica en el
mismo chip que para C de la Ec. (7-13) y E de la Ec. (7-12).
El 74HC85 es un encapsulado a media escala que permite comparar magnitudes de 4 bit. Si hay que
comparar nmeros de ms cifras se pueden emplear varias de estas unidades en cascada. Consideremos
un comparador de 8 bit. Designemos con E, el terminal de salida A = B de la etapa correspondiente a los
bit menos significativos, con C, el terminal de esta etapa para A >B y con D, la salida A <B. Entonces,
las conexiones E = E,, c = C, y D = D, (Fig. 7-13) deben hacerse a las etapas de los bit ms
significativos (Prob. 7-8). Para la etapa correspondiente a los bit menos significativos, las salidas c y D
estn conectadas a tierra (C = 0 y D = 0) y la entrada E lo est al suministro de tensin (E = 1). Por
qu? El 74HC688 es uno de tales comparadores de 8 bit.

7-5. COMPROBADOR GENERADOR DE PARIDAD


Otra operacin aitmtica que se encuentra frecuentemente en sistemas digitales es la de determinar si
la suma de los bit binarios en una informacin es impar o par. La salida de una puerta OR-Exclusiva es 1
si una de las entradas es 1 y la otra es 0; o dicho de otra forma, la salida es 1 si la suma de los dgitos es
1, Una extensin de este concepto al OR-Exclusivo mltiple de la Fig. 7-14 lleva a la conclusin de que
2 = 1 (o Y = 0) si la suma de los bit de entrada A, B, C y D es impar. Por tanto, si la entrada P est atierra
(P = 0) tendremos P = 0 si la suma es impar y P = 1 si es par.
El sistema de la Fig. 7-14 no es slo un comprobador de paridad, sino que puede usarse tambin para
generar un bit de paridad P. Independientemente de la paridad de la informacin de entrada de 4 bit, la
paridad de cdigo de 5 bit A, B, C, D y P es impar. Esto proviene del hecho de que si la suma de A, B, C y
D es impar (o par), P ser 0 (o 1) y por tanto la suma de A, B, C, D y P ser siempre impar.
El empleo de un cdigo de paridad es un mtodo ef~cu para aumentar la f~abdidad de la transmisin
de informacin bina& Tal como se indica en la Fig. 7-15 se genera un bit de paridad P, que se transmite
junto con los N bit de entrada de la informacin. A la recepcin se comprueba la paridad de la seal
aumentada de (N + 1) bit. Y si la salida del comprobador P, es 0 se puede suponer que no hay error en la
transmisin del mensaje, mientras que si P2 = 1 indica que hay un error (debido quizs al ruido) en la
recepcin. Obsrvese que con un solo comprobada de paridad slo se pueden detectar los errores en un
nmero impar de dgitos.
t
Existe un generador-comprobador de paridad de 9 bit (74HC280) con entradas controladas de tal forma
que puede aplicarse a cualquiera de los dos tipos de paridad. Para informaciones de ms de 8 bit se pueden
emplear varias unidades en cascada. (Prob. 7. 14).
La unidad 74HC386 integrada a escala media contiene cuatro puertas OR-Exclusiva de 2 entradas.

7-6. DECODIFICADOR DEMULTIPLEX


En un sistema digital pueden transmitirse tanto instrucciones como nmeros medlanrc mvcla bmaru
o trenes de impulsos. Si por ejemplo los cuatro bit de un mensaje se disponen para transmitir instrucciones
se pueden lograr 16 rdenes distintas. Esta informacin est codrficada en sistema binatio. Frecuentemente
se precisa de un conmutador de varias posiciones que pueda funcionar de acuerdo con este cdigo. Dicho
de otra forma: para cada uno de los 16 cdigos una, y slo una lnea debe ser excitada. Esta forma de
identificar un cdigo particular se denomina drcodifcacin.

/ Sistemade codificacin binario-decimal (BCD)


l
Este cdigo traduce nmeros decimales sustituyendo cada cifra decimal por una cumbmacin de cuatro
dgitos binarios. Como hay 16 formas distintas en que se pueden disponer 4 cifras binarias, 10 combina-
ciones cualesquiera pueden representar los dgitos decimales de 0 al 9. Por tanto tenemos una amplia
disponibilidad de cdigos BCD. Una de stas llamada cdigo binario decimal narural es el cdigo 8421
empleado para representar el nmero decimal 264 en la Tabla 7-1. Este es un cdigo ponderado porque
sus dgitos decimales son iguales a la suma de los productos de los bit de las seales codificadas por las
sucesivas potencias de dos, empezando por la derecha. Necesitamos 4N bit para representar en notacin
BCN un nmero decimal de N dgitos. Los cuatro bit de la derecha representan las unidades, los cuatro
siguientes las decenas, los siguientes las centenas, etc. Por ejemplo, el nmero 264 necesita tres grupos
de 4 bit, como puede verse en la Tabla 7- 1. Obsrvese que estas tres dcadas pueden representar cualquier
nmero desde el 0 al 999. Por tanto tiene una resolucin de una parte en mil, o sea 0,l %. Esto requiere
12 bit que cn UI, ci>dig normal puede resolver una parte en 2 = 4OY6. 0 sca 0.025%
/
Tabla 7-l Representacin BCD del decimal 264

Factor ponderado 800 loo 200 100 80 41 111 1 8 4 I /


, Cdigo BCD (1 0 1 0 (1 I , 0 0 I 0 0
Dgitos decimales z 6 4

Decodificador de BCD a decimal


Supongamos que deseamos decodificar una instruccin BCD representativa de un nmero decimal,
por ejemplo el 5. Esta operacin puede llevarse a cabo con una puerta AND de cuatro entradas excitadas
284 Microelecrrnica moderna

por los cuatro bit BCD. Por ejemplo, la salida de la puerta AND de la Fig. 7-16 es 1 slo SI las entradas
BCD son A = 1 (LSB), B = 0, C = 1 y D = 0. Ya que este cdigo representa al nmero decimal 5, la salida
se seala lnea 5.
En la Fig. 7-l 7 se representa un decodificador BCD a decimal. Esta unidad MS1 (74HC42) tiene cuatro
entradasil, B, C y D, y 10 lneas de salida (de momento prescindimos de las lneas de trazos). Adems ha
de haber una conexin a tierra y otra a la alimentacin de potegc&, UoKconsiguiente se hace necesario
un encapsulado de 16 patillas. Las entradas complementarias A, B, C y D se obtienen de inversores en el
mismo chip. Como se emplean puertas NAND una salida es 0 (baja) para el cdigo BCD correcto, y es 1
(alta) para cualquier otro cdigo (no vlido). Al sistema de la Fig. 7.16 se le denomina tambin
(<decodificador de 4 a 10~ indicando que una entrada de 4 bit selecciona una de las 10 lneas de salida. En
otras palabras, el decodificador acta corno un conmutador de 10 posiciones que responde a las
instrucciones de una entrada BCD.

Figura 7.17. Decoddicador BCD a demmal.

Algunas veces se desea decodificar slo durante ciertos intervalos de tiempo. En tales aplicaciones se
aade una entrada adicional inhibidora a cada puerta NAND. Todas estas entradas se unen entre s y se
excitan mediante una seal binaria S como se indica con las lneas de trazos de la Fig. 7- 17. Si S = 1 queda
liberada una puerta y tiene lugar la decodificacin, mientras que si S = 0 no hay coincidencia posible y la
decodificacin queda inhibida. La entrada adicional puede emplearse cualquiera que sea el nmero de
entradas y de salidas del codificador.
Combinacin de sistrmas digitales 285

Demultplex
El demultplexes un sistema para transmitir una seal binaria (con los datos en serie) auna de entre N
lneas, elegidamedianteu selector. Elequivalentemecnicodeldemultplex se~aunconmutadorrotativo
unipolar de N posiciones conectado como en la Fig. 7.18a. El selector determina el ngulo de giro del
brazo del conmutador. Un decodificador se convierte en demultplex aadiendo las conexiones de trazos
de la Fig. 7-17. Si se aplica la seal a S, la salida ser el complemento de tal seal (ya que la salida es 0
si todas las entradas son 1) apareciendo nicamente en la lnea seleccionada.
uneas
de salida Infwl;!cln

Lima de
Infynci6n *fz zz salida

\\
ircccin J ~ \~, - Direccianado
X,-l-

(0) Cb)

Figura 7.18. scmc,anLa n,ec~nxa de: (ii) UI1 dcmulfplen. y (h) Ll m,,ip,eA.

Informaci6n -+- x;ea;::

,:::~.:,+ I
i:::I::
Habilitacin &jj;. -

kiguw 7-19. Il dccodliicidorse cllvIeItc Cl, un ,ulrplc* (COli una rnrr**a h,hlmd,a, I, el ,C,,l,,i, s dc iii hg 7 1, ,e \aA
de I puerta %NI>.

Se puede aplicar a un demultplex una seal de cebado conectando en cascada el sistema de la Fig.
7-17 con el de la Fig. 7-19. Si la entrada de la habilitacin es 0, S ser el complemento del dato. Por tanto,
el dato aparecer (sin inversin) en la lnea co el cdigo deseado. Si la entrada de habilitacin es 1, S =
0 los datos se inhiben en cualquier lnea y todas las entradas permanece en 1.

Decodificador-Demultplex de 4 a 16 lneas
Si se aplican a las entradas de la Fig. 7-17 las instrucaones correspondientes a un nmero dama1
mayor que 9, esta instruccin ser rechazada, es decir, que las 10 salidas permanecern en 1. Si se desea
seleccionar 1 de las 16 lneas de salida el sistema se ampliar aadiendo 6 puertas NAND ms y utilizando
los 16 cdigos posibles co 4 bit binarios.
El 74HC 154 es un decodificador-demultplex de 4 a 16 lneas. Tiene 4 lneas de seleccin, 16 de salida,
dos entradas de habilitacin, una patilla para tierra y el suministro de potencia, en total se necesita un
encapsulado de 24 patillas.
Tambin exiw decoditicadore-dcnrultiple>t de 2 a 4 lineas (74HCl39) y de 3 a X lneas (74HCl38)
en encapsulaaos Integraaos mdiwauaes.
Un demultplex de 1 a 2 lneas se forma co do-puertas NAND de dos entradas. La lnea de salida
cero procede de la NAND cuyas entradas son S y A mientras que la lnea de salida uno se conecta a la
NAND cuyas entradas so S y A. Esta ltima en-ada se denomina de control ya que si A = 0 (o 1) en la
lnea 0 (o 1) aparecer el complemento del dato S.
286 .Vlicrurlrctrnica moderna

Decodificador-excitador de lmpara
Algunos decodificadores van equipados con unas etapas de salida especiales de forma que pueden
excitar lmparas tales como los tubos Burroughs Nixie. El indicador Nixie es un tubo de descarga de gas
de ctodo fro de un solo nodo y 10 ctodos que son unos alambres perfilados con la forma de las cifras
0 al 9. Estos ctodos estn conectados alas lneas de salida 0 a 9 respectivamente, y el nodo lo est a una
tensin fija. La combinacin de decodificador-excitador de lmpara-indicador Nixie hace visible el
nmero decimal correspondiente al nmero BCD aplicado. Por tanto si la entrada es 0101 lucir en la
lmpara el nmero 5.
En la Sec. 7-l 1 trataremos de un decodificador cuyas cifras se forman con siete segmentos que se hacen
visibles por tratarse de diodos emisores de luz. Estos dispositivos son muy corrientes en calculadoras.
relojes y en una gran variedad de instrumentos.

Demultplex de orden superior


Si el nmero N de lneas de salida supera las 16, se disponen demultplex de N = 16,8,4 o 2 formando
rbolv para alcanzar el nmero de salidas deseado. Por ejemplo, para N = 32 podemos usar un
demultiplex con el tronco N, = 4 y cuatro aamas N, = 8 como en la Fig. 7-20. Obsrvese que el nmero
total de lneas de salida es N = NJN2 = 32. Las lneas del 0 al 7 las decodifica el demultplex IV,,, mientras
que N,, decodifica los ocho sigwentes y as sucesivamente.
Para ED = 01 las lneas 8 a 15 se decodifican secuencialmente a medida que el selector CBA pasa de
000 a 001, a..... a ll 1. Por ejemplo la lnea 12 se decodificar con la seleccin EDCBA = 01100 que es
la representacin binaria del decimal 12. La lnea 19 se decodifica con EDCBA = 10011, etc. Puesto que
en un encapsulado hay dos decodificadores de 2 a 4 lneas, para el sistema de la Fig. 7-20 se necesitar el
equivalente de 4,s encapsulados. Este mismo sistema se puede formar con N, = 8 y N, = 4 (Prob. 7-16) o
con N, = 2 y N, = 16, etc. El diseo ms adecuado lo determina el coste total.
Se puede disear un demultplex de 64 salidas con N, = N, = 8, con un total de 9 encapsulados. iPor
i,uc! p;n, valorc\ mu) Grande\ de IV \e requ~rc una mayor ramificacin (Prob. 7-17) en la que cada salida
dc Iii Fig. 7-20 c\ Ii! cntrada a otrc dcmuhplcx.

7-7. MULTPLEX-SELECTOR DE DATOS


La lunc~n <Ic\einpcada por un rnultplci c\ la dc \~ICCCIWI.II una de en,re N Ilnca\ dc entrads >
transmitirla a un canal de informacin nico. El conmutador de N posiciones conectado como en la Fig.
7.18h es el equivalente mecnico de un multplex. Comparemos las Figs. 7-18~ y 7.18h. Puesto que el
demultiplex tiene una sola lnea de entrada cuya seal se transmite a una de entre varias salidas, es evidente
que el multiplex realiza el proceso inverso.
El demultplex de la Fig. 7-17 se convierte en multplex mediante las dos modificaciones siguientes:
(1) Adicin de una puerta NAND cuyas entradas incluyen las N salidas de la Fig. 7-17, y (2) Aadir a
cada puerta NAND una entrada de datos individual X0,X, _..X,. La Fig. 7-21 representa el sistema lgico
de un multplex-selector de datos de 4 a 1 lnea. Esta lgica AND-OR es equivalente a la lgica
NAND-NAND descrita anteriormente en 1 y 2. Obsrvese que tanto en el multplex como en el
demultplex se emplea la misma configuracin de decodificador. Si el cdigo seleccionado es 01, en la
salida Y aparecer X, y si es I 1 tendremos Y = X,, etc., supuesto que el sistema est habilitado (S = 0).
Existen en el mercado los siguientes multplex-selector de datos: 16 a 1 lnea (74AS250) uno por
Figura 7-20. Arhl demumpkx de 32 sUdas siendo N, un demultiph de 4 salidas y l-4, otro de 8 salidas,

encapsulado; 8 a 1 lnea (74HC15 1A) uno por encapsulado; 4 a 1 lnea (74HC253) dos por encapsulado;
y 2 a 1 lnea (74HC157) cuatro por encapsulado. El multplex 1 de 16 es un encapsulado de 24 patillas
con 16 entradas de datos, un selector de cdigo de 4 bit, una entrada inhibidora, una salida, una de
alimentacin y un terminal de tierra. Para un selector de 16 a 1 lneas la Fig. 7-2 1 se ampla desde 4 puertas
AND de 4 entradas hasta 16 puertas AND de 6 entradas.
Inhihicin
Conversin paralelo a serie
Comideremos una infomucin de 16 bit en paralelo, de forma que X,, represente el bit 2. X, el bit Z, etc.
Mediante un contador (Sec. 8-6) se puede cambiar el cdigo de tal forma que sea 0000 durante los T
primeros segundos, 0001 durante los Tsiguientes, 0010 durante otros T, etc. Con estos cambios de cdigo,
la salida del multplex ser X,, durante el primer perodo T, X, durante el segundo. X- durante el tercero, etc.
La salida Yes una onda que representa en serie los datos varios aplicados en par&& ala entrada. En otras
tnlabras, se ha llevado acabo una conversin de paralelo a serie de una informacin de 16 bit. Este proceso
emplea 16 T segundos.
En un sistema digital tal como una calculadora, o un sistema de comunicacin de datos, etc.,
frecuentemente se necesita un tren de impulsos con fines de ensayos y mando (excitacin). El generador
de secuencia se obtiene por medio de un convertidor paralelo-serie. Eligiendo apropiadamente el dato de
entra.daX se puede obtener cualquier forma de onda deseado.

Seleccinsecuencialde datos
Cambiando el cdigo en la forma indicada en el prrafo anterior se simula el funcionamiento de un
conmutador electromecnico. Si los datos de entrada son un tren de impulsos, la informacin aparecer
secuencialmente en el canal de salida, es decir que el impulso X0 aparecer durante T segundos, seguido
del X, durante otros T segundos y as sucesivamente. Si el nmero de fuentes de entrada es M, X0 ser
seleccionado nuevamente durante el intervalo M7 < I < (M + 1) T.
Combinacin de sistemas digitales 289

Multplex de orden superior


Si el nmero de lneas de entrada es mayor de 16 el diagrama lgico toma una topologa que no es ms
que la inversa de la de la Fig. 7-20. Por ejemplo, para seleccionar uno de entre 32 datos de entrada deber
emplearse el sistema de la Fig. 7-22. El multplex N,, sita secuencialmente los datos de entrada X0 a X,
en la lnea L, a medida que el cdigo CBA va pasando de 000 a 001, a... ll 1. De igual formaN,, transmite
los datos de X8 a X,, en la lnea L, a medida que CBA va pasando de 090 hasta ll 1. Concretamente, si el
cdigo es CBA = 100, entonces xg aparece en L,, X,, en L,, X,, en L, y X2, en L,. Si se desea que el dato
X,, pase ala salida, ED deber ser Igual a 10 de forma que N, seleccione el dato de la lnea L,. Resumiendo:
con el cdigo EDCBA = 10100 el multplex transfiere el dato de entrada X,, a la lnea de salida Y. En el
Prob. 7-20 se da una solucin alternativa para seleccionar uno entre 32 datos de entrada empleando dos
multplex de 16 entradas de datos. Obsrvese que el nmero total de lneas de entrada es N = Ny,. Para
valores muy grandes deNpuede ser necesario un tercernivelN~ de multplex. Las salidas de N, se conectan
u las entradas de N I y las salidas de stos a las entradas de N,. Este sistema selecciona una entrada de entre
N=NJV,N,.

Lgica combinacional
La exoresin de Boole para la salida Y del multplex de la Fig. 7-21 es:

Y = X&A + X,BA + X,BA + X,BA (7-151

Como se VIOen la Sec. 7-2 la combinacin lgica de tres variables viene representada por la suma de
productos A, B y C. Cada mintenn es de la forma CBA o los complementos de estas variables. Por tamo,
un multplex puede satisfacer cualquier ecuacin lgica-combinacional si se eligen adecuad-ente las
entradasX:Por tanto se requiere queX = Co bienX = C. Si los trminos contienen ambos C y C, entonces
X = C + C = 1 y si falta un trmino, entonces X = 0.

Ejemplo 7-2

Formar la siguiente ecuaun lgica-Combina~iotral a partir de un multplex de 4 entradas

Y CBA + CBA + Ci?A + CBA (7-16)

Solucin

Puesto que BA reprexnta el declml 0, el cocfiuente de BA es X,,. Por tanto, X,, = C + C = 1. Puesto
que BA representa el decimal 1, el factor multiplicador de BA es X,. Por tanto XI = C. Puesto que BA
representa el 3, X, = C. Puesto que BA que representa el 2 no aparece en la ecuacin, X, = 0. Resumiendo:
x,,= I x, = c x,=0 y x, =c
Si se emplean estos valores en el multplex de la Fig. 7-2 1, la salida Y iguala a la lgica combikional
de la Ec. (7.16).
En este ejemplo se ha formado una ecuacin de Boole de tres variables mediante un multplex de 4 a
290 Microelectrnica moderna

1 lneas. En general se puede generar una ecuacin de N variables con un selector de datos de 2 -
entradas.

7-8. CODIFICADOR

b
i y, yt Y? Y, Yo,
Cdigo de salida de 7 bit -

Un decodificador es un sistema que acepta una informacin de M bit y establece el estado 1 en una (y
slo en una) de 2M lneas de salida (Sec. 7-6). En otras palabras, un decodificador identifica (reconoce)
un cdigo particular. Al proceso inverso se le denomina codificador. Un codificador tiene un nmero de
entradas, de las que slo una est en estado 1, y se forma un cdigo de N bit dependiente de cul de las
entradas sea la excitada.
Supongamos por ejemplo que se desea transmitir un cdigo binario con cada pulsacin a un teclado
(una mquina de escribir o un teletipo). En el teclado hay, por ejemplo, 26 letras minsculas, 26
maysculas, 10 cifras, y unos 22 caracteres especiales; y por tanto el nmero de cdigos necesatios es de
aproximadamente 84. Esto puede satisfacerse con un mnimo de 7 bit (2 = 128, pero 2 = 64). Modifi-
quemos el teclado de forma que si se presiona una tecla se cierre un interruptor que conecta una
alimentacin a 5V (correspondiente al estado 1) auna lnea de entrada. La Fig. 7-23 representa el diagrama
de bloques de un codificador. En el interior del bloque sombreado hay una serie de conductores cruzados
rectangulannente (matriz) y vamos a determinar cmo conectarlos para formar los cdigos deseados.
Para explicar el proceso del diseo para construir un codificador simplificaremos el anterior ejemplo
limitando a 10 el nmero de teclas del teclado correspondientes alas cifras del 0 al 9. Un cdigo de salida
de 4 bit es suficiente en este caso, y tomaremos el sistema BCD para los cdigos de salida. La tabla de la
verdad que define este codificador puede verse en la Tabla 7-2. La entrada W (n = 0, 1,2,... 9) representa
la tecla n-sima. Cuando Wn = 1 la tecla n est presionada. Como se supone que no hay ms que una tecla
activada simultneamente, en cada tila todas las entradas menos una estn en 0. De esta tabla de la verdad
sededucequeY,=l,osiW,=l,osiW,=l,osiW,=losiW,=l,osiW,=l,ydeahqueennotacin
de Boole
Combinacin de sistemus digitales 291

Y(, = w, + w, + w, + w7 + w, (7.17)
y anlogamente
Y, = wz f w, + w, + w,

Y, = w, + ws + w, + w,
(7-181
Y, = w, + w,

Las puertas OR de las Ecs. (7-17) y (7-18) se forman con diodos (Fig. 7-24). (Compkse con la Fig.
2-13 pero con los diodos invertidos porque estamos considerando una lgica positiva.) Una disposicin
de codificador como la de la Fig. 7-24 se denomina matriz rectangular de diodos y es semejante a la
disposicin lgica programable (PLA) descrita en la Sec. 7-15.

Tabla 7.2 Tabla de la verdad para codificar los nmeros decimales del 0 al 9

Entradas Sulidas

wY w, w, WC, w.3 w, w, w2 W! w,, Y. Yz Y, Y,


.~.
0 0 0 0 0 0 0 0 0 I 0 0 0 0
0 0 0 0 0 0 0 0 , 0 ,l 0 0 /
0 0 0 0 0 0 0 I 0 (1 0 0 / 0
0 0 0 0 0 0 1 0 0 0 0 0 l 1
0 0 0 0 0 I 0 0 0 0 0 I 0 (1
0 0 0 0 I 0 0 0 0 0 0 1 0 1
0 0 0 1 0 0 0 0 0 0 0 I / 0
0 0 I 0 0 0 0 0 0 0 0 I I I
0 , 0 0 0 0 0 0 0 0 I 0 0 0
I 0 0 0 0 0 0 0 0 0 , iI (1 I

Incidentalmente, puede construirse un decodificador como una matriz rectangular de diodos (Prob.
7.29) lo que se deduce del hecho de que un decodificador est formado de puertas AND (Fig. 7-16) y de
la posibilidad de formar puertas AND con diodos (Fig. 6-36).
Cada diodo del codificador de la Fig. 7-24 se puede reemplazar por el diodo base-emisor de un
transistor. Si el colector est unido a la tensin de alimentacin V,,, resulta una puerta 0 de seguidor de
emisor. Tal configuracin est representada en la Fig. 7-25~ para la salida Y,. Obsrvese que si cualquiera
de las W,, W,, W, o W, estn en estado alto la salida del seguidor de emisor tambin est alta cumplindose
que Y, = W, + W, + W, + W, como requiere la Ec. (7-18).
Por cada entrada del codificador se necesita slo un transistor (con emisor mltiple). La base se une a
la lnea de entrada y cada emisor auna lnea de salida distinta de acuerdo con la lgica del codificador.
Por ejemplo, puesto que en la Fig. 7-24 la lnea W,, est unida a tres diodos cuyos ctodos van a Y,, Y, y
Y, esta combinacin puede sustituirse por el transistor Q7 de tres emisores conectado como en la Fig.
7.256. El mximo nmero de emisores que se pueden necesitar es igual al nmero de bit del cdigo de
alida. Para cl codificador cn particular esbwado en la Fig. 7-24, Q 1. Q2, Q4 y Q8 tienen un emisor cada
uno: 03, QS, Q6 y Q9 tienen dos y Q7 tiene tres erniwre~.
292 Microrleetrnica moderrp

Etapas de salida
Un codificador bipolar utiliza etapas de salida normales TTL. Si cada lnea de salida del codificador
va a la entrada de datos de la Fig. 6-39~1resulta una salida de excitacin en totem. Si una lnea de salida
del codificador va a la entrada de datos de la Fig. 6-39b tendremos una salida en colector abierto.
10 lneas de entrada
Combinacin de sistemas digitales 293

Codificador con prioridad


Vamos a prescindir del supuesto de que en cualquier momento haya presionada una sola tecla. Si
accidentalmente se presionan simultneamente vtias de ellas vamos adarprioridad y codificar la de orden
ms elevado. Por ejemplo, si se activan simultneamente W, y W, se pretende que la salida corresponda
a W,. La tabla de la verdad de un codificador de 10 a 4 lneas con prioridad es la expuesta en la Tabla 7.3.
UnaX en la tabla significa que esa entrada es irwkvante. Puede ser 1 o 0 y por tanto no importa cual sea
su estado. Sin embargo s habr que tener en cuenta un 0 en la tabla, que en la Tabla 7-2 se podadespreciar,
ya que sta queda determinada nicamente por los 1 en su diagonal.
La expresin de Boole para Y, deducida de la Tabla 7-3 es

Y, = w~wxw:whw5w~w~w2 i w~>w*w.,w,w~w~w~
+ wvwxw:w~, + w,w,w:
Esta ewun puede simplifurse notablemente. Obsrvese que
Y, = W,W*(W;B + W7)
donde
B = W,W<W,W~W~ + WW,W.IW1 i- w,, (7-2 I j

Tabla 7-3 Codificador con prioridad (10 lneas decimales a 4 lneas BCD)
Ellt-lldaS .SdidU.S
v, w, w, w, w. w, w, w, w, WC, YI Y, Y, Y,,
0 0 (1 0 0 0 0 0 0 I 0 0 0 ,,
0 (1 (1 0 0 0 0 0 1 .i 0 0 0 ,
0 0 0 0 0 (1 II / x x 0 0 , 0
0 0 0 0 0 0 I x x x 0 0 / I
0 0 0 0 0 1 x x x x (1 , 0 0
0 0 0 0 , x x x x x 0 / 0 ,
0 0 i, I ., x x x x x 0 I I 0
0 0 I x x x x x x x 0 I / /
IXXXYX x x x I 0 0 ,,
1 x x x x x x x x x I 0 0 ,

De la Ec. (6-18) con.4 = W, se obtiene:


Y, = W,w(W; + 8)

y de la Ec. (7-21)

B = w,,c + w<, - w, + cl

en donde se ha hecho uso nuevamente de la Ec. (6-18) y donde


294 Microelectrnica moderna

c = w5w,w,w2 A w5w,w; = W,W4(W~W2 + W,)


= w,w,cw; + W,) (7-24)

De las Ecs. (7.22), (7-23) y (7-24)


Y, = W9Wx(W, + w, + W5WdWT + W<W,WZ) (7.25)

Para generar W,W, = W, + W, (ley de Morgan) se emplea una puerta NOR, y para generar Y, se necesita
una puerta AND-OR de 2-2-4-4 entradas. Procediendo de forma similar se halla la lgica combinacional
para Y,, Y, e Y, (Frob. 7-30 y 7-31).
La lgica anterior se fabrica en un chip integrado a media escala (74LSl47) cuya prioridad codifica
10 lneas decimal a 4 lneas BCD. Entre sus aplicaciones figura la codificacin de teclados pequeos,
conversin analgico-digital (Sec. 16-5) y el control de perturbaciones de un computador con prioridad.
El encapsulado 74LSl48 codifica ocho lneas de datos a binario de tres lneas

7-9. MEMORIA DE SOLO LECTURA (ROM)


Consideremos el problema de convertir un cdigo binario a otro. Tal sistema de conversin de cdigo
(denominadoROMy esbozadoen la Fig. 7-26~1) tiene M entradas (X,,, X,, ..:XII ,) y N salidas (Y,, Y,
Y, ,) pudiendo N ser mayor, igual o menor de M. Cada cdigo dc M bit deterkinaun cdigode salida
especfico de N bit. Esta traslacin de cdigo se lleva acabo, como indica la Fig. 7-266, decodificando
las M entradas en 2M - p lneas de informacin (W,, W, WwT, ) codificando luego cada lnea ala forma
de salida que se desee. Si las entradas adoptan todas las combmaciones de 1 y de 0 posibles, en la salida
se leern informaciones de pN bit (no todas estas 2M informaciones precisan ser nicas ya que se puede
pretender tener el mismo cdigo de salida para distintas informaciones de entrada).
La relacin funcional entre las informaciones de salida y de entrada se realiza en el bloque codificador
de la Fig. 7.26. Como sea que esta informacin queda almacenada permanentemente se dice que el sistema
tiene memoria no disipable. Los elementos de la memoria son los diodos de la Fig. 7-24 o los emisores
de los transistores de la Fig. 7.25. La informacin de salida para cualquier cdigo de entrada puede leerse
tantas veces como se desee. No obstante, ya que la relacin almacenada entre los cdigos de salida y de

Cdigo
de entrada
de M bit.
Combinacin de sLstemas digitales 295

entrada no se puede modificar sin aadir o eliminar elementos memorizadores, a este sistema se le llama
memoria de slo lectura, abreviadamente ROM (Read-Only-Memory).

Convertidores de cdigo
La Tabla 7-4 corresponde a la tabla de la verdad para traducir de cdigo binado a cdigo Gray. Al
pasar de una lnea ala siguiente del Gray, slo se cambia un bit; y slo uno, de 0 a 1 o viceversa. (Esta
propiedad no define unvocamente un cdigo y por tanto se pueden formar varios cdigos Gray). Los bit
de entrada (1) en la Tabla 7-4, se decodifican en un ROM formando las lneas de informacin W,, W, .,,
W,, como se.indica en la Fig. 7-266 codificando luego [(2) de la Tabla 7-41 al cdigo de Gray deseado Y,,
Y,, Y, e Yv Las W son las salidas minterm del decodificador.

Tabla 7-4. Conversin de cdigo binario a Gray [(l) a (Z)] y de Gray a binario [(l) a (3)I
(2) (31
(1) Inform. Salidas cdigo Salidas cdigo
Entrada decodif. Gray binario

x3 x2 5 4 W y3 y* yi Yr Y, Y* y, YC,

0 0 0 0 WI 0 0 0 0 0 0 0 0
0 0 0 I W, 0 0 0 I 0 0 0 I
0 0 I 0 w, 0 0 1 I 0 0 1 I
0 0 1 I W, 0 cl I 0 0 0 1 0
0 I 0 P w, 0 1 1 0 0 I I I
0 I 0 1 W, 0 1 1 1 0 1 t 0
0 I I 0 W, 0 I 0 I 0 I 0 0
0 l I 1 w, 0 I 0 0 0 I 0 I
/ 0 0 0 w, I I 0 0 1 I I 1
/ 0 0 I W I I 0 I I I I 0
I 0 I 0 WI,, 1 I I I I I 0 0
1 0 I I WI, 1 I / 0 I I 0 I
/ / 0 0 WI? 1 0 I (1 I 0 0 0
/ / 0 I WI? I 0 I I I 0 0 I
/ , I 0 w,, 1 0 0 I 0 I I
/ I 1 I WI5 I 0 0 L0 I 0 1 0

Por ejemplo:

WC = X,~J,X,, w5 = x3 x,x,x,, w, = x,x,x,x,,

De la tabla de la verdad (Tabla 7.4) se deduce

YCl= WI + w* + w5 + w, + WY + w,,, + w,, + w,, (7-27)

Esta ecuacin se cumple conectando ocho diodos con sus ctodos unidos todos a Y, y sus nodos
conectados alas lneas W,, W,, W,, W,, W,, W,,, W,, y W,, del decodificador. (0 se pueden usar los diodos
base-emisor de los transistores en forma anloga para formar una puerta OR-seguidor-de-emisor, como
en la Fig. 7- 25a.) Asimismo, a partir de los dems bit de salida. Por ejemplo

Y, = w,? + wq + w,,, + w,, + W,? + w,, + WI1 f w,, (7. Yj

Consideremos la traduccin inversa, de Gray a bintio. Las entradas de cdigo Gray (1) de la Tabla
296 Microrlectrnica moderna

7-4, se disponen en el orden W,, W, .._ W,, (correspondientes a los nmeros decimales del 0 al 15). El
cdigo binario correspondiente a una informacin de entrada dada W queda registrado corno cdigo de
salida para esa lnea [(3) de la Tabla 7-41. Por ejemplo, de (1) y (2) de la Tabla 7-4 vemos en su lnea W,,
que el cdigo Gray 1001 corresponde al cdigo binario ll 10 y esta relacin se mantiene en (1) y (3) de
la lnea W, de la Tabla. De esta misma tabla se deduce la relacin entre los bit de salida binaria (3) y los
de entrada Gray (1). Por ejemplo:

Y,, = w, + w2 + Wd + w7 + w, + w,, + w,, + w,, (7-29)

Esta ecuacin define cmo han de disponerse los elementos de la memoria en el codificador. Obsrvese
que para convertir un cdigo binario a Gray la ROM emplea la misma disposicin decodificadora que
para la conversin de Gray a binario. Sin embargo, los codificadores son completamente distintos. Dicho
de otra forma, los chips integrados para estos dos ROM son completamente distintos, emplendose
mscaras individuales para la matriz de los elementos de memoria del codificador.

Programacin del ROM


Consideremos la memoria de slo lectura (ROM) bipolar de 256 bit dispuesta en 32 informaciones d:
X bit cada una. La entrada del decodificador es un cdigo binario de 5 bit, y sus salidas son las 32 lneas
de informacin. El codificador est formado por 32 transistores (estando cada una de las bases conectada
auna lnea distinta) y con 8 emisores cada transistor. El cliente rellena la tabla de la verdad que desea que
satisfaga su ROM, y entonces el constructor prepara una mscara para la metalizacin de forma que quede
conectado un emisor de cada transistor a la lnea de salida apropiada o en su caso dejarlo flotante. Por
ejemplo, para la conversin Ce cdigo Ch-ay a binario, la Ec. (7-29) seala que un emisor de cada uno de
los transistores Ql, QZ, Q4, Q7, Qg, Qll, Q13 y Q14 va conectado a la lnea Yu, mientras se dejan
desconectado los emisores correspondientes a cada uno de los dems transistores QO, Q3, Q5, QS... Este
proceso descrito se denomina progwmacin segn demanda, o de mscara prqramada. Tngase en
cuenta que estamos considerando una programacin al por menor.

ROMS NMOS
Las memorias de slo lectura se forman comentemente con la recnologia NMOS, trecuenremznre
como chips de integracin a gran escala. Frecuentemente los ROM se fabrican como partes de un sistema
ms complejo en un solo chip tal como el microprocesador (Sec. 9-10). Consideremos por ejemplo un
cdigo de entrada de 10 bit, resultando 2 = 1024 lneas de informacin, y con 4 bit para el cdigo de
salida. La matriz de la memoria para este sistema consta de 1024 x = 4096 intersecciones corno se indica
esquemticamente en la Fig. 7-27. Este es un ROM de 4-Kilobit (4-kb) organizado como lkb x 4. Esta
designacin proviene del hecho de que 2O= 1024, es aproximadamente 101. As el ROM de 64 kb tiene
26 x 2 = 64 x 2 bit. La conversin de cdigo a desarrollar por la ROM se programa permanentemente
durante el proceso de fabricacin utilizando una mscara diseada para incluir u omitir un transistor MOS
en cada interseccin de la matriz. La Fig. 7-27 representa un codificador de este tipo en el que se puede
ver cmo se conectan los FET de memoria entre las lneas de informacin y de bit.
Hemos demostrado anteriormente que la relacin entre los bit de salida Y y las lneas de informacin
W se satisface por la funcin lgica OR. .Consideremos por ejemplo que la conversin de cdigo desea&
exija que
r = W + w* r, = w, (7-301
YI = w, + w, J wmr >; = W + w,,
Esta relacin se cumple con las conexiones de la Fig. 7.27. La puerta NOR para YgJde la Ix. (7-W) 25
precisamente la dibujada en la Fig. 6.28a, con las seales WC, y W, aplicadas a las entradas A y B
respectivamente.
La inclusin o exclusin de una clula de memoria MOS 2n una interseccin de la matriz se determina
durante la fabricacin, en el proceso de enmascarado, para la puerta de xido (Fig. 5-14). Si el MOSFET
tiene una puerta normal de xido fino su tensin umbral V, es baja; si la capa de xido es gruesa, V, ser
alta. En respuesta a un impulso positivo en la lnea de informacin, el elemento de umbral bajo conducir
y se detectar una lgica 0 (por la accin del inversor) en la lnea de bit. Por otra parte si se aplica un
impulso positivo a la puerta de xido grueso (de umbral alto) sta no conducir, como si realmente no
existiera en el circuito. En otras palabras, construir una puerta de xido grueso en un punto de la matriz
equivale a no construir un MOSFET en tal posicin, como se ve en la Fig. 7-28. La ROM es una memoria
permanente, no voltil, porque si se intermmpe el suministro de potencia y se repone luego, no se pierde
la relacin entrada-salida programada.
Un ROM esttico no necesita relojes y la salida se mantiene mientras la direccin de entrada
permanezca vlida. Existen disponibles ROM en gamas desde 1 hasta 64 kb (de Intel, Mostek, Tere
Instmments y otros) con normalmente 4 u 8 bit de salida. Los tiempos de acceso estn comprendidos entre
0.1 y 1 ps (como una vez y media mayor que cn el ROM bipolar) y con una disipacin de potemx de 0,l
a 1 w.
ComoejemplodeROMestticocitemoselIntel2316de 16kb(2048 x 8)enencapsuladode24patillas.
UtilizaMOSFETde canal n (NMOS), funciona con una alimentacin nica de 5 V de forma que entradas
y salidas son compatibles con la lgica TTL. El direccionado bidimensional visto en la Sec. 7-2 se emplea
tambin con ROM integrados a gran escala. El ROM 23 16 est organizado segn la Fig. 7-29. Para 2048
informaciones se necesitan once bit de direccionado. Obsrvese que siete de estas entradas (del A, al A,,)
las emplea el decodiflcador X para obtener 128 lnea\. La matriz de memoria es cuadrada con 128
298 Microelectronrca moderna

columnas que deben reducirse a 8 salidas (0,, a 0,), lo que se consigue con 8 selectores (16 a 1) utilizando
entradas (A, a A,) de direccionado de cuatro columnas. Esta organizacin es una ampliacin de la expuesta
en la Fig. 7-30 para un ROM de 2 kb (512 x 4) aun cuando los dos esquemas (Figs. 7-29 y 7-30) se han
trazado algo distintos.
Oxido fino (MOSFET)
I

Ochobit de salidap>r informacin

Ochoselectrea
de16z.I
(multplex)

El decodificador es un ROM MOS esttico (Fig. 7.29) contiene puertas UAND que son estticas, por
lo que la disipacin de potencia es relativamente alta. Un ROM dinmico emplea inversores dinmicos o
temporizados en el decodificador y/o FET de carga requiriendo una cadencia de reloj mnima pues de lo
contrario se perdera la informacin. No obstante la disipacin de potencia es menor que en el ROM
esttico. La mayor parte de los ROM comerciales son estticos por la ventaja que supone no necesitar
relojes y dar una salida que permanece vlida mientrar est aplicada la entrada.
Se consigue aumentar el nmero de bit por informacin (expansin de la infirmah5n) o el nmero de
informaciones con el mismo nmero de bit por informacin (expamin de dirrccionado) interconectando
varios encapsulados ROM como se describe en la Sec. 7.10 para los chips bipolares.
Combinacin de sistemas digitales 299

7-10. DIRECCIONADO BIDIMENSIONAL DE UN ROM


Algunos fabricantes (Apndice B-l) suministran ROM MOS y bipolares en gama desde los 256 bit
hasta los 64 kb. Con cuatro u ocho lneas de salida. Existen tambin ROM mayores que constituyen
ejemplos de integracin a gran escala (LSI). El tiempo necesario para que aparezca una salida vlida desde
el momento en que se aplica una entrada a la memoria se denomina tiempo de acceso, que en el ROM
bipolar es de meno? de 100 ns.

Impracticable. Consideremos por ejemplo un ROM de 512 x 4 = 2048 bit (IV = 9 y N = 4). En el
decodificador se necesitan en total 5 12 puertas PAND,una por cada lnea. Se consigue una gran economa
empleando la topologa de la Fig. 7-30. Una entrada (fila) de 6 bit genera 64 lneas horizontales. Si en la
matriz de memoria se utilizan 32 lneas Y (verticales) el nmero total de bit ser 64 X 32 = 2048 como
pretendamos. No obstante, corno slo estn especificadas cuatro lneas de salida debern emplearse cuatro
selectores de 8 a 1 lneas. Cada multplex viene alimentado por una columna de direccionado de 3 bit. A
esta disposicin se le llama X-Yo de dirrcrionado hidimrnsionul. Obsrvese que ahora se necesitan 64
puertas NANII para el decodificadory 4 X 9 = 36 para los selectwes de la configuracin NANLI-NAWD (ANWOK)
de la Fig. 7-21, (Evidentemente un selector de 8 entradas necesita nueve puertas). El total de 64 + 36 =
100 NAND es muy ventajoso frente a las 5 12 necesarias para la disposicin decodificadora de la Fig. 7-26
a igualdad de tamao del ROM. Para la Fig. 7-30 se necesitan 64 transistores con 32 emisores cada uno,
mientras que en la Fig. 7-26 hay 5 12 transistores de cuatro emisores cada uno.
Ampliacin de la informacin
Se consgue fcilmente aumentando el rrmero de bit por informacin Por e~tqlu, x ubncne un
KOM de 512 x 8 bit empleando dos de 5 12 x 4 bit. El direccionado de la Fig. 7. 27 se aplica a los dos
chips simultneamente. Los 4 bit de menor significan& se obtienen de uno de los dos encapsulados y los
4 ms significativos del otro.
yi
i,,

Ampliacin riel direccionado


Tener informaciones adicionales (sin aumentar sus bits) es ms complicado. Por c~wnplo, para tcncr
1024 informaciones de 4 bit cada una se necesitan cuatro ROM de 256 x 4. un decodificador exterior de
2 a 4 lneas y etapas de salida de tres estados (Fig. 6-39) como indica la Fig. 7-31.
El funcionamiento de este sistema se explica de la siguiente forma: Las entradas A7.......A, se aplican
2n paralelo a los cuatro ROM de 256 x 4 cuya\ salidas OK estn unidas entre s. Una entrada de 2 bit A,AI;
se aplica a un decodificador (Kg. 7-17) cuyas cuatro salidas gobiernan los cuatro chips CS,. Por ejemplo,
si A, = 0 y A, = 1 entonces CS? = 0 para el chip 1 y CS, = 1 para todos los dems. En consecuencia el chip
1 queda habilitado mientras que los otros tres presentan una gran impedancia de salida. Por tanto, en la
salida slo aparecen Y, = Y,),, Y, = Y,,, Y, = Y,, e Y, = Y,, del encapsulado 1. Cada combinacin de 0 y 1
enlasentradasA,A,....il,A,,seconv~erteenuncdigode salidade bit,con un totalde 1024infonnaciones
de 4 bit en la memoria.

7-11. APLICACIONES DE LOS ROM


Como se ha visto en la seccin anterior, un ROM eh uu unidad de conversin de cdigo. Sin embargo,
muchos sistemas prcticos distintos representan una traslacin de unoa otro cdigo
A continuacin veremos las aplicaciones ms importantes de los ROM.

Tablas de recurrencia
A veces se requieren de una calculadora clculos de rutina tales como funciones trigonomtricas,
logaritmos, cxp:~ncncialcs. raiccs cuadradas, etc. Si esto ocurre con suficiente frecuencia es ms econ-
Combinacin de sistemas digitales 30 1

mico emplear un ROM amanera de tabla de recurrencia en lugar de seguir un programa ms comphcado
para el clculo. Una tabla de recurrencia de por ejemplo Y = sen X es un sistema de conversin entre el
cdigo de entrada representativo del argumento X en notacin binaria (con cualquier precisin deseada)
y el cdigo de salida que d los valores correspondientes de la funcin seno. Evidentemente, cualquier
clculo para el que se pueda establecer una tabla de la verdad podr resolverse con un ROM (uno distinto
para cada tabla).

Generadores de secuencia
Si en un sistema digital se precisan trenes deP impulsos con fines de control o ensayo, stos se pueden
obtener con P multplex conectados para conversin de paralelo a serie (Sec. 7-7). Un procedimiento ms
econmico de suministrar estas secuencias binarias es emplear una ROM con P salidas, cambiando el
direccionado mediante un contador. Como ya se indic en la Sec. 7-7, la entrada al codificador pasa de
WC,a W,, a W,.... etc. cada T segundos. Con esta excitacin la salida Y, de la ROM representada por la
Tabla 7-4 (conversin de cdigo Gray a binario) es:

Y, = 1100001100111100 (LSB) (7-31)

Ehta ecuakh be obtiene leyendo los dgitos de la columna Y, de arriba a abajo. Ello Indica que durante
los 2T primeros segundos Y, se mantiene bajo, durante los 4T segundos siguientes Y, est alto, en los
siguientes 2T otra vez bajo, en los otros 2T alto y en los siguientes 4T bajo y en los ltimos 2T Y, est
alto. Pasados estos 16T segundos se va repitiendo la secuencia mientras se suministren impulsos al
contador.
Simultneamente con Y, se crean otros tres trenes de impulsos sincronizados, Yo, Y, e Y,. En general,
el nmero de secuencias obtenidas es igual al nmero de salidas de la ROM. Se puede generar cualquier
serie de ondas binarias si la tabla de la verdad est bien especificada, es decir, si la ROM est correctamente
programada.

Generador de ondas
Si la salida del generador dlgnal de xxuencias YC w~~wcrte en tensmn analgu tendremos un
generador de ondas. Consideremos una ROM de 256 x 8 bit, secuenciada por medio de un contador de 8
bit. Cada paso del contador representa un 360/256 = 1,406 de la onda. La ROM est programada de forma
que las salidas de Yoa Y, dan el nmero digital correspondiente ala amplitud analgica en cada paso. Las
salidas de la ROM alimentan un convertidor digital/analgico (Secc. 16-4) y la salida de la onda analgica
deseada. Esta salida vara en pequeos pasos discretos (cada uno de ellos de menos del 0.5% del valora
plena escala) y por tanto puede ser conveniente un simple filtrado.
Imagen visible de siete segmentos
Es prctica comn hacer visible la lectura de un aparato digital (medidor de frecuencia, voltmetro
digital, etc.) mediante el indicador numrico de siete segmentos como el de la Fig. 7-32, de los que existe
una gran variedad en el comercio. Un indicador de estado slido cuyos segmentos reciben su luminosidad
de unos diodos emisores de luz de arseniuro o fosfururo de galio. Trabajan abaja tensin y poca potencia
y por tanto pueden ser excitados directamente por puertas lgicas integradas.
Las 10 primeras imgenes de la Fig. 7.23b son las cifras del 0 al 9 que en el instrumento digital estn
representadas en forma BCD. Un cdigo de 4 bit tiene 16 estados posibles, y las imgenes del 10 al 15 de
la Fig. 7-236 son los nicos smbolos utilizados para identificar una condicin de BCD no vlida.

Tabla 7-5 Conversin del cdigo BCD al del indicador de siete segmentos

Entradas en cdigo Inf>rmacln Salidas en cdqo


binario decimal demdficadu deI indicador de 7 segmentos

El problema de pasar de la entrada BCD a las salidas de siete segmentos de la Fig. 7-32 se resuelve
fcilmente empleando un ROM. Si un segmento excitado (luminoso) se identifica como en estado 0 y uno
apagado como en estado 1 se obtiene la tabla de la verdad (Tabla 7-5). Esta tabla se comprueba de la
siguiente forma: Para la informacin W, (correspondiente a la cifra 0) vemos en la Fig. 7-32 que Y, = 1
y que todos los dems valores Y son 0. Para W, (correspondiente ala cifra 4) Y, = Y, = Y I = 1, e Y, = Y,
= Y i = Y, = 0, y as sucesivamente. La ROM se programa tal como se ha explicado en la Sec. 7-9 para
responder a la tabla de la verdad.
Por ejemplo:

Yo = w, + w, + w, + W, + w,, + w,, + w,, + w,, (7-32)

Hay que ubservar que una ROM puede no usar el mnimo nmero de puertas para llevara cabo una
cuwersin de cdigo particular. Consideremos la Ec. (7-32) escrita como suma de productos. Reempla-
zando W, por rt, X, X, jio = DCBA y empleando expresiones anlogas para las salidas de los dems
decodificadores, la Ec. (7-32) se convierte en

1-
- Combinacin de sistemas digitales 303

Y, = DCBA i DCBA 7 L%!3A + DcBA t DCBA

+ DCBA + DCBti + DCBA (7.33)


Existen tcnicas algebraicas y grfka y programas de clculo para minimizar estas expresiones de
Boole. Obsrvese por ejemplo que pueden simplificarse los trminos segundo y tercero

DCBA f DCBA = BcA

ya que B + fl = 1. Procediendo de esta forma (Prob. 740) se obtiene la siguiente expresin minimizada
de Y,

Y, = DCBA + CA + DB (7-34)

Empleando las expresiones minimizadas de Y,, Y,....Y, se economizan alrededor del 20% de los
componentes requetidos por la ROM. Un chip construido de esta forma (por ejemplo el 74HC4511) se
denomina decodificador/excitador de BCD a siete segmentos.
La minimizacin de las ecuaciones de Boole resulta pesada y lenta (sobre todo si el nmero de variables
de cada producto es mayor de cinco). Hay que comparar el coste del tiempo empleado para minimizar y
disear un chip integrado especial, con el de programar sencillamente una ROM ya existente. Salvo el
caso de tener que fabricar un enorme nmero de ejemplares (particularmente si la matriz es muy amplia)
la ROM es el procedimiento ms econmico. Las disposiciones lgicas programables que estudiaremos
en la prxima seccin aportan un mtodo conveniente para disear funciones lgicas complejas empleando
elementos fijos.

Lgica combinatoria
Si se dan N ecuaciones logras de M varIables en la fornu cannica de suma de productos, puedrn
cumplirse estas ecuaciones con una ROM de M entradas y N salidas. Como ya se ha indicado anterior-
mente, esta es una solucin econmica cuandoM y N son grandes (especialmente si lo es M). Sin embargo,
en el diseo lgico de una etapa de un sumador completo en el que M = 3 y N = 2 (nmeros pequeos) y
que se vende en grandes cantidades es ms econmico usar distintas combinaciones de puertas, como en
la Fig. 7-7. que emplear ROM.

Generador de caracteres
Los caracteres alfanumricos se pueden escribir en la pantalla de un tubo de rayos catdicos (del
tipo de televisin) con la ayuda de una ROM.

Almacenamiento de programas
En una ROM se almacenan permanentemente programas de control (por ejemplo en una calculadora
de bolsillo).
304 Mcroelecrronrca modernu

7-12. ROMS PROGRAMABLES (PROMS)


Muchos fabricantes suministran ROM programables denommados PROM (veasc Apndu B-1 1.
Estos chips integrados proporcionan flexibilidad al diseador y permiten reducir costes, especialmente
cuando slo se precisan pequeas cantidades de un determinado ROM. El coste de la mscara de
conexiones es elevado cuando hay que amortizarlo entre pocas unidades. Adems la demora en el
suministro puede ser excesiva.
Una PROM contiene una matriz codificadora en la que estn hechas todas las conexiones que puedan
ser requeridas. Por ejemplo, la ROM de 256 bit descrita en la seccin anterior puede convertirse en PROM
conteniendo 32 transistores con 8 emisores cada uno (designados E,, E,.... EJ. Cada emisor E, est unido
a la salida Y,, cada emisor E, a la salida Y,, y as sucesivamente. En serie con cada emisor se aade una
fina cinta de polisilicio que acta como fusible que abre al circuito cuando pasa una corriente superiora
la prescrita a travs del elemento de memoria. El usuario puede fundir o eliminar estos fusibkpara abrir
las conexiones oportunas a fin de que la ROM responda a la relacin funcional deseada entre entrada y
salida.
Otra forma de ver la ROM es considerarla como una matriz consistente en una disposicin AND y otra
OR.Esta organizacin engendra una relacin funcional entrada-salida en forma de suma de. productos. La
memoria programable de slo lectura consiste en una disposicin AND fija y otra OR programable como
se ve en la Fig. 7-33. Las X de esta figura representan conexiones a las entradas de puerta (fusibles).
Obsrvese que slo existen algunas conexiones AND, mientras todas las conexiones de entrada OR estn
hechas. La programacin se lleva a cabo fundiendo las conexiones OR no deseadas. Para quemar el
programa se emplea un aparato llamado programador que suministra la corriente necesaria para abrir el
fusible. Evidentemente, una vez la ROM ha sido programada fundiendo fusibles el programa ya no se
puede alterar. No obstante, en ciertos MO.5 PROM se puede borrar el programa y escribir elctricamente
uno ltevo.

7-13. PROM BORRABLE


Existen dos tipos de MOS PROM en la que se puede borrar el programa, y que son el llamado PROM
borrable (EPROM) y el PROM borrable elctricamente (camhiahle) IEPROM o EAROM) En la
prxima seccin estudiaremos ambos tipos.

EPROMS
Las memonas programables de solo lectura programadas fundiendo las conexiones no admitw
wnbios, pues el fusible quemado no puede repararse. Los PROM borrables se basan en la estructura
especial MOS representada en la Fig. 7-34~. A este transistor NMOS de doble puerta a veces se le
denomina FAMOS (de las iniciales de Floating-gate Avalanche-injection Metal Oxide Semiconductor).
La puerta 1 es de polisilicio y se deja flotanteu en cuanto no tiene conexin alguna. Esta puerta est
completamente rodeada de SiO, y por tanto no existe ningn camino para la descarga y la carga almacenada
en ella. Aplicando una tensin positiva alta (unos 25 V) entre la puerta 2 y el drenaje, la elevada intensidad
del campo elctrico en la regin de deplexin de la unin pro drenaje-sustrato provoca la ruptura por
avalancha, y de esta ruptura nace una corriente adicional elevada. En consecuencia, los electrones de alta
energa, acelerados por el campo elcttico, penetran en la fina capa de xido y se acumulan en la puerta
1. No habiendo va para la descarga, la carga acumulada fuerza que la tensin en la puerta 1 pasea negativa
cuando la de la puerta 2 y del drenaje sean cero. Esta tensin negativa en la puerta 1 evita que se induzca

l-
Combinacin de sistemas digitaks 305

Cuncmmca dic Ih dqnMam vx


r b-7

Conexiones de ta disposicin ANo ti;%;: ;ixi


.,,
l I i ,i
)l> Y2 I,
Sal,das
Irigura 7..u. 8g,n,zacln dc d lllei1lni p,~Mmiblc d rlo ICCIld coI,,I>tcIIte en una dhp\icih A\il) fqa y mil ilK p,,,gra
dbk (La\ x rrpre>sntan cexilE, fblbk.,

un canal entre la fuente n+ y la regin de drenaje cuando se aplique la puerta 2 un mvel de tensin normal
para la lgica 1 (unos 5 V) (Fig. 7.34b). El resultado es que se ha almacenado una lgica 1 en esta clula
ROM. La programacin del PROM se resuelve colocando lgicas 1 en las clulas apropiadas.
Las excelentes propiedades aislantts del SiO, pueden mantener durante muchos afios las cargas
inducidas en la puerta 1. (Se estima que ms del 70% de la carga se mantendr todava despus de 10 aos
aun a la tcrnperarura dc 125C.) Sin embargo, se puede borrar cl elcmcnto expomndolo a los rayos
ultravioleta. La corriente fotoelctrica producida elimina la carga de la puerta, porque el SiO, se hace
ligeramente conductor bajo la accin de estos rayos.

Los EPROM ucncn el inconven~rnte de mxxutar un tiempo de exposicldn lago para bonar 2011
ultravioletas, por lo que no es adecuado en aplicaciones que requieran cambios rpidos. Los E*PROM
solventan este inconveniente permitiendo un borrado elctrico relativamente rpido del dato almacenado.
Se emplea una estructura similar a la de la Fig. 7.340 en la ue el espesor del xido entre la puerta 1 y la
regin de silicio se reduce hasta llegar al orden de unos 100 1 Una tensin del orden de los 10 V (tensin
mayor que el nivel lgico positivo normal) aplicada a travs de la capa de SiO, extremadamente delgada
hace que los electrones fluyan a la puerta 1 por un proceso mecnico-cuntico. Las cargas inducidas evitan
la formacin de un canal cuando se aplica una lgica I ala puerta 2, quedando en consecuenciaalmacenado
un 1. El horrado se consigue invirtiendo la tensin necesitada para almacenar la lgica 1.

7-14. LGICA DE DISPOSICIN PROGRAMABLE


Esta lgica (PAL) est relacionada con la PROM en el sentido de que tambin es una maru que
comprende una formacin AND y otra OK. Sin embargo en una PAL la OR es fija y la AND programable.
En muchos sistemas lgicos las expresiones simplificadas de Boole se alcanzan con la disposicin PAL.
En la Fig. 7-35 vanos un PAL de 16 informaciones de 4 bit, en la que las X representan conexiones
(uniones fusibles). Obsrvese que slo figuran conexiones especficas OK mientras que estn todas las AND
posibles. El programa se prepara fundiendo las corsxiones AND no deseadas empleando las mismas
tcnicas empleadas para los PROM. El Monolithic Memories IOH%) es un PAL tpico de 8 kb, disponible
en un encapsulado de 20 patillas. Contiene 10 lneas de entrada y 8 de salida pudiendo almacenar 1024
(29 informaciones de 8 bit.
Tambin se pueden formar PAL empleando disposiciones AOI (IOL8). El proceso de programar es
idnticoquepara la disposicin AND-OK,las salidas son los minterm relacionados con las combinaciones
de la puerta AND-OR.
Combinacin de srFtemas digitales 307

Canexianea de la dispairin AND

salidas.

7-15. L)ISPOSICIONES LGICAS PROGRAMARLES (PLA)


Esta disposicin es la ms verstil de las disposiciones AND-OK integradas. En un PLA .S.I~U+IItoda\
las conexiones de las puertas AND y OR. El programa se prepara fundiendo los enlaces no deseados.
3ut( .Clicroekctronrcu nrderna

Lu que Ggue ilustra sobre el uso y vcrs~trlrdad del PLA. En la Sec. 7-S x curnzr1t6 cl ROM (Intel
3 16A) de 16 kb (2048 x 8) que tiene M = II entradas y N = 8 salidas. Para cada aumento de M en una
unidad se duplica el nmero de bit. Por ejemplo, si M = 16 conservndose N = 8, el nmero de
informaciones en 2 = 65.536 y el de bit 65.536 X 8 = 524.2X8. Este enorme nmero de bit no es factible
con un solo ROM en un chip, siendo necesarios 16 encapsulados ROM de 16 kb interconectados para la
extensin de direccionado (Sec. 7-10). Este sistema satisface N = 8 ecuaciones lgicas combinacionales
A 8 c D

Cncni,,,~c\dc iii dl\po\,ci6n ihli ,<., .... ,,.. ..I


::::; :::*: j,>>
:_. >,.>.
:: ::: .I Z.
l I I l
Y, i: Y, c
Sillldii\
Figura 7.36. lrp!J*lclo IbglcaprograrnbieCPtA,. Tantu la dlSpoSiw5ANcomo la R san pragramablea.
Combinacin de sistemas digitales 309

con M = 16 variables (Sec. 7-I 1). Cada ecuactn se expresa en forma canntca de suma de prcductus.
Cada producto contiene 16 factores habiendo un total de 65.536 trminos (o informaciones).
Consideremos un sub-conjunto del sistema ROM anterior. El nmero de entradas y de salidas se
mantiene el mismo (M = 16 y N = 8) pero cada suma tiene, por ejemplo, slo 48 trminos de productos
en lugar de 10~65.536, a los que SCles Ilamal?ro<iu<,tospurciules de las wiables de entrada porque cada
producto no contiene todos los 16 impulsos (o sus complementos). Tal sistema de lgica combinacional
se conoce como ordenacin lgica programable (PLA) de 16 x 48 x 8 lo que indica que hay 16 entradas,
8 salidas y un total de 48 productos parciales como indica la Fig. 7.36.
El decodificador del PLA de la Fig. 7-36 contiene 48 puertas AND. La salida de cada una de ellas es
un trmino de producto parcial siendo normalmente pequeo el nmero de entradas a cualquier puerta:
como mximo igual al nmero de bit de datos de entrada (16). La matriz codificadora consiste en ocho
puertas OR cuyas salidas son las ocho funciones de salida del PLA. El mximo nmero de entradas a
cualquier puerta OR es igual al nmero de trminos de producto (48), aunque normalmente es mucho
menor. Amanera de ejemplo consideremos dos ecuaciones lgicas combinacionales (distintas de las ocho
llevadas a cabo por el PLA de la Fig. 7-36) tales como
-
0, = AI& - &&4 + A,,Ad,A~ + Ati + A,sA,, (7.351

0, = A5AdA, + AIA~AI + A,lA (7-36)

&tas da sahdas emplean siete trminos de producto porque A$fi 25 com a ambas. Los 48 ~~ 7
= 11 trminos restantes quedan disponibles para las seis salidas res&ntes desde 0 a 0 Una de Iab
puertas AND tiene una entrada, tres tienen dos entradas, una tiene tres y otra cuatro. L?a pu&ta OR de 0,
tiene cinco entradas y la de 0, tiene tres.

Tabla 7.6 Tabla de la verdad de un PLA para las Ec. (7-35) y (7-36)

Entradas hhias

1nwlo I? 14 Li iz i, 10 Y 8 7 6 5 4 3 2 , 0 , 0

0 x x x x .Y x x x x x x x 0 x x I 0 ,
t x x x x x x 0 XXXXI xxox,,
x x x x I 0 x x 0 x x x , x x x 0 /
3 x .x I x x xxxxxxx XXXX",
4 0 I x x x x .Y x x x x x x x x Y 0 I
5 xxxxx x x x x x I 0 x x x 1 1 ,i
6 x x x I x x XXXI x x x x x x l u

Ld rabia 7-6 es la tabla de la verdad correspondiente a las ecuaciones anteriores. Se emplea lgica
positiva y cada lnea representa un trmino de producto. Si un dato de entrada es cierto (o falso) aparece
una lgica 1 (o 0) en la columna representativa de tal entrada. Si en un producto falta una variable hay
unaX (indiferente) en la columna del dato de entrada yen la fila del producto en consideracin. Si la salida
0, es 1 (o 0) ello significa que el trmino de producto representado por la lnea considerada est presente
(o ausente) en la k-sima funcin de salida.

Programacin de un PLA
La Tabla 7-6 (ampliada hasta cubrir 8 funcmnes de salida y hasta 48 trminos de producto) es una
tabla deprogruma~in para un PLA de 16 x 48 x 8. El usuario rellena la tabla para satisfacer sus funciones
JlU Microekcrrnica moderna

Igias cwnbinacionales y el fabricante construye una mascara para la rnetaliacln y obtener las
conexiones oportunas. Por ejemplo, si figura una X en la p-sima entrada de datos, y el r-simo trmino
de producto, no se hace conexin de aluminio entre la enwadap (ni entre su complemento) y la r-sJma
puerta AND. Por otra parte, si la entradap-sima es 1 (o 0), para el trmino r se metaliza entre AP (o A,) y
la r-sima puerta AND. Anlogamente si la salida k-sima es 1 (o 0). para la m-sima lnea de producto se
conecta con aluminio (o no se conecta) el trmino m a la entrada de la k-sima puerta OR. El 6775 de la
Monolithic Memories Ix., (o el DM 8575 de la National Semiconductor) es un ejemplo de dispositivo
lgico programable con mscara con 14 entradas, 8 salidas y 96 trminos de producto (14 x 96 x 8). Es
compatible con la lgica TTL y su tiempo de acceso es de aproximadamente 50 ns.
Existen tambin dispositivos lgicos programables en el propio taller (FPLA) tales como el Signetics
82SlOO (16 x 48 x 8) representado en diagrama de bloques en la Fig. 7-36. Este chip bipolar emplea
puertas AND de diodos (Shottky) y puertas OR seguidoras de emisor (Fig. 7-37). Este FPLA est
representado en la Fig. 7-37 en que cada X representa una unin fusible. Este sistema se programa en el
taller quemando selectivamente los fusibles para cortar las conexiones coAvenientes para satisfacer la tabla
del programa como se ha explicado anteriormente. El complemento A, de A, se obtiene mediante un
Combinacin de si.stemm digitales 3 ll

ttwe~so~ (no representado en la figura). Tambin se puede conseguir en el chip el complemento de cada
salida, pero este circuito se ha omitido en la figura para mayor simplicidad. El encapsulado es compatible
con salidas de tres estados o de colector abierto (Fig. 6-39) incluyendo tambin chip de habilitacin de
control.
El PLA (o FPLA) est diseado para la ejecucin de funciones lgicas complejas: puede manejar ms
datos de entrada y es ms econmico que el ROM. Sirve para el mismo tipo de aplicaciones que el ROM
(Sec. 7. ll) supuesto que el nmero de trminos de producto necesarios sea una pequea parte del total de
combinaciones de entrada posible.

REFERENCIAS

1 Hodgcs, 0. A., y H. G. ,ackxm: Analysis and es,gn of Digital Integrated Circuits, McGraw-Hdl, Nueva
York, 1983.
2 Blakcslce, T. R.: Di&al Design with Standard MSI and LSI, John Wiley and Sons, Nueva York, 1975.
3 Pcatman, J.B.: The Deslgn of Digital Systems. 2* ed., McGraw-Hill Book Company, Nueva York, 198 1.
4 Tauh, H.. y 0. Schilling: Digital lntegrated Electronics, McCraw-Hill Book Company, Nueva York, 1975

3 Mano, M.M.: Computer System Architecture, 2. ed., Prentice-Hall, Englewood Cliffs, NJ., 1982.

6 .l aub, H.: Digital Circujts and Microprocessors. McGraw-Hill Book Company, Nueva York, 1982

7 IEEE Journol <tiSolid-Statc Cin~uiiu, publicacin especial anual sobre lgica y memoria de los semicondur
tares, Nueva York, Octubre 1970 hasta el presente.

TEMAS DE REPASO

7-l. tu) ,Cuoto> termmale& de entrada oecwta un chip conteniendo cuatro puertas NORde do> entradas?
Explquese.
(h) Repetir lo anterior para un chip con dos puertas AOI de dos entradas.
7-Z. Describir SSI, MSI, LS1 y VLSI.
7-3. Dibujar la configuracin de una puerta integrada TTL AOI y explicar su funcionamiento.
7-4. Repetir el tema anterior para una puerta NMOS AOI.
7-5. i,Qu tipo de conexionado se emplea en el tema 7-3? y ien el l-4?
7-6. (a) Hallar la tabla de la verdad de un semisumador.
(h) Mustrese su cumplimiento para el dgito D y el arrastre C.
7-7. Mustrese el sistema de un sumador binario en paralelo de 4 bit construido mediante sumadores completo5
de I bit.
7-8. (a) Dibujar la tabla de la verdad en un sumador de 3 bit y explicas claramente el significado de los smbolw
de entrada y de salida de la tabla.
(b) Escribir las expresiones de Boole (sin simplificar) para la suma y el arrastre.
7-Y. (a) Mostrar el sistema para un sumador binario completo en serie.
(h) Explquese su funcionamiento.
7-10. Definir los complemcntosa uno y a dos de un nmero binario.
7-11. (LI) Consideremos dos nmeros Ay B de cuatro bit siendo B > A. Demostrar que para restar A de B slo se
necesita sumar B, Ay 1.
312 Microelecrronica moderna

(b) Indlcdr de forma rencilla un sustracto~ de 4 bit obremdo a prtrr de un sumador wmpleu~.
7-12. Consideremos dos nmeros A y B de un bit. iCules son las puertas lgicas necesarias para comprobar que:
(a) A = LI, (h) A > R y (c)A < B?
7-13 (0) Consideremos dos nmeros A y B de 4 bit. Si E = 1 representa A = B escribir la expresin dc Boole para
E. Explquese.
(h) Si C = I rcpresent la desigualdad A > B, escribir I expresin de Boole para C. Expliquesc
7-14. Explicar el sistema para un comprobador de paridad impar de 4 bit.
7-15. (a) Mostrar un sistema para aumentar la fiabilidad de una transmisin de informacin binaria empleando un
comprobador y generador de paridad.
(h) Explquese el funcionamiento del sistema.
7-16. Escribir el nmero decimal 538 en sistema BCD.
7-17. (a) Definir un decodificador.
(b) Indicar cmo se decodifica el cdigo de 4 bu ~UI 1 (LSb)
7-18. (a) Definir un demultplex.
(h) Mostrar cmo invertir un decodificador en un demultiplex.
(c) Indicar cmo se aade al sistema una sefial de habilitacin.
7-19. Diguja el diagrama lgico de bloques de un rbol demultplex de I a 32 salidas cmplzandu un troncon con
cuatro lneas de salida. Indicar el direccionado correcto.
7-20. (a) Definir un multplex.
(h) Dibujar el diagrama lgico de bloques de un multplex de 4 a 1 lnca.
7-21. Mostrar cmo puede emplearse un multplex a manera de: (a) convertidor paralelo-sene, y (bj &xror
secuencial de datos.
7-22. Dibujar el diagrama lgico de bloques de un selector-multplex de 32 1 lnea. Emplcnx selectores con un
mximo de ocho lneas de entrada. Indquese el direccionado correcto.
7-23. (a) Definir un codificador.
(b) Indicar un codificador de matrir de diodos para transfomxu un nmero declmal a cdigo binario.
7-24. (n) Indicaruna matriz codificadoraempleando seguidores de emisor. En el caso concretode un decodificador
para transformar un nmero decimal a cdigo binario, sealar las conexiones: (h) a la salida Y,, y (c) en
la lnea w,.
7-25. (u) Definir un codificador de prioridad.
(h) Escribir la tabla de la verdad para un codificador de pnoridad de 4 a 2 lineaa.
7-26. (a) Describir una memoria de slo lectura.
(h) Dibujar el diagrama de bloques de una ROM.
(c) iQu es lo que se almacena en la memoria?
(d) ,De qu estn formados IOS elementos de memona!
7-27. Indicar el diagrama de bloques de una ROM de 624 x 4 bit empleando duecclonado bidimensional. Emplere
una matriz de 64 x 32.
7-28. (u) Escribir la tabla de la verdad para convertir un cdigo binario a Gray.
(h) Escribir las seis primeras lneas de la tabla de la verdad para convertir un cdigo Gray a binario.
7-29. Explquese lo que se entiende por programacin con mscara de una ROM.
7-30. (a) iQu se entiende por PROM?
(h) iCmo se realiza la programacin en el taller?
7-31. Citar tres aplicaciones del ROM explicndolas brevemente.
7-32. (a) iQu es un indicador visible de siete segmentos!
(h) Mostrar las dos siguientes lneas en la tabla de conversin de cdigo BCD al de siete segmentos OlJJl y
0101.
7-33. (a) i,Qu significan las siglas EPROM y E PROM?
(h) Explicar brevemente su funcionamiento.
7-34. (a) Repetir el tema 7.330 para el dispositivo FAMOS
(b) Esbozar la seccin de un FAMOS y explicar brevemente su funcionamiento.
7-35. (a) Comparar una disposicin lgica programable (PLA) con una lgica de disposicin programablr (PALI.
(b) iCmo estn el PAL y el PLA relacionados con un ROM?
7.36. iQu se entiende por un PLA de 16 x 48 x 81
Iu
Circuitos y sistemas
secuenciales
~
Muchos sistemas digitales deben trabajar en sincronismo con una secuencia de seales binarias (un
tren de impulsos). Por ejemplo, el funcionamiento de una calculadora digital depende de recibir en primer
lugar una instruccin de la memoria y almacenarla en un registrador hasta que queda ejecutada. El dato a
procesardebeobtenersede sumemoria. El tercerpasoconsisteenejecutarlainstmccin.Simultneamente
un contador debe avanzar para preparar la instruccin siguiente. Para el buen funcionamiento del sistema
la temporizxin y secuencia de estos pasos es crtica. Los circuitos y sistemas secuenciales se emplean
para procesar sincrnicamente seales binarias. Tambin se necesitan circuitos capaces de almacenar
seales binarias (memorias).
El bloque constructivo bsico incorporado en los circuitos secuenciales es el bicstable o FLIP-FLOP.En
este captulo trataremos de registradores y contadores, dos tipos de circuitos basados en los FLIP-FLOP(o
biestables). Tambin describiremos varias aplicaciones tpicas de estos circuitos.

8-l. UNA MEMORIA DE 1 BIT


Todos los sistemas vistos en el Cap. 7 estn basada en una lgica combinatoria. Las salidas en un
momento dado dependen slo de los valores de las entradas en ese mismo momento. De estos sistemas
se dice que no tienen memoria. Obsrvese que una ROM es un circuito combinatorio, y de acuerdo con
la definicin anterior no tiene memoria. La memoria de un ROM SPrq?ere ul hecho de que ~~menwriza
la relucirinfuncional cruce las wriahles de salida y las de enwuda. No almacena bit de informacin.

Clula de almacenamiento de 1 bit


El circuito digital bsico de memoria se obtiene por el acoplam~enru muu~ de do> circutu~ ho 1, N 1
y N2 (puertas NANDde una sola entrada) en la forma representada en la Fig. 8. la. La salida de cada puelta
se conecta ala entrada de la otra, y esta combinacin de realimentacin se denomina biestable o FLIP-FLOP.
La propiedad ms importante del biestable es que puede permanecer en uno de dos estados estables, ya
sea Q = 1 (Q = 0) que constituye el esfado 1 o Q = 0 (Q = 1) que es el estado 0. La existencia de estos dos
estados estables es debida a las interconexiones de la Fig. 8. IU. Por ejemplo, si la salida de NI cs Q = 1
tambin lo ser A,, entrada de N2. Este inversor tiene el estado 0 en su salida Q. Puesto que Q est unido
a A,, la entrada de Nl es 0, y la correspondiente salida es Q = 1. Este resultado est de acuerdo con la
primera suposicin de que Q = 1. Un razonamiento similar nos lleva a la conclusin de que Q = 0, Q = 1
es tambin un estado posible. Es tambin comprobable que la situacin en que ambas salidas estn en el
mismo estado (ambas 1 o ambas 0) no es compatible con esta conexin.
Puesto que la configuracin de la Fig. 1-8~ tiene dos estados estables se le denomina tambin r,ircuiro
hiwrio o biestable, y puesto que puede almacenar un bit de informacin (ya sea Q = 1 o Q = 0), forma
una umdad de mernorla de 1 bit u clula de almacenamiento de I bit. Esta informacin queda ~~rada u
bloqueada por lo que aveces se denomina tambin &rre.
Supongamos que se desea almacenar un estado dado, por ejemplo Q = 1, o que por el contrario
queremos recordar el estado Q = 0. Deberemos esctibir un 1 o un 0 en la clula de memoria cambiando
las puertas NOT de la Fig. 8-1~ por puertas \AND de dos entradas, NI y N2 y alimentar por las entradas B!
y B, como en la Fig. l-Sh. 5i suponemos B, = 1 y B,= 0 el estado de cada entrada y salida de puerta sera
el que indica el esquema. Siendo Q = 0 es evidente que para entrar un 0 en la memoria se necesita que B,
= 1 y B2 = 0. Anlogamente se puede demostrar que para almacenar un I es necesario que B, = 0 y B, =
I. Si B, = 1 y B2 = 1 se pueden suprimir estos dos terminales de las puertas NAI\D sin afxtar la lgica.
Dicho de otra forma, el estado del biestable no est afectado por la combinacin de entrada B, = B, = 1.
Si antes de aplicar esta serie de entradas tenemos Q = 1 (o 0) la salida seguir siendo Q = I (o 0) una vez
hayamos pasado a B, = B, = 1. Obsrvese que B, = B, = 0 tampoco es admisible (Prob. X-l)

Un interruptor sin oscilaciones


En un sistema digital es frecuente tener que pulsar urra tecla para mtroducrr un 1 o un 0 en un punto
determinado. Muchos interruptores saltan o vibran varias veces antes de quedar fijos en la posicin de
cerrado. La clula de almacenamiento antes descrita puede emplearse para obtener un cambio de estado
nico cuando el interruptor cierra por primera vez independientemente de los saltos que puedan seguir.
Consideremos la situacin de la Fig. 8-2 en la que el conmutador unipolar de dos posiciones est al
principio conectando B, a tierra, d * forma que B2 = 0. Despreciando la corriente de entrada de la puerta,
la tenun LI! e& de 5V que se considera la tensin de atado 1; ahi que m~~~alrn~n~eB, = 1. En el instante
f = i, se pulsa la tecla y el conmutador pasa de la posicin 2 ala 1. En la fig 8.2h est representada la onda
de B,. Para que el conmutador alcance el contacto 1 se necesita un tiempo f = tJ -f, de forma que B, pasa
de 1 a 0 en el instante f,. No obstante, como se ve en la Fig. 8-2c, el contacto rebota y la conexin se
interrumpe durante los krvalos i, a t, y f, a r, (Para dibu.jar la figura se han puesto dos rebotes). La
salida Q es la representada en la Fig. 8-Zdque es congruente con la lgica vista anteriormente, o sea que
Q = 0 si B, = 1 y B? = 0; Q = 1 si B, = 0 y B i = 1. Q no queda afectada (no vara) si B, = B, = 1, Obsrvese
que el dispositivo ha eliminado los rebotes o vibraciones puesto que la salida Q muestra un solo cambio
de estado de 0 a 1 en el primer instante en que B, queda conectado a tierra. De igual forma se puede
demoWar que Q sufrir un solo salto de 1 a 0 al volver el interruptor de R, a B2 aun cuando se produzcan
vibraciones en el contacto B, (Prob. S-2). Existe en el mercado un circuito integrado (74LS 279A) con
cuatro biestables, adecuado a esta aplicacin. Puesto que B, esf sealado S y a B, se le denomina R, el
encapsulado contiene cuatro biestables SR.

Biestable de fijacin
La adicin de dos puertas N,IW precediendo a NI y N2 de la Fig. X-Ib, juntamente con un inversor,
dar el sistema (Fig. 8-3) para el almacenaje de una informacin binaria de un bit. Cuando la entrada de
habilitacines alta (G = 1) los datos deentradaD se transfieren a la salida Q. Esta afirmacin se comprueba
realmente basndose en la lgica satisfecha por el conjunto NI-N2. Es decir, si D = 0, S = 0, R = 1, B, =
I.B,=OyQ=O.Y~nlogamente,siD=l,S=1,R=O,B,=O,B,=lyQ=1.MientrasGseaiguala
1, cualquier cambio en el dato D aparecer en Q.
Si el sistema est inhibido (G = 0) entonces B, = B, = 1 independientemente del valor de D. Por tanto
Q retiene el valor binario que tena inmediatamente antes de que G cambiase de 1 a 0.
La clula de memoria de la Fig. 8-3 se puede construir de la configuracin AOI de la Fig. 7.2 (Prob.
8-3). Se Fabrican cuatro de tales biestables en un solo encapsulado de 16 patillas (74 LS 375) con salidas
complementarias (Q y Q), as como encapsulados de 20 patillas con ocho biestables (74 ALS 573) con
una salida separada de tres estados.

8-2. PROPIEDADES DEL CIRCUITO DE UN BIESTABLE DE FIJACIN


En la Sec. X-l se describi la puerta de fijacin IUANDen funcin de sus relaciones lgicas. En esta
seccin nos valdremos de las propiedades del circuito de las puertas para demostrar la naturalezabiestable
del sistema.
Se pueden tambin formar biestables a partir de puertas NOKcomo se ve en la Fig. 8-4. Consideremos
cl cabo en el que ambas entradas en cada puerta NOK estn unidas entre s, haciendo que cada una acte
como un inversor. En la Fig. 8-50 est representada la caracterstica de transferencia del inversor. Sin
realimentacin (lnea sombreada de la salida de la puerta 2 la entrada de la puerta 1 en la Fig. 8-4) los
dos mver~wzs est8n en caxxia. La funcin de tran~fcrencia 1iiI en funcin de i,, he ha reprcseutado en IU
Fig. X-Sb. La lnea de realimentacin de la Fig. X-4 hace que LS,!se iguale I,,$ esta relacin est indicada
sombreada cn la Fig. X-5h mediante una lnea recta de pendiente unidad. La caracterstica de transferencia
y larelacin de tensin deben cumplirse simultneamente, y portantoel funcionamiento del circuito queda
confinado a estar en la interseccin de ambas curvas. Se ve que hay tres estados posibles, (A, B y C de la
Fig. X-Sb), cn el biestable. Sin embargo, tal como se ve en el prrafo siguiente slo existen cn realidad IO!,
AyB.
La pendiente de Ia carxtcrstica de transferencia (ganancia del circuito) es mayor que la unidad entre
P y P. En consecuencia, si se est en estado C cualquier seal extraa (ruido) llevar la salida a A o a B
(segn sea la polaridad del impulso del ruido). La situacin cs anloga a los posiblc resultados de lanzar
una moneda: puede caer de cara, de cruz, o (tericamente) de canto. Pero la ms ligera perturbacin har
que la que ha cado de canto pase inmediatamente acara o a cruz. Llegamos a la conclusi6n que dos de
los tres estados posibles, (A y B de la Fig. X-5h o caras y cruces de las monedas) son dinmicas y
estticamente estables, mientras que cl tercer estado (C o canto) es inestable. As con ~610 dos estados
dinmicamente estables se confirma la naturaleza biestahle del dispositivo. Obsrvese que en A y en B,
donde la pendiente de la caracterstica de transferencia es nula, cualquier pequea perturbacin no tiene
efecto alguno.
Los biestablespuedenadquirirscen cualquierade lascuatro tecnologiasdc integracinque be han visto
cn el Cap. 6. Frecuentemente se emplean biestables para escribir (S) o borrar (R) circuitos para
funcionar sincrnicamente con una secuencia de impulsos. El biestable SR es un bloque constructivo muy
corriente en circuitos secuenciales como se describir detalladamente en la Sec. X-3. La Fig. 8-6
corresponde a la topologa del 74 LS 279 A cn la que se identifican dos puertas NAKD y las conexiones de
realimentacin. El biestable ECL de la Fig. X-7 utiliza las salidas UOK de la puerta bsica KI~\OR. LOS
circuitos dc las Figs 8-8~ y X-8h son realizkones NMOS y CMOS respectivamente de bies:ables SR que
emplean la topologa de puerta NOKacoplada.
8-3. EL ELIP-FLOP SR TEMPORIZADO

Puerta NAND LS Pucita ZANU LS

Figura 8-6. El biestable SR 74L.35279 construido por dos puertas N,,ND I-TL LS.

Puenas &R ECL

Figurr ix- ., t, b,cs ,abh : ECL empleando las salrdas NOK.


Sistema secuencial
Muchos sistemas digitala eaui w~~pur~~~do~,e\ dieur. que funcionan en smuon~no CUII un tren dc
impulsos de periodo 7, sistema denominado reloj (abreviadamente Ck) tal corno indica la Fig. 8-9. El
ancho f,, del impulso se supone pequeo comparado con 7. los valores binarios de cada punto del sistema
se suponen constantes en cada intervalo entre impulsos. La transicin de uno a otro estado del sistema
Glo puede tener lugar mediante la aplicacin de un impulso de reloj. Sea Q,, la salida (0 o 1) en un
determinado nudo durante el n-simo intervalo (bit de tiempo n) precediendo el n-simo impulso del reloj
(Fig. X-9). Entonces Q,,+ , es la salida correspondiente en el intervalo inmediatamente postaior al n-simo
impulso. Un sistema en el que los valores Q,, Q,,.. Q,, se obtienen con una secuencia de tiempo a intervalos
7 se denomina sistema lgico secuencial (para distinguirlo del combinucional). El valor de Q,,. , puede
depender de los valores durante el bit de tiempo anterior (el n-simo). En estas condiciones el circuito
secuencial posee memoria.
Circuitos y sistemas secuenciales 319

El FLIY-FLOP SR
Si el terminal habilitador de la Fig. 8-3 se emplea comu entrada del reloj (Ck) y SI se supnrnc el muersur
paraproporcionardos entradas de datos; S (escritura) yR (borrado) obtendremos ~~FLIP-FLCIPtemporizado
SR de la Fig. 8-10. Las puertas Nl y N2 forman el biestable mientras que las N3 y N4 son las de control
y pbi~~-no que programan el estado del FLIWLOP despus de aparecer el impulso.
Obsrvese que entre impulsos del reloj (Ck = 0) las salidas de N3 y N4 son 1 independientemente de
los valores de R o S. Por tanto, cl circuito es equivalente al biestable de la Fig. S-la. Si Q = 1 se mantiene
1 y si Q = 0 se mantiene en 0. Dicho de otra forma: El FLIP-FLOPno cambia de estado rnfre dos impulsos
ile/ wloj, es invariable dentro de un bit de tiempo.

Cunsldererno, ahora el instante f = nT ( + ) en el que haya un impulso del reloj (Ck = 1). Si S = 0 y R
= 0, las salidas N3 y N4 sern 1. Por el razonamiento expuesto en el prrafo anterior, cl estado Q,, del
FLIP-FLOPno cambia. De ah que una vez pasado cl impulso (en el bit de tiempo n + 1) cl estado Q,, , es
idntico al Q,,. Si designamos los valores de R y S en el intervalo inmediatamente anterior a f = nT por R
y S ,, entonces Q = Qn si S = 0 v R = 0. Esta relacin se encuentra en la primera lnea de la tabla de Ia
ve&ad de la Fig: 81 I Oh.

Si Ck = 1. S,, = 0 y K,, = 1, entonces B, = 1 y LI2 = 0, la wuacin es la de la Fig. 8-l h y el atado de


salidaes 0. Por tanto, una vez pasado el impulso (al bit de tiempo n + 1) hallamos Q,,,, = 0 confirmando
la tergralneade latabladelaverdad. Si seintercambianR y S y simultneamente se intercambian tambin
Q y Q no se altera el diagrama lgico de la Fig. 8.10~. Por tanto, la segunda linea de la tabla de la Fig.
8.1Oh se deduce de la tercera.
Si Ck = 1, S,,= I y R,, = 1, las salidas de las puertas NANO, N3 y N4 son ambas 0. Por tanto, las cntradar
B, de NI y Bz de N2 son 0, de forma que las salidas tanto de NI como de N2 deben ser 1. Esta condicin
)2ll .Nicrorlecrronicu moderna

6s Igrcankxrte inconsi5tenre cm nuestranolxrn de Ia\ dos salidas Q 4 Q, Debemu\ lkgr a la concltkk


de que cl transistor de salida de cada puerta VI y N2 esti cortado con el resultado de que ambas salidas
estn alta (1). Al final de cada impulso, las entradas B, y R, aumentan de 0 a 1. Dependiendo de cul de
los impulsos crece ms rpidamente y de lar asimeuas de los parametros puede resultar cualquiera de los
estado?, estables Q = 1 (Q = 0) o Q = 0 (Q = 1). Por esw motivo se ha saialado con un interrogante el valor
de Q,, en la cuarta linea de la tabla de la verdad. DC cse estado re dice que es i/l</rrei,rirlndo, an?higu

d~rponible. que \on los tipos.1.K, T y D. El FLIF-FLOPJ-K~I~~~~~ la ambigedad de la tabla de la verdad


de la Fig X-IOh. El de tipo l~acta como un interruptor de palanca y cambia el estado de salida a cada
impulso del reloj: Q,, +, = Q,,. El de ripo n acta como una unidad de retardo que hace que la alida Q siga
a la amada 0 pero con un bit de tiempo de retraso; Q,,, , D,,. Veamos a continuacin cada uno de estos
Res tipos de FLIP-FI.OP

Ehte bloque ~on~trwt~~~o he ubucni: aadiendo al PUP- PI wSK da puerta> .~h\i>.:1I y .42 (F:1g X- 1 Iir)
Eldato de entrada .I y IU salida Q SCaplican a A 1. Puestu que su salida alimenta a S tendremu que S =
./Q, Anlofamcnlc el dato de amada K y la salida Q SCaplican A2 y por tanto R = KQ. La lgica seguida
por este sistema vicnc dada por la labla de la verdad de la Fig. X- 1 1h. Esta lgica puede comprobarse con
refcrcncia H la Tabla X-l. Para las dos cntradas zledatos ./ y K exislen cuatrocombinxiones posibles. Para
cada una de ellas hzy dos atados posibles de Q y por tanto la Tabla X-1 tiene ocho lineas. De los bit de
cada Iinca./,,. K,. Q,, y a,, x calculan S,,= J,, 0,. y R,, = /I, g,, y SCintroducen en Ia columnas quinta y
sexra de la labia. Empleando estos valores dc S,, y R,, y refirIendonos a la tabla dc la verdad del FLIP-ICP
SR de la Fig. 8.10h se obtiene la sptima columna. Finalmente. la octava columna se deduce de la sptima
ya que Q, = 1 cn la linea 4. Q,, = 0 en la lnea 5. Q,, = 1 en la lnea 7 y Q,, = 0 en la 8.

Nc, e\ realmente necesario emplear la\ puertas -\\D AI y AZ de la Fig. X-l lu ya que puede cumplirse
I;I ~nisma funcin aadiendo un terminal de entrada extra cn cada una dc las puertas I\AUII N3 y N4 de la
Circuitos y sistemas secuenciales 321

Fig. 8.lOa. En la Fig. 8-12 queda indicada -ta simplificacin (prescndase de las entradas a trazos, es
decir, supngase que ambas sean 1). Q y Q en las entradas se obtienen mediante las conexiones de
realimentacin desde las salidas (lneas gruesas).

Puestaa 1 y a U (Preset and clear)


La tabla de la verdad de la Fig. 8-1 Ib nos informa de lo que sucede en la salida al aplicar un impulso
de reloj, en funcin de los datos de entrada J y K. El valor de la salida antes de aplicar el impulso es
arbitrario. Al Siadir las entradas sealadas con lneas de trazos en la Fig. 8.12 se puede determinar el
estado inicia1 del FIS-FLW. Por ejemplo, puede ser necesario borrar un biestable, o sea especificar que
Q=OcuandoCk=O.
La operacin de borrado puede cumplirse programando que la entrada de borra& sea 0 y la de
aceptacin sea 1; Cr = 0, Pr = 1, Ck = 0. Ya que Cr = 0 la salida de N2 (Fig. 8.12) es Q = 1, Puesto que
Ck = 0 la salida de N3 es 1, y por tanto todas las entradas a Nl son 1 y Q = 0 como se quera. Anlogamente,
si se desea que para aceptar, el biestable est en estado 1 es necesario escoger Pr = 0, Cr = 1, Ck = 0. Los
datos para puesta al estado 1 o 0 se denominan entradas direcras o asincronas: no estn en sincronismo
con el reloj pero pueden aplicarse en cualquier momento entre dos impulsos del reloj. Una vez establecido
asincrnicamente el estado del FLIP-FLOPdeben mantenerse las entradas directas en Pr = 1, Cr = 1 antes
de que llegue el siguiente impulso para habilitar el biestable. No debe emplearse el dato Pr = 0, Cr = 0
puesto que conduce aun estado ambigiio iPor qu?
El smbolo lgico del FLIP-FLOPJ-K es el de la Fig. 8.12b, y las entradas para un funcionamiento
correcto pueden verse en la fig 8-12~.

Tabla 8-l Tabla de la verdad txwa la Fig. S-lla

8
-

Condicin de auto-oscilacin
Puede presentarse una dificultad fsica con un HJP- PLOPJ-K construido como en la Fig. 8.l.2. La tabla
de la verdad 8-l est basada en una lgica combinacional que supone que las entradas son independientes
de las salidas. Pero debido a la conexin de realimentacin Q (Q) en la entrada a K (J) la entrada cambiar
durante el impulso del reloj (Ck = 1) si la salida cambia de estado. Consideremos por ejemplo que las
entradas en la Fig. 8-12 son J = 1, K = 1 y Q = 0. Al aplicar un impulso la salida pasa a Q = 1 (de acuerdo
con la 7 lnea de la Tabla 8-l) realizndose este cambio despus de un tiempo At igual al retraso de
programacin (Sec. 6-4) a travs de dos puertas NAND en serie en la Fig. 8-7. Ahora J = 1, K = 1 y Q = 1,
y en la 8 fila de la Tabla 8-1 vemos que la entrada retrocede nuevamente a Q = 0. Por tanto, durante el
522 Microelectrnica moderna

tumpo I!, (Rg. 8-Y) que dura rl impulso (con Ck = 1) la sahda oscilar adchxe y atrs entre 0 y 1. Al
finalizar el impulso (Ck = 0) el valor de Q es ambiguo.
Esta situacin se denomina condicin de autowscilacirin y se puede evitar si t,><At <T. No obstante,
con componentes integrados el retraso de propagacin es muy pequeo, normalmente mucho menor que
LI ancho del impulso t,, no satisfacindose la desigualdad anterior y quedando indeterminada la salida. Se
pueden emplear lneas de retardo en serie con las conexiones de la realimentacin de la Fig. 8-12 a fin de
aumentar el retardo del lazo ms all de fc, y POT tanto evitar la auto-oscilacin. Seguidamente
describirt!mos una solucin integrada ms prktica.
PuescaI (PI)

Borrado (CI)
(0) Ch) Ci)
Figrn*-tZ.,u, IIr, Piii-*,wl-K (h)Simbo,oIgKo. ,)Cond,c,onesnccc\ana\par*u,,fnc,na ,111
ento~incrono(f%3,)bonwJo
\crn<, (Th 2) <)puem en I (fila 3,.

FiguraC13. Un ~i,u-riururdenador-seeuidor,.K.

FLIP-FLOP J-K ordenador-seguidor

En la Ftg. X- 13 se presentan dos FI.IP-K%OP


SK tin cauda con rcabmentacin desde la salida del segundo
(llamado squidor) a la entrada del primero (llamado ordenador). Se aplican impulsos positivos del reloj
al ordenador que se invierten antes de excitar con ellos al seguidor. Con Pr = 1, Cr = 1 y Ck = 151
ordenador queda habilitado, siguiendo la tabla de la verdad J-K de la Fig. X- ll b. Adems, puesto que Ck
= 0 el FLIP-FLOPSR seguidor queda inhibido (no r>uede cambiar de estado) con lo que Q,, queda invariado
durante el tiernoo del impulso t,,. Evidentemente. la dificultad de la auto-oscilacin queda solventada
con ta topologa del.prdenador-seguidor. Cuando ha pasado el impulso, Ck = 0 de forma que el
ordenador se inhibe y Ck = 1 con lo que se habilita el seguidor. El seguidor es un KIP-FLOP SR que sigue
la lgica de la Fig. X-IOb. Si S = Q,= 1 y R = Q, = 0, entonces Q = 1 y Q = 0. Anlogamente si S = QM
= 0 y K =Q, = 1, entonceb Q = 0 y Q = 1. En otra\ palabras, en ei mtervalo enue m~pulso\ del EiUJ la
salida Q no cambia, pero Q, sigue la lgica J-K: al finalizar el impulso el valor de Q,, 52 transfiere a Q.
Hay que observar que los datos en J y K deben mantenerse constantes mientras dure el impulso, pues
de lo contrario puede resultar una salida errnea (Prob. X-12). El encapsulado MS1 de 16 patillas
(MCX-104135) contiene dos FLIP-FLOPJ-K ordenador-seguidor independientes. Algunos de estos dispo-
sitivos comerciales tienen tambin puertas NAND o AOI en las entradas (54 LS 72) para proporcionar
entradas J y K mltiples evitando as la necesidad de puertas exteriores en aplicaciones en las que puedan
ser necesarias.

El VLIP-FLOP tipu u

SI se modifica un ~LWWJI J-K aRaJ~ndiole un mver~r como en la Fig. 8. l-lu de forma quz K sca el
complemento de J, la u-dad se denomina RIP-FLOP D.Segn la tabla de la verdad ./-K de la Fig. X-1 Ib,
Q,,+ = 1 paran,, = ,l,, = K, = l Y Q,,T,= 0 para D,,= ./,, = K,, 0. por tanto Q,, * , = D,, La salida Q,, I i despu&
del impulso (bit de tiempo n + 1) re iguala a la entrada U,, antes del impulso (bit II) como se ve en la tabla
de la Fig. X- 14~ es del tipo SR la unidad funciona tambin como biestable
de la Fig. X- 14 (. Si el FLIP~~LOP
tipoB con el reloj (Cki vetituido por la habilitacin (G) de la Fig. X-3. No hay ambigiie&ad porque no es
posible J = K = 1.

El blatablr up U es un binario empleado para provocar un retardo. El bit en la Imcri U 5~rran&zc


a Id salida en cl impulso siguiente del reloj, y por tanto esta unidad retrasa un bit.

EI kl.IP-FLOP tipo T

Esa unidad cambia de -tado con cada impulso del relo] y por tanto acta como mten-uptu, logw SI
J = K = I cntoncec, Q,,+ , = Q,, de forma que el FLIP-ILOPJ-K se convierte en tipo T. La Fig. X-l Su representa
tal sistema con una entrada de datos T. La Fig. X- 15/1 corresponde al smbolo lgico y la X-15<, a la tabla
de la verdad. Los bicstables SR y D pueden tambin convertirse en FLIP-FI.OPinterruptor o complementario
(Prob. X-14)

Existen cuatro wnfiguracwne~ m~porrantc~ dc WI-~LW, que bun: SR, AK, D y T. Las Iglcas seguidas
por cada uno de ellos se repiten para mayor facilidad en la Tabla X-2. Un FLIP-FLCIPintegrado se excita
smcrnrcamente por un reloj y pueden haber (o no) entradas dmxtas para funcxonar asincrmcamenk.
para habilitacin (preset, Pr) y para borrado (clear, CJ-). Una entrada directa solo puede ser 0 durante el
intervalo entre impulsos del reloj cuando Ck = 0. Cuando Ck = 1 ambas entradas asncrona deben ser
altas; Pr = 1 y Cr = 1. Las entradas deben mantenerse constantes durante el ancho del impulso, Ck = 1.
En un FL.IP-FLOPordenador-seguidor la salida Q se mantiene constante durante el impulso y ~610 cambia
despus de que Ck pase de 1 a 0 en el borde final de ese impulso. Tambin es posible diseku un PLIP-~1.0~
./-K de forma que la salida cambie en el borde inicial del impulso. El chip 74LS 109A er un FLIP-FLOPJ-K
excitado al inicio del impulso con entradas de escritura y de borrado. El MC 1OH 176 contiene seis PLIP-~~OP
U excitados tambin al inicio del impulso.

X-5. REGISTRADORES DE DESPLAZAMIENTO


Puato que un bitatable es una memoria de I bit, ri ~LII-I.LI> pueden almacenar una ~nr<~~~~nac~onile I<
bn, y a esta combinacin se le denomina rrfii.sti-uao-. Para poder leer los datos de la inlcrmacin en un
registrador en serie. la salida de cada RIF-FLOP se conecta a la entrada del siguiente. Esta configuracin
recibe el nombre dc registrador de despluamicnto estando representada en la Fig X- 16. Cada NP-FLI
es ordenador-seguidor de tipo SK (o ./- K). Obsrvese que la etapa en la que se debe almacenar el bit ms
Circuitos y sistemas secuenciales 325

Salidas f
Puestat -
Habitacidn o

Figura 8.16. Registrador de desplazamiento de


de 5 bit.
bit.

significativo (MSB) seconvierte en un bieable tipoU (Fi_. 8.14) conectando S y R a travs de un ~nversur.
El registrador de desplazamiento de cinco bit de la Fig. X-16 SC puede adquirir en un chip con un
encapsulado de 16 patillas (integracin a escala media). Explicaremos continuacin el funcionamiento
de este sistema suponiendo que se deba registrar la serie de dnro 0 I 0 I I (El bit menos significativo es el
dgito situado ms a la derecha; en ese caso el 1).

Registrador de entrada-serie, salida paralelo (JIPO)


Los KWFI.P se borran aplicando 0 a la entrada de borrado (mientras la habilitacin de escritura
pennlmece baja) de forma que todas las salidas Qa, Q,... Q, sean 0. Entonces se pone Cren 1 manteniendo
Pr constantemente igual a 1 (manteniendo la habilitacibn de escritura en 0). Se aplica el tren de datos en
serie y el reloj sncrono. El bit menos significativo (LSB) \e entra en el ordenador de FF4 cuando Ck pasa
de 0 a 1 por la accin de un PLW~LOPtipo D. Despus del impulso del reloj. cl 1 se transfiere al biestable
seguidor de FF4 y Qa = 1 mientras que todas las dems salidas SCmantienen en 0.
Al segundo impulso del reloj, el estado de Qa se transfiere al biestable ordenador de FF3 debido u la
accin de un KIP-TLOP SR. Simultneamente, el bit siguiente (un 1 en la informacin 0101 1) entra en el
ordenador de FF4. Despus del segundo impulso del reloj el bit en cada ordenador se transfiere a su
seguidor, y Q, = I , Q, = 1, y las dems salidas siguen en 0. En la Tabla 8-3 sc dan las lecturas del registrador
despus de cada impulso. Por ejemplo, despus del tercer impulso Q, ha pasado a Q-, Q4 a Q,, y el tercer

Tabla X-3 Lectura del registrador de desplazamiento despus de cada impulso del reloj

i,pulao Be de
del rcln, infmlaclor, va fA cA VI cA
bit de entrada (0) ha entrado eu FF4 de forma que Q!, = 0. Podemos seguir fcilmente este proceso y ver
que registrando cada bit en el PLIP-K.OPMSB y desplazndolo hacia la derecha para dejar lugar para el bit
siguiente, la infamacin de entrada queda instalada en el registrador despus del n-simo impulso del reloj
(en un cdigo de n bit). Naturalmente, en el momento de quedar registrada la informacin deben cesar los
impulsos del reloj. Cada salida es alcanzable en una lnea distinta y .pueden leerse simultneamente.
Entrando los datos en serie y saliendo en paralelo este registrador es un convertidor serie-paralelo, llamado
tambin registrador de enrrada-serie y salida-paralelo (SIPO). Un cddigo temporal (bits dispuestos en
funcin del tiempo) se ha transformado en un cdigo espacial (informacin almacenada en una memoriz
esttica).
Se precisan FLIP-KW ordenadores-seguidores debido a problemas de retardo entre etapas (Sec. 8-4).
Si todos los FLIP-FLOPcambiaran de estado simultneamente habra una ambigedad sobre el dato a
transmitir de la etapa precedente. Por ejemplo, en el tercer impulso del reloj Q, cambia de 1 a 0 y resultara
dudoso si Q, debe quedar en 1 o en 0. As pues, es necesario que Q4 contine en 1 hasta que este bit entre
en FF3 y solo entonces pase de 1 a 0. La configuracin ordenador-seguidor realiza esta accin. Si en la
Fig. 8-13 la entrada J (K) la llamamos S (R) y si suprimimos las conexiones de realimentacin tendremos
un FLIP-FLOPSR ordenador-seguidor. El 74LS164 es un registrador de desplazamiento SIP0 de 8 bit con
entradas de habilitacin.

Registrador de entrada y salida en serie (SISO)


Podemos tomar la salida Q, y leer el registrador en serie si aplicamos n impulsos del reloj, para una
informacin de n bit. Despus del n-simo impulso cada FLIP-KOP est en 0. Obsrvese que la cadencia
del reloj puede ser mayor o menor que la frecuencia original de los impulsos. Por tanto, este es un mtodo
para cambiar el espacio por tiempo en un cdigo binario, proceso llamado de separacin.
El encapsulado 74LS91 MS1 es un registrador SISO de 8 bit con entradas de excitacin y salidas
complementarias. Puesto que un chip SISO slo necesita una patilla de entrada de datos y otra de salida
de datos independientemente del nmero de bit a almacenar se pueden conseguir mediante las tecnologas
de integracin a gran y muy gran escala registradores de gran capacidad.

Registrador de entrada en paralelo-salida en serie (PISO)


Consideremos la situacin en la que se disponga de los bit de informacin en paralelo, es decir de las
salidas de un ROM (sec.7-9) y se desea presentar este cdigo, por ejemplo 01011 en serie.
El bit menos significativo se aplica a Pro, el bit 2 a Pr,... de forma que Pr, = 1, Pr, = 1, Pr, = 0, Pr, =
1 y Pr = 0. Primeramente se borra el registrador con Cr = 0 y luego se mantiene Cr = 1. Un 1 en la entrada
de habilitacin activa todas las k-simas puertas NAND de entrada en las que Pr, = 1. La habilitacin de
los k-simos FLIP-KZOPes Pr = 0 y por tanto en la etapa correspondiente es 1 (Tabla 8-2). En el ejemplo
presente FFO, FFl y FF3 se activan y la informacin de entrada 01011 queda escrita en el registrador con
todos los bit en paralelo debido al impulso de habilitacin.
Tal como se ha explicado anteriormente, la informacin almacenada puede leerse en serie en Q,
aplicando cinco impulsos. Este es un convertidor de paralelo-serie, o espacial-temporal. El 74ALS166
es un registrador PISO de 8 bit de entrada en paralelo y salida en serie.

Registrador de entrada y salida en paralelo (PIPO)


Los datos se introducen, como.se ha explicado anteriormente, aplicando un 1 en el tnninal dt
Circuitos y stemas secuenciales 321

habilitacin o de escritura, quedando disponibles en paralelo en las salidas Q,. Q,.... Si en un momento
dado se desea leer el registrador se aplica cada salida Q, auna entrada de una puerta AND de dos entradas
N, y se excita la segunda entrada de cada AND con un impulso de lectura. La salida de N, es 0 excepto
durante el tiempo del impulso en que se leer 1 si Q, = 1. (En la Fig. 8-16 no estn representadas las puertas
NJ
Obsrvese que en esta aplicacin el sistema no funciona como registrador de desplazamiento ya que
no es necesario ningn reloj (ni entradas en serie). Cada FLIP-FLce se emplea sencillamente como una
memoria aislada de lectura-escritura de 1 bit

Registradores de desplazamiento a derecha e izquierda (hidireccional)


Algunos registradores de desplazamiento comerciales estn equipados con puertas que p>n~u~
desplazar los datos de derecha a izquierda y viceversa. Una aplicacin de este sistema es la de dividir o
multiplicar por potencias de 2 como veremos seguidamente. Consideremos un registrador con desplaza-
miento a la derecha como el de la Fig. 8-16 en el que la entrada en serie se mantenga baja,
Supongamos que se almacena un nmero binario en un registrador con el bit menos significativo
almacenado en,FFO. Apliquemos ahora un impulso de reloj. Cada bit se traslada al lugar significativo
inmediato inferior, y por tanto queda dividido por 2. El nmero que queda en el registrador vale la mitad
del nmero original supuesto que FFO estuviera al principio en 0. Puesto que el bit 2 se pierde al
desplazarse a la derecha, si el FFO estaba originalmente en el estado 1, correspondiendo al nmero decimal
1, despus del desplazamiento el registrador tendr un error respecto al decimal 0,s. El siguiente impulso
del reloj vuelve a dividir por 2, y as sucesivamente.
Consideremos ahora que el sistema est conectado de forma que cada impulso de reloj provoca un
desplazamiento hacia la izquierda. Cada bit pasa ahora hacia el dgito significativo inmediatamente
superior con lo que el nmero almacenado queda multiplicado por 2.
La Fig. 8-17 corresponde al diagrama lgico del registrador de desplazamiento bilateral de 4 bit
74LS 194A. Este registrador es universal porque puede funcionar en todas las modalidades vistas en esta
seccin: SIPO, SISO, PISO, PIPO y como registrador bidireccional. Tiene dos entradas de control, S y
S, que permiten realizar las cuatro formas operacionales reseadas en la Tabla 8-4. La comprobacinde
esta tabla es objeto del Prob. 8-17. El registrador universal de desplazamiento de 8 bit, 74ALS299 tiene
la estructura representada en la Fig. 8-17. Tiene el equivalente de 87 puenas en un encapsulado de 24
patillas.

Lnea de retardo digital


Un registrador de desplazamiento puede hacerse serv para intruducir un retraso de nempo A en el
sistema, siendo A un mltiplo entero del periodo Tdel reloj. As, un tren de impulsos en la entrada aparece
en la salida de un registrador de n etapas retrasado un tiempo A = (n-l)7

Generador de secuencias
Una importante aplicacin de los xgistradores ae oesplazamlento es la de generar secuencias binarias.
A este sistema se le denomina tambin generador de informacin, de cdigo o de carcter. Los FLIP-FLOP
registradores de desplazamiento se preparan para dar el cdigo deseado. El reloj aplica los impulsos de
328 Microelecrrnica mudemu

desplazamiento y la salida del registrador da una carxtersua temporal w~~~%pondiente a la secuencia


especificada. Evidentemente, acabamos de describir un registrador de entrada en paralelo y salida en serie.
En operaciones de comprobacin aveces es necesario repetir el cdigo continuamente, lo que se consigue
fcilmente realimentando la salida Q, del registrador hacia la entrada en serie formando un registrador de
reentrada. A esta configuracin se le denomina memoria dirhnicu o cir-culantr, o memoria de
registrador de desplazamiento de slo lectura.

Tabla 8-4 Modalidades de funcionamiento de un registradoruniversal


.Sii s, Moduiidui o/Jem<~iimll
0 0 Reloj inhibido
1 1 Entrada en paralelo (**I
1 0 Desplazamiento derecha
0 1 Desplazamiento a izquierda

_ soj s, ,, kllcncmhu mi<-nlia,


iamrra*adeldi>, \eaab3
*- El dao ECmiraenelFC rwn0~dcywh deunmpukodeldoj DuiantcId ~niroduic~n
re ~mh~be
ia entra&dedatoicn xnc.

Entradasen paralelo Entrada


i seriede
desptazam,ento >desptazamiPnto
aderecha /4

Salidasen paralelo
HguraX-17. Lhq~am io~~co&,cg,Srado,dcde,pia,rin~,en,oh,direcc,ona,74LS ,Y+Ade4b,,(lh,,atilia,. (Corr<,iid~ICIUI
,niiium~,i,i Ifu j

Tambin se puede formar un generador de secuencia a partir de un multplex (Sec. 7-7) y se puede
generar un nmero de secuencias simultneas utilizando un ROM (sec. 7-9).

Contador de anillo registrador de desplazamiento


Consideremos un registrador de desplazamiento de 5 bit (Fig. X- 16) con Qu conectado a la wtraaa cn
Circuitos y sistemas secuenciales 329

serie. Tal memoria circulante forma un cona&r de unillo. Supongamos que todos los CLIP-KOP estn
borrados (en 0) y que FFO est preparado de tal forma que Q, = 1 y Q, = Q, = Q> = Q, = 0.
El primer impulso del reloj transfiere el estado de FFO a FF4 de forma que despus del impulso, Q, =
LyQ,=Q,=Q,=Q,=O.
Los sucesivos impulsos van transfiriendo el estado 1 progresivamente a lo largo del anillo. La cuenta
se lee observando cul es el K.IP-FLOPque est en estado 1: no es necesario ningn decodificador.
Consideremos un contador de anillo con N etapas. Si el intervalo entre impulsos es T la salida de
cualquier etapa binaria es un tren de impulsos de periodo NT, con una duracin T cada uno de ellos. El
impulso de salida de una etapa se retrasa un tiempo T respecto a un impulso de la etapa precedente. Estas
pulsaciones pueden emplearse cuando se requiera una onda secuencial de disparo. As, un contador de
anillo es semejante aun conmutador rotativo en el que cada impulso haga avanzar un paso al conmutador.
Puesto que hay un impulso de salida por cada N impulsos del reloj el contador es tambin una unidad
de rlivisinpor N o un escalmetro de N = 1, Normalmente los contadores registradores de desplazamiento
TTL trabajan a frecuencias del orden de los 25 MHz.

Contador de anillo Johnson


La topologa en la que & (en lugar de Q) realimenta la entrada del registrador de despluanuenro se
denomina mador de Johnson. Este sistema es un escalmetro de 2N: 1. Para demostrar esta afimacin
supongamos que inicialmente todas las etapas de la Fig. 8-16 estn en estado 0. Puesto que S, = Q,= 1 el
primer impulso pone a FF4 en estado 1; Q, = 1 y todos los dems biestables permanecen en 0. Como ahora
S, = Q, = 1 y S, contina en estado 1, tendremos que despus del siguiente impulso Q, = 1, Q, = 1, Q2 =
0, Q, = 0 y Q, = 0. Dicho de otra forma: el impulso 1 slo cambia el estado de ?,, el impulso 2 slo cambia
Q, de 0 a 1. Prosiguiendo el anlisis vemos que los impulsos 3,4 y 5 van haaendo pasar Q,, Q, y Q, del
estad2 0 al 1. Despus de cinco impulsos, todos los FLIP-FLCJPestn en estado 1. Despus del impulso 5,
S,= Q0 cambia de 1 a 0. Por tanto el sexto impulso cambia Q4 a 0. El sptimo pone nuevamente Q, en 0,
y as sucesivamente hasta que en el dcimo impulso han vuelto a 0 todas las etapas y el ciclo contador se
ha completado. Queda demostrado que esta configuracin de anillo de cinco etapas es un contador de
10: 1, Para leer la cuenta se precisa un decodificador de 5 a 10 lneas, pero debido auna sola forma de onda
generada slo se necesitan puertas AND de dos entradas (Prob. 8-19).
Casi todos los contadores y registradores existen en la tecnologa CMOS. La numeracin de tales
encapsulados integrados son idnticos que para la familia TTL salvo las letras que indican la tecnologa
correspondiente. As un registrador bidireccional TTL 74LSl94A tiene prcticamente las mismas carac-
tersticas que el CMOS 74HC194.

8-6. CONTADORES ASNCRONOS


Los contadores de anillo vistos en la seccin anterior no utilizan los WIP-PLOPcon toda su eficacia. Un
contador de 5:l (o 1O:l con el anillo de Johnson) se consigue con cinco etapas, mientras que cinco
FLIP-KLOPS define? Z5 = 32 estados. Modificando las conexiones entre etapas (no empleando la topologa
de registrador de desplazamiento) vamos a demostrar que n binarios pueden actuar como un contador de
2:l.

Contador asncrono
Consideremos una cadena de 4 biestables ordenador-segutdor J-K con la salida Q de Cada etapa
cunecrada a ia entrada del reloj del binarro siguenre wmo en laFig. 8.18. Lo> m~pul~os a contar se aplican
a la entrada del reloj de FFO. En todas las etapas, J y K van conectadas a la fuente de tensin de forma
que ./ = K = 1. Esta conexin convierte cada etapa en un FM-FL.P de tipo T (Fig. 8-l 5) con T = 1.
Recordemos que en un binario con 7 = I el ordenador cambia de estado cada vez que la onda en su
entrada del reloj pasa de 0 u 1, y que el nuevo estado del ordenador se transfiere al seguidor cuando el
reloj cae de 1 a 0. Este funcionamiento necesita que:

1. Qu cambie de estado en el borde de cada de cada impulso.


2. Todos los dems Q hacen una transicin cuando (y slo cuando) la salida del FM-FLOP anterior pase
de 1 a 0. Esta transicin negativa recotx todo el contador desde el bit menos significativo al ms
significativo.

Siguiendo estas dos reglas se obtienen las ondas de la Fig. 8.19. La Tabla R-5 expresa el estado de
todos los binarios de la cadena en funcin del nmero de impulsos exteriores aplicados. Esta tabla se puede
comprobar directamente por comparacin con las ondas de la Fig. 8.19. Obsrvese que en la Tabla 8-5 se
han ordenado los FLIP-FLOPen sentido inverso al de su ordenacin en la Fig. 8.18. Tambin vemos que la
ordenacin de los estados 0 y I en cualquier lnea de la Tabla 8-S es precisamente la representacin binaria
del nmero decimal de impulsos de entrada. Por tmto, la cadena FLTP-FLOPcwnfa en sistema binario.
Una cadcna de n binarios contar hasta el nmero 2 anta de volver por s misma a su estado inicial,
A una cadena de este tipo se la denomina contador de mdulo 2". Para leer el contador, las palabras
(nmeros) de 4 bit de la Tabla 8-j se obtiene con un decodificador que a su vez excita un indicador

Tabla X-5 Estado de los FLIP-FLOP de la Fig. 8-18


IIUIIIZ~KO vruble (Scc. 7. Il). En cualquier coutador S>II poztblez pars~tu~ salw que todos los IWI~-~IOP
cambien dc estado simultneamente. Para eliminar este inconveniente a la salida del decodificador se
emplea un impulso de fijacin (S en la Fig. 7.17) que imposibilita la lectura del cnnvador hasta que hayan
desaparecido tales parsitos y re haya llegado a una situacin estable.

En ei contador directo, come hemos vi\to ya, la entrada de excitacin de un binario va conecta& a la
alida Q del binario anterior. La cuenta wr en sentido inverso si la conexin se hace con la salida Q como
vamos a demostrar.
Si un binario hace una transicin de 0 1, la salida Q la har de 1 a 0. Esta transicibn negativa de 5
provoca un cambio de estado cn el binario siguiente. Por tanto, para la conexin inversa deben aplicarse
las siguientes reglas:

1. El WP-YLP FFO hace una transicin para cada impulso exterior aplicado.
2. Cada uno de los dems binarios har una transicin cuando (y slo cuando) el CLIP-FLOPanterior
pasa del estado 0 al 1.

Si SCaplican estas reglas a cualquiera de los nmao\ de la Tabla 8-S resulta el nmero mmea~atamente
inferior de la tabla. Por ejemplo, consideremos el nmero 12 que en forma binaria es el I 100. Al siguiente
impulso, el 0 de ms ala derecha (correspondiente a Q,,) se convierte en 1. Este cambio de 0 a 1 hace que
Q, cambie de estado de 0 a 1, lo que a su ver hace que Q2 pase de 1 a 0. Esta ltima transicin est en el
sentido que no afecta al binario siguiente, y por tanto Q, conserva el estado 1. El resultado final cs que el
contador lee 1011 que es precisamente el nmero binario II. Puesto que hemos empezado con 12 y
rermmamos con II ha tenido lugar una cuenta inversa.
El diagrama de bloques lgico del contador reversible es el de la Fig. 8-20. Para Gmplificar el dibujo
no se han incluido las conexiones a.l y K. Para un contador asncrono siempre hay que considqar que./
= K = I como en la Fig. X-18. Las puertas WI>-OK de do mveles CC; 1 4 CG2 entre cupa con\muyen un
multiplcx que gobierna el sentido del contador. Obsrvese que esta combinacibn l&ica es equivalente a
una configuracin WKD-NIWD. Si la entrada X est cn 1 (o 0). entonces Q (o Q) est efectivamente
conectada al siguiente IZIP-KW y los impulsos re suman (o restan). En otras palabras: X = 1 convierte el
sistema en un contador directo, y X = 0 en contador inverso. El control X no debe pasar de 1 a 0 (o de 0 a
1) entre impulsos de entrada porque se podra producir una cuenta espuria. (El contador ~incrono de la
Fig. X-22 no adolece de este inconveniente y por tanto los contadores reversibles se operan sincrnica-
mente, sec. X-7).

Contador divisor por N


Se puede desear contar en base N que no sca potencia dc _. 7 Podemos preferir. por ejemplo. coma 211
bax 10 ya que el sistema decimal es el que nos resulta ms familiar. Para construir un contador con este
\objeto SCempieza con UVJ cadena de 17FLIP-H.OPsiendo ?I el menor nmero para que I > N. Adase a
ello una realimentacin tal que al contarN todos los binarios vuelvan 0. Este circuito de realimentacin
es una simple puerta NAW cuya salida alimenta todas las entradas de borrado en paralelo. Cada entrada a
la puerta N~\NUes la \alida Q dc un ~LWH w que pasa a 1 al conta,- N.
Apliquemos el proccso anterior a un contador de dcada. El menor valor de n para que 2 > 10 es n =
4 y se necesitarn 4 ~LIF-KOPS. El nmero decimal 10 es en binario 1010, y por tanto Qi, = 0. Q, = 1, QI
= 0 y QI = 1. Las entradas a la puerta de realimentacin NANCIson Q, y Qx siendo el circuito completo el
de la Fig. X-210. Obsrvese que despus del dcimo impulso (2, y QI estn ambas en 1, la salida de la
puerta N,,\D pase 0 y los dems FI.IP-KO, quedan borrados (pasan a 0). (Obsrvese que Q, y Q;
primeraneme pasan a 1 retornando a 0 despues del dcimo impulso, generando una punta estrecha.)
Si el retardo de propa.gacibn desde la entrada de borrado a la salida del KIP-FLOP varia de una a otra
etapa puede no llevarse acabo la operacin de borrado. Si en el ejemplo anterior FF3 ocupa un tiempo dc
reposicin notablemente superior al de FFI, cuando Q, retorne II 0, la salida de la puerta W\D pasa a 1.
de forma que Cr = 1 y Q, n se borrara. Pueden dahe grandes variaciones en cl liempo dc propagacin si
las salidas del contador est8n desiguahnente cargadas. Se puede eliminar esta dificultad empleando un
biestable para memori~ar la salida de la puert N,%UIJal N-sinro impulso. La conexin de la Fig. 8.21~
entre la salida P, de la il,%tw y la entrada de borrado P1 est abierta y entre estos dos puntos se intercala
el circuito de la Fig. X-2 I h. El funcionamiento del biestable se ver en detalle en el Prb. X-24. El contador
dc dcada 74LS90, que no necesita el biestable, queda indicado en el Prob. 8.26. Existe un encapsulado
con dos de tales contadores (74LS3YO). El problema X-28 trata de un contador 12: 1 (74LSW).
Para formar un contador divisor por 6 se emplea un contador asncrono de 3 bit y puesto que para .N
= 6, Q, = 1 = Q, tendremos que Q, y Q, son las entradas a Ia puerta ~\AIYUde realimentacin. Anloga-
mente. un contador divisor por 7 cce& un;, puerta \AYD de ue\ entradas Q,,, Q, y Q,,
En algums aplicaciones importa poder programar el valor de N de un contador divlsur pur N. ya \ea
por medio de conmutadores o a travs de las entradas dc control de datos en los terminales de habilitacin.
La figura del Prob. 8-29 corresponde aun contadorI>r-og,-amnhlp.
Supongamos que se pretende contar hasta 10.000 haciendo visible la cuenta en el sistema decimal. Ya
que 10.000 = 10 se necesita conectar en cascada cuatro unidades contadoras como en la Fig. 8-21. Se
emplear un decodificador de BCD a decimal excitador de lmpara (Sec. 7-6) o un decodificador de BCD
a indicador de 7 segmentos (Sec. 7-l 1) con cada unidad, para hacer visibles los cuatro dgitos decimales
que indican la cuenta.

8-7. CONTADORES SNCRONOS


El retardo de propagacin es el tiempo necw~no para quz un cwtddr curnplcte su respuesta a uu
impulso de entrada. En un contador asncrono este tiempo es mayor cuando cada etapa est en su estado
1, pues en esta situacin el prximo impulso debe hacer cambiar de estado todos los KIP-FI.OP anteriores.
Ningn binario en particularresponder hasta que la etapa precedente haya completado nominalmcntc la
transicin. Los impulsos del reloj realmente se propagan a lo largo de la cadena. El tiempo de propagacin
ser del orden de la suma de los retardos (Sec. 6-15) de todos los binarios. Si la cadena es larga puede
darse el caso de que el tiempo total sea mayor que el intervalo entre impulsos de entrada, y en ese caso
no ser posible leer el contador entre dos impulsos.
Si el funcionamiento asncrono de un contador se modifica de tal forma que todos los FI.IP-FLOPse
exciten simultineamente (sincrnicamente) por los impulsos de entrada, puede reducirse considc-
rablemente el tiempo de retardo. La cadencia dc rcpeticibn est limitada por el retardo de cualquier
KIP-FLOP ms el tiempo de propagacin de las puertas de control requeridas. Normalmente la frecuencia
mxima de funcionamiento de un contador sncrono de 4 bit es superior a los 100 MHr en la familia ECL
(MCI 0 137). En la familia TTL este valor es normalmente de 75 MHz cn la serie AS y como mximo unos
10 MHL en Ia\ sxkx CMOS y LS. La sxie IO(IK de la tam~ha ECL puede UabaJa con trecuenc~a III&
elevada que la serie 10K que es aproximadamente cl doble de la de un contador asncrono. Otra ventaja
del contador sncrono es que no llegan a la salida picos decodificadores ya que todos los FLIP-FLOPcambian
de estado al mismo tiempo. Por tanto, no son necesarios impulsos de fijacin cuando se decodifica un
contador sncrono.

Transporte en serie
La Fig. X-22 represzm~ un u~m~dor ~~wxo~u~de 5 bn. Cada ~I.I~-~LW es de upu 1 ubtemdo urrizndu
4 terminal J al K de un WIP-FLOPJ- K (Fig. 8-15). Si T = 0 no hay cambio de estado cuando se excita el
binario. y si T = 1 la salida del FI.LP-FLOPse complementa a cada impulso.

Extendiendu esta lgica a Q, deducmw.~ que 7 1 = Q,,Q,&Q, y por tanto la Iglca I viene dada por

/;, = I Tl = Y,I T2 = T,Y, 7, = T& T4 = 1;y, (8-l)

Ev~dentemcnte, las puertas NAND de dos entradas de la Fig. 8-22 cumplen esta lgica.
es el intervalo requerido por cada punto J y K para alcanzar su
El tiempo mnimo entre impulsos, TX,,,,,,
valor de estado variable. y viene dado por

7,,,,,,= 7, + (n- 2U,, (K-2)

hiendo r; el nempo dr: propagaan de un ~LIWLOP y Ty el de una puerta ,wo(en realidad una puerta
NAND ms un inversor). La mxima frecuencia de los impulsos para el transporte en serie es la inversa de
L
Circuitos y sistemas secuenciales 335

Transporte en paralelo
Puesto que el transporte pasa a travs de todas las puena\ de control de la Fig. X-22 se trata de un
uxxador con transporte en serie o asncrona. La mxima frecuencia de trabajo puede mejorarse empleando
el transporte en paralelo en el que el impulso a cada binario proviene de una puerta AND de entrada mltiple
excitada por las salidas de los FLIP- FLOPprecedentes. De la Ec. (8-1) se deduce que

T, = Qo Tl = QOQI TJ = QoQ,Q Ta = QOQIQIQX (S-3)

Por tanto, $ se obtiene de una puerta AND de cuatro entradas alimentada por Q,, Q,, Q1 y Q,
Evidentemente para el transporte en paralelo
Ln = 7, + T, (X-4)
considerablemenre menorquz cl tiempo para el transporte cn wie dado por la Ec. (X-2)especlalmente
si n es grande (relaciones de divisin altas).
Los inconvenientes de los contadores con transporte en paralelo son: (1) nmero de entradas de las
puertas elevado: la puerta que alimenta T, necesita k entradas, y (2) la gran carga de los FLIP-FLOPal
principio de la cadena; el nmero de salidas de Q, es (n- 1) ya que debe alimentar las puertas transportadoras
de las sucesivas etapas.

Contador sncrono reversible con transporte en paralelo


Como se ha explicado en la seccin precedente, un contador queda invertido si se emplea 5 en lugar
de Q en el acoplamiento de etapa a etapa. Por tanto, se obtiene un contador sncrono reversible si las
puertas de control CG de la Fig. S-20 se intercalan entre los FLIP-FLOPde la Fig. 8-22. Este cambio se
puede ver en la Fig. 8-23 en la que CG se representa ahora como una puerta NAND-NAND (equivalente a
la lgica AND-ORde la Fig. 8-20). Obsrvese que CGI es idntica en las Figs 8-15 y 8-23. Todas las puertas
de control en el contador asncrono son de dos entradas, mientras que en el sncrono el nmero de entradas
de CC2 son 3, las de CG3 son 4, etc. Las entradas extra a las puertas, segn la Ec. (8-3) se utilizan para
cI transporte en paralelo. En otras palabras, los bloques CC de la Fig. 8-23 cumplen las lgicas de
reversibilidad y de transporte en paralelo.

Contador de dcadassncrono
Disear un sistema divisor por un nmero no mltiplo de 2 presenta muchas ms dificultades para un
contador sncrono que para uno asncrono. Para simplificar el proceso se emplean matrices de control
(grficas de Karnaugh).
Con una gran dosis de paciencia y mucha intuicin se puede deducir el diseo por observacin directa
del grfico de la forma de onda. Consideremos por ejemplo un contador sncrono de dcadas con transporte
enparalelo. ElgrficodelaFig. 8-19correspondealaformadeondasalvoquedespusdeldcimo impulso
todas las ondas vuelven a 0. Puesto que despus del dcimo impulso Q, = 0 y Q2 = 0, FFO y FF2 quedan
excitadas como en un contador sncrono de 161. Por tanto, de la Ec. (8-l)

To = Jo = K,, = 1 Tz = Jz = K> = QoQl (g-5,

Obkrvese en la Fig. 8.19 que FFI queda fijado si Q, = 1. No obstante para evitar que Q, pase a 1
dapus del dcimo impulso se inhibe por Q,. Esta situacin es equivalente a
r,=J,=K,=Q,Q, G-6)

Fmalmentr, dexanros que FF3 cambie de estado de 0 a 1 despus del cxtavo impulso y que retorne a
0 despus del dcimo. Si
.J; = Q,,Q,Q>%K, = Q,, G-7)

~onloyu~~~~~~uzlal~:lcadzszadaporqueQ, = Q, = Q2 = I,detonnaqueJ, = 1, K, = 1 ~nte~delm~pulso


8. mientras que Q,, = 1, Q, = 0 y Q, = 0 de forma que J, = 0 K, = 1 antes del impulso 10. Las Ec.(X-5) a
(8-7) vienen dadas por el diagrama de bloques lgico de la Fig. 8.24.
Los contadores sncronos reversibles de dcadas pueden adquirirse en el mercado (por ejemplo, el
MCI0137 o el 74ALS168) en un encapsulado integrado a escala media. Existen tambin contadores
binarios de 4 bit tales como los MC10154 y 74LS697. Los FLIP-KOP estn provistos de entradas de
habilitacin (con laque sonprogramables)yentradasdeborradoquenoconstanenlaFig. 8-23. Ladivisin
por nmeros distintos al 2,5,6, 10, 12 y potencias de 2 no est prevista comercialmente y debe disearse
como se ha explicado anteriormente.

8-8. APLICACIONES DE LOS CONTADORES


Muchos sistemas entre los que cabe incluir las calculadoras digitales. la mampu~ac~or~ de dato> y ION
Contador directo

Divisor por N
~33x Micruelecrrdnica moderna

SI cn lugar de ondas cuadradas se precisan impulsos estrechos o puntas para un sistema de sincroni-
ucin, stos se pueden obtener de las ondas de la Fig. 8- 19. Un pequeo acoplamiento KC a la salida
del contador, como en la Fig. 8-250, hace aparecer un impulso positivo en cada transicin de 0 a 1 y un
impulso negativo en cada paso de 1 a 0 como en la Fig. 8-25~. Si contamos slo los impulsos positivos
como en la Fig. 8.25d (los impulsos negativos se eliminan mediante un diodo como en la Fig. 8.25~)
resulta que cada binario divide por 2 el nmero de impulsos positivos aplicados, Los cuatro FLIP-ROP
juntos completan la divisin por N = 2 = 16. Por cada 16 impulsos aplicados a la entrada aparecer uno
solo en la salida. Una cadena de n binarios empleados para dividir o reducir la escala del nmero de
impulsos constituye un escalmetro. Una cadena de cuatro FLIP-FLOPconstituye un circuito de escala 16.

Medicin de frecuencia
El principio bsico por el que se emplean los contadores para determinar con precisin las frecuencias
queda reflejado en la Fig. 8.26. La seal de entrada cuya frecuencia se trata de medir se convierte en
impulsos por medio de un detector de cruce por cero (vase Sec. 15-10) y se aplica al contadora travs
de una puerta AND. Para determinar la frecuencia ya slo falta mantener la puerta abierta a la transmisin
durante un intervalo de tiempo conocido. Si el tiempo de apertura es por ejemplo de Is, el contador ya
dar directamente la frecuencia en ciclos por segundos (hertz). El reloj para temporizar la apertura es un
oscilador de cristal de precisin, cuya frecuencia es de por ej. 1 MHr. El oscilador de cristal gobierna un
circuito de escala 10 que divide la frecuencia del cristal por un milln. La salida del divisor consiste en
una seal de 1 Hz cuyo perodo se mantiene con tanta precisin como la frecuencia del cristal. La salida
del divisor gobierna el tiempo de accionamiento de la puerta fijando un biestable en su estado 1 durante
un segundo. Este sistema est expuesto nicamente a pequeos errores. Una fuente de error proviene del
hecho de poder haber una variacin de f 1, dependiendo del instante en que tuvo lugar el ltimo impulso
en relacin al tiempo de muestreo. Naturalmente, adems de esto, la precisin depende a su vez de la
precisin del oscilador de cristal.

Medicin de tiempo
El intervalo de tiempo entre dos pulsaciorw >e puede medir con el circuno de la Fig. X-26. El biestablc
se ha convertido en tipo SR, aplicndose el primer impulso al terminal S y el segundo al R, sin conectar
Ck. Con esta configuracin el primer impulso abre la puerta AND para la transmisin, y el segundo la cierra.
La seal del oscilador de cristal (o una frecuencia menor procedente de la cadena divisora) se convierte
en impulsos que entran en el contador a travs de la puerta. El nmero de impulsos registrados es
proporcional al tiempo en que la puerta est abierta y por tanto mide el valor de ese tiempo.

Medicin de distancias
En los sistemas de radar y de sonar se emite un impulso y se recibe reflejado al cabo de un tiempo .
Como la velocidad de la luz (o del sonido) es conocida, la medicin de T (realizada como antes) nos da
la distancia existente desde el emisor al objeto causante de la reflexin.

Medicin de velocidad
Una medicin de velocidad se puede convertir en una medicin de tiempo. Por ejemplo, si se colocan
Circuitos y sistemas secuenciales 339

dos wnjuntw de clula fbtoelctrica y foco de luz una cierta distancia entre s, la velocidad media de
un objeto que se desplace de uno a otro de estos puntos es inversamente proporcional al tiempo entre
impulsos generados. Por este procedimiento se han medido las velocidades de proyectiles.

Calculadora digital (computadora)


En una calculadora digital un problema se resuelve sometiendo los datos a una secuencia de operacio-
nes de acuerdo con el programa de instrucciones introducido en la computadora. Los contadores pueden
emplearse para ir contando las operaciones a medida que stas se realicen e iniciar la siguiente operacin
de la memoria al completarse la anterior.

REFERENCIAS

1 Mano, M.M.: Conrpu~er Syrwm Arch~rrcrure, 2 cd.. John Wlley and Sony, Nueva York, ,982.
2 Peatman, J.B.: Design of Digital Systems, 2 ed., McGraw-Hill Book Company, Nueva York, 1981.
3 Hodgcs, D.A.. y H.F. Jackson: Analysis and Design of Digital Integrad Circuits, McGraw-Hill Bouk
Company, NucvaYork, 1982.
4 Taub, H.: Digital Circuits and Microprocessor&, McGraw-Hill Book Company. Nueva York, 1982.
5 Chirlian, P.M.: Digital Circuits, Matrix Press, Champaign, Ill., 1976.
6 Paub,i., y 0. Schilling: Digital lntegrated Electronics, McGraw-Hill Book Company, Nueva York, 1977

TEMAS DE REPASO
-l (0) Definir un batable.
(6) Mostrar cmo constrw un blcsrable a partx de invcraores y comprobar que el circuito tiene dos eatados
estables.
8-2 Modificar el biesrable descrito en el tema anterior de forma que se puedan introducir los datos por medio de
una entrada habilitadora.
-3 (a) Definir un sistema secuencial.
(b) iEn qu se diferencia de un sistema combinacional?
8-4 iQu se entiende por estado estable?
8-5 (a) Dibujar la caracterstica de transferencia de un biestable.
(h) Explicar el porqu en la prctica slo son posibles dos estados.
X-6 (aj EsboLa el sistema lgico para un FUP-FLOPSR temporizado.
(h) Comprobar que el estado del sistema no sufre cambios entre doa mlpulso~ del reloj.
(I.) Formar la tabla de la verdad.
(<I) Justificar las entradas en esta tabla.
X-7 (u) Aadir a un FLIP-FLOP SR dos puatas ANDpara formar un I.LIP-PLOP J- K.
(h) Formar la tabla de la verdad.
(c) Comprobar cl apartado anterior constituyendo la tabla de J,, K,,, Q, Dn, Sm, K, y Q,, , ,.
8-8 Explicar qu sz entiende por condicin dc auto-oscilacin cn relacin al H IP-H or.l-K del tema .micnur
8-9 (0) Dibuj~unsistem~deFLIP-FL~PJ-Ktemporiz~doincluyendolasentradasdz habilitacin (Pr)y de borradu
(Cr).
340 Microelectr&zica moderna

(h) Exphcar la operacin de bwmd.


8-10 ((1) Dibujar un sistema de FLIP-FLOP.I~K ordenador-reg:uldr.
(h) Explicar su funcionamiento y demostrar que se elimina la auto-bciiacln.
X-1 1 (u) Mostrar como convertir un FLIP-FLOP J-K en una unidad de retardo (tipo UJ.
(h) Formar la tabla de la verdad.
(c) Comprobar esta tabla.
X-12 Repetir el tema anterior para un FLIP-FLOP mrerrupror (,ip 71,
8-13 Dar la tabla de la verdad para cada tipo de FLIP-IXLF:iu) SR, (bj J-K, cc J D y id] T.
iCules son las entradas directas Pr, Cr y la del reloj Ck para (ej escritura, (f) borrado y (8, funcionamiento
normal temporizado.
8-14 (a) Definir un registrador.
(h) Construir un registrador de desplaamiento con FU-CL.P SK.
(c) Explicar su funcionamiento.
X-15 (u) Explicar el porqu puede haber auto-scilacin en un regktradur dt: despla~amient.
(h) iCmo se supera esta dificultad?
8-16 Explquesecmoseempleaunregistradordedeplazamientocomconvertidorde(u)datosdeszneaparalelo,
y (h) datos de paralelo a serie.
8-17 Explquese cmo se emplea un registrador de dcsplazamicnto como generador de secuencias.
S-18 Explquese cmo se emplea un registrador de desplazamiento amanera de memoria de slo lectura.
(a) Idem amanera de contador de anillo.
(b) Dibujar las ondas de salida de cada FI.IP- I.LP de una unidad de tres etapas.
8-19 (a) Esbozar el diagrama de bloques de un contador Johnson.
(b) Dibujar la onda de salida de cada FL.IP-HJP de una unidad de tn etapas.
(c) iPor qu nmero N divide este sistema?
8-20 (a) Dibujar el diagrama de bloques de un contador asncrono.
(b) Esbozar la forma de onda a la salida de cada FLIP-PLOF de un contador de tres etapas.
(L.) Expliquesc cmo se trza esta onda.
(d) ;Por qu nmero N divide este sistema?
X-21 (a) Dibujar el diagrama de bloques de un contador reversible.
(h) Explicar FU funcionamiento.
X-23 Explicar cmo se modifica un contador asncrono para que divida por N, no uendo N penc~a 2.
8-24 (a) Dibujar el diagrama de bloques de un contador asncrono de dcadas
(h) Explicar su funcionamiento.
8-25 Repetir el tema anterior para un contador sncrono divisor por 6.
8-26 iCul es la ventaja de un contador sncrono sobre uno asncrono?
8-27 (a) Dibujar el diagrama de bloques de un contador sncrono de cuatro etapas con arrasrre en sent.
(h) Explicar su funcionamiento.
(c) i,Cul es la frecuencia mxima de funcionamiento?
Definir los smbolos de la ecuacin.
X-ZR (u) Repetir el tema anterior si el arrastre es en paralelo
(h) iCules son las ventajas c inconvenientes de un contador de armae en paralelo?
S-29 Explicar cmo se pueden medir frecuencias con un contador.
S-30 Citar seis aplicaciones de los contadores (sin explicarlas).
Sistemas integrados
a muy gran escala

Un clnp contemendu mb de 1.OW componentes se considera integrado u gran rsculrr (LSI) (siglas de
Large-Scale-Integrated) y los sistemas conteniendo sobre los 10.000 se consideran integrados a muy gran
escala (VLSI). Sin embargo, en la terminologa corriente el trmino VLSI se emplea para designar
sistemas con 100.000 o ms componentes. En 1985 se produjeron comercialmente chips integrados
conteniendo ms de un milln de transistores. En este captulo describiremos los chips LS1 y VLSI digitales
ms empleados. Estos, junto con los PAL, PLA y PROM descritos en la Sec. 7-12 a 7-15 se usan
ampliamente en el procesado de seales digitales as como en aplicaciones de control y en sistemas de
computacin.
En circuitos integrados (IC) de memoria constituyen la clase ms empleada. Se incluyen los registra-
dores de desplazamiento MOS y las memorias de acceso aleatorio (RAM) tambin conocidas como
memorias de lectura y escritura, tanto estticas como dinmicas,
La densidad de componentes, la velocidad y el consumo de potencia son tres puntos importantes a
considerar en el diseo de sistemas VLSI. Introducimos dos tecnologas adicionales: dispositivos acopla-
dos en carga (CCD), una tecnologa MOS, y la lgica de inyeccin integrada (FL) que es una tecnologa
bipolar cuyo uso a veces mejora el funcionamiento del circuito. Para incrementar la densidad de
componentes o para reducir el consumo de potencia tambin se emplean circuitos lgicos dinmicos o
temporizados en realizaciones MOS y CMOS. Los sistemas integrados a muy gran escala frecuentemente
utilizan circuitos lgicos dinmicos como bloques constructivos bsicos empleando un generador de reloj
que es esencial para establecer la temporizacin en sistemas digitales. Dos de tales circuitos son el
registrador de desplazamiento MOS dinmico y la lgica domino CMOS; ambos se describen en la parte
inicial de este captulo.
El captulo termina con una breve introduccin a las caractetsticas del sistema VLSI. El microproce-
sador, el ms corriente de los sistemas en un solo chip, es el componente bsico de los computadores
personales (DC), los sintetizadores, y una gran variedad de sistemas e instrumentos de control.

Y-I. REGISTRADORES DE DESPLAZAMIENTO MOS DINMICOS


Lu5 registradores de desplazamiento muy largos (abarcando centenares de bit) no on prcticos ~1eaul
construidos a base de FI.IP-FL.OPtal como se coment en la Sec. 8-5. Se consume demasiada potencia,
requirindose excesivas superficies de silicio. Un camino alternativo es constmir una etapa de registrador
de desplazamiento LS1 conectando en cascada dos inversores dinmicos MOS. Se almacena un bit
cargando la capacidad parsita entre puerta y sustrato de un MOSFET. Describiremos primeramente un
inversor dinmico extendindose luego a una clula de almacenamiento dinmica de 1 bit. An cuando
esta tcnica est quedando obsoleta en los sistemas de memoria MOS debido a la disminucin de las
dimensiones de los dispositivos, la describiremos como introduccin a los circuitos lgicos dinmicos,
inversor MOS dinmico

El circuito de la Fig. 9-l muc>tra un inversor MOS dinmico que requiere una onda del reloj Q>para
su funcionamiento correcto. Empleando MOSFET de acumulacin de canal H se supone lgica positiva
con el estado 0 con 0 V y el estado 1 con VUD = 5 V. El condensador C representa la capacidad parsita
(= 0,l pF) entre la puerta y el sustrato del siguiente MOS alimentado por Vo.
Cuando Q, = 0 V, las puertas Q2 y Q3 estn en 0 V y ambos NMOS de acumulacin estn en corte
(OFF). La tensin de alimentacin est desconectada del circuito y prcticamente no suministra potencia
alguna. Esto difiere del inversor normal NMOS mencionado en la Sec. 6-5 en el que un transistor MOS
est en conduccin y por tanto siempre hay disipacin de potencia en el circuito. Cuando el reloj est a 5
V, tanto Q2 como Q3 estn en conduccin (ON) y tiene lugar la inversin de V,. Por ejemplo, si V, = 0 V,
Ql est en corte, C sc carga hasta V,>,, 2 a mvs de Q2 en serie con Q3, y V,, = 5 V. Si V, = 5 V, Ql est
en conduccin, C se descarga a tierra a trav\ de Q3 y Ql y V,, = OV. Obsrvese que Q3 es un interruptor
bidireccional: el terminal 2 acta como fuente cuando C se carga a la tensin de suministro, mientras que
el terminal 1 se convierte en fuente mientras C descarga 8 tierra.
- Sisremcru integrados a muy gran escala 343

La> prmcipales particularidades del .MOSFE.T aplicables a este inversor dinmico (ahi como al
registrador de despluamiento) son:

1. El MOS es un conmutador bidireccional.


2. Su resistencia de entrada. muy elevada, penmte almacenar temporalmente datos en la reducida
capacidad puerta-sustrato del AMOS.
3. El FET de carga puede suprinurse mediante un impulso del reloj para reducir la disipaci>n de
potencia esttica.

El mversoi quz dcabamus de com:ntr \e denomma IIILPIJ<II de r-elu<ion. Este numhre pruv,ene del
hecho de que cuando la entrada y el reloj estn altos, los transistores Q 1 y Q2 forman un divisor dc tensin
entre /uu y tierra. Por tanto, la tensin dc salida Vo depende de la relacin entre la resistencia en conducin
de Ql y la resistencia efectiva de carga Q2 inormalmente mrnw de 15). Esta relacin depende de la
r-elacin dr aqwfo de Q 1 y Q2.

Clula de memoria bifsica de relacin


La conexin en cascada de dos inversores dinmico5 de la Fig. Y- 1 permite que cada bit de informacin
almacenado en la capacidad C de la primera puerta UOT sea transferido al siguiente inversor aplicando un
segundo impulso de reloj desfasado del primero. La Fig. Y-2a representa un registrador de desplazamiento
dinmico MOS, y en la Y-2h pueden verse las ondas del reloj necesarias. Estas ondas no se superponen
ya que fj > fz. Cuando h < fz habr superposicin. Cada etapa del registrador necesita seis MOSFET. La
entrada V, es la tensin en la capacidad de puerta C/ de Ql, aplicada ah por la etapa anterior (o por la
seal de entrada si sta es la primera etapa del registrador de desplazamiento). Cuando l= fl cl reloj 4>1
se hace positivo (para dispositivos NMOS), los transistores QI y Q2 forman un inversor y el conmutador
hidireccional Q3 conduce. Por tanto se transfiere a C2 el complemento del nivel de Ci. Cuando d>i cae a
0 (en el instante t = f2+), Q2 y Q3 estn cortados y C, retiene su carga mientras @, se mantenga a 0 V. Sin
embargo a f = r?, cuando 02 = Vu, Q4 y QS actan como un inversor y el conmutador Q6 se cierra. Por
tanto. el dato almacenado en Cz se invierte y se deposita en CT. El bit (1 0) transferido a la salida Vo es
idntico al que hubo en la entrada b; pero retrasado un tiempo determinado por el perodo del reloj. En
otras palabras, la etapa de registrador de la Fig. 9-2~ eh una lnea de retardo de 1 bit. A la combinacin
QlQ2Q3 se le puede llamar ini,er.~or-ordenudor y a Q4QSQ6, srccirz-squidoru. Para retener los dato5
almacenados en el registrador, el ritmo al que ,e introducen los datos en el circuito no debe ser menor que
un cierto valor mnimo. Si el perodo del reloj es excesivamente largo la carga se dispersa pw ias
capacidades parsitas y se perder la infonnxin. Los FET de carga de la Fig. 9-2~ estn temporir~!d..,
344 Microelecrrnica moderna

porque las puertas estn gobernadas por los impulsos del reloj. Tambin se pueden emplear cargas no
temporizadas (las puertas conectadas a tensiones fijas) pero tales circuitos disipan mayor potencia. El
dispositivo Intel 2401 es un registrador de desplazamiento dinmico doble de 1024 bit constmido con
NMOS. Emplea una alimentacin nica a 5V y es compatible con la TTL. Trabaja aun ritmo mnimo de
25 kHz y mximo de 1 MHz con una disipacin de potencia de 0,12 mW/bit a 1 MHz. Es interesante hacer.
notar que este chip contiene 2 x 1024 x 6 = 12288 MOSFETs aparte los circuitos de control necesarios
para convertirlo en una memoria recirculante (Fig. 9-3).

Aplicaciones
Las aplicaciones rplcas de luz registradores de desplaamiento MOS sun: memorias en serie para
calculadoras, tubos de rayos catdicos, equipos de comunicacin, como memorias de repaso y separadores,
y lneas de retardo. La Fig. Y-3 representa una memoria de registrador de desplazamiento dinmico
circulante en serie. La salida del registrador se devuelve a su entrada a travs de una combinacin AND-CR
Si el terminal W/R de lectura /xw no rscrifuru est en estado 1 el dato digital en el terminal de entrada
se introduce en el registrador. Despus de un ciclo de impulsos cada bit se desplaza ala derecha pasando
ala siguiente etapa como se ha explicado en relacin a la Fig. Y-2. Cuando han entrado secuencialmente
en el registrador el nmero de bit deseados se inicia la recirculacin cambiando W/R al estado 0. De esta
forma queda inhibida la entrada de ms datos en el registrador y los bit almacenados en la memoria
recirculan desde la salida a la entrada del registrador de desplazamiento en sincronismo con los impulsos
del reloi. Se obtiene en la salida una lectura no destructiva del tren de datos si la entrada de lectura se
excita con lgica 1.
Si el registrador consta de 1024 etapas, la memoria circulante puede almacenar una informacin de
1024 bit en serie. Consideremos que cuatro sistemas So, SI, Sz y S3 del tipo de la Fig. 9-3 se emplean con
entradas y salidas de datos independientes. Los terminales W/R estn unidos entre s as como los
terminales de lectura, y el mismo reloj sincroniza todos los sistemas. La configuracin resultante es una
memoria en serie que puede considerarse almacenadora de 1024 informaciones de 4 bit cada una. Los
cuatro bit de una informacin en particular aparecen simultneamente: el bit menos significativo en la
salida de So y el ms significativo en la S. Un perodo de reloj ms tarde, se podr leer otra informacin
de 4 bit. Para ampliar el sistema hasta informaciones de n bit son necesarios n registradores de
desplazanientos con recirculacin. Si se necesitan ms informaciones, debern emplearse registrador*;
mayores.
Cuando ya se ha obtenido el objetivo deseado de los datos circulantes en la memoria de la Fig. Y-3, el
terminal W/R se cambia a la lgica 1. Esto inhibe los bit de la ltima etapa del registrador impidiendo su
cntrada en la primera etapa. Dicho de otra forma, el contenido de la memoria queda borrado y al mismo
tiempo se pueden introducir nuevos datos en el registrador.

1 Kegistradur de desplazamiento MOS esttico


Un registrador de desplazamiento esttico>aes estable en continua y puede trabaJaI sm dn mnmo en
el ritmo del reloj. Es decir, que puede almacenar datos indefinidamente supuesto que se suministre potencia
al circuito. Sin embargo, las clulas del registrador esttico son ms grandes que las dinmicas y consumen
ms potencia, por lo que su empleo es limitado.
9-2. ETAPAS DEL REGISTRADOR DE DESPLAZAMIENTO DE
NO RELACION

En la Sec 9-l se ha indicado que el FET de carga QZ de la Fig. 9-2 debe tener una resistenu mucho
mayor que el excitador Ql para que la tensin VON en el estado bajo sea muy prxima a cero. En la Sec.
4-3 se remarc que la resistencia del FET es proporcional a L/W. Por tanto, Q2 debe tener un canal de
mucha mayor longitud L y menor ancho W que Ql. En consecuencia el inversor ocupa una superficie

mayor que el mnimo posible. Adems, puesto que la capacidad parsita de almacenamiento se carga a
travs de Q2 durante una parte del ciclo, la gran resistencia de Q2 limita la velocidad de funcionamiento
del registrador. Ambas dificultades se pueden evitar utilizando un inversor dinmico en el que no influya
la relacin, como el de la Fig. 9-k (en donde Ql y Q2 tiene geometras idnticas). Obsrvese que no hay
suministro de potencia en continua en este inversor. El impulso del reloj @(Fig. 9-l b en el NMOS) debe
suplir la energa necesaria para este circuito. La disipacin de potencia es proporcional ala frecuencia del
reloj.
Para comprender el funcionamiento de un inversor de no relacin consideremos primero el caso en el
que Vi = 0. Durante el impulso la situacin es la de la Fig. 9.4b. Como la tensin de puerta de Ql es 0 y
la de Q2 es VDD; entonces tendremos (para un NMOS de acumulacin) que Ql est en corte y Q2 en
conduccin. Por tanto, C carga a VDD a travs de Q2. Al final de cada impulso Q>cae a 0 y ambos MOSFET
quedan cortados, y as con Vi = 0 (lgica 0) la salida Vo = VDD (lgica 1) y se ha producido una inversin.
Consideremos ahora que V, = VDD y que Z-= Vm como en la Fig. 9-4~. Ambos MOSFET estn en
conduccin cediendo corriente a C, cargndose ste rpidamente. Puesto que Vo = V, = VDD no hay
inversin durante el impulso. Sin embargo al terminar el impulso cuando la tensin del reloj vuelve a 0
tendremos la situacin de la Fig. 9. 4d. Ahora la puerta Gz de Q2 est en 0 y ste est cortado mientras
GI de Ql est en VDD y Ql conduce. En consecuencia C se descarga hasta 0 a travs de Ql. Por tanto,
poco despus de finalizar el impulso, Vo = 0 mientras que V, = Vo0 lo que indica que ha habido una
inversin lgica.

Clula de registrador dinmico de dos fases y de no relacin.

Si se conectan en cascada dos inversores del tipo de la Fig. 9-40 a trav& de puata abierta<
346 Microelectrnica moderna

bidireccionales de transmisin, se obtiene la etapa de registrador de desplazamiento de no relacin de I


Fig. 9-5. El primer inversor se alimenta de la fase Q>I y el segundo de la az estando las ondas
correspondientes representadas en la Fig. 9-2~ Al inicio del impulso 4>t (f = fl+) el conmutador QO cierra
y la tensin a travs de Co (tensin de entrada de Ql) se iguala al nivel de entrada V,. Por la accin de
inversin descrita, juntamente con la Fig. 9-4, la tensin a travs de CI despu& de finalizar el impulso Q>I
(f = fz+) corresponde al estado lgico complementario de V,. Como ahora @ I est en su nivel bajo, QO abre
y V, queda retenida en Co hasta el final del perodo de 41 (t = fs).
En el momento f = t,+ la segunda onda 02 pasa a su nivel alto V,,, permitiendo la transmisin a travs
de Q3 situando efectivamente a C, y C, en paralelo. Si en el instante f = f, la tensin en C, (o C,) es V, (o
V,), en t = t,+ la tensin en Ven C, (que debe ser la misma que la de C,) se hallar segn el Prob. 9-5 que es
= c,v, + GVZ
(9-l)
c, + c2
Si Ct Cz se ve en la Ec. (9-l) que V =VI. En otras palabras, el impulso 4>2 hace que la tensin de
salida (a travs de Ci) del primer inversor apareza (a travs de C2) en la segunda puerta NOI. Finalmente,
por la accin inversora descrita, al final del impulso @z (desde t = t4 hasta f = fs) el nivel lgico Vo a travs
dr C3 ser el complemento del de Cz, que a su vez es el complemento del de Co. Evidentemente en un
perodo del reloj el nivel de entrada V, se ha desplazado a lo largo de la etapa hasta la salida Vo como
sucedera en una lnea de retardo de 1 bit o en un registrador de desplazamiento de un bit.
En la Fig. 9.5 no hay aportacin alguna de potencia en continua, pero los impulsos del reloj deben
poder suministrar las fuates corrientes de capacidad. Adems, para asegurase de que CI sea mucho mis
grande que Cz debe aadirse al chip una superficie adicional para CI. Se puede reducir la carga de los
excitadores del reloj aadiendo otro transistor en cada inversor como en el Prob. 9-6. Esta modificacin
nos da una etapa con ocho MOSFET. En la literatura se describen varios registradores de desplazamiento
de cuatro fases, de gran velocidad y de no relacin. Debido al mucho espacio que ocupan en el chip los
registradores de desplazamiento de dos fases y de no relacin adems de la complicacin que ruponen los
excitadores del reloj de cuatro fases, este sistema SCemplea poco.

Etapa de registrador de desplazamiento dinmico CMOS


Sepuedeformarunaetapaderegistradordedespla~amientodinmicoCMOS similar al circuitoNMOS
de la Flg. Y-5 interpomcndo Puertas de transmisin CMOS bidircccionales (Sec. 6-Y) entre inverxxes
esi Iticos CMOS (Sec. 6-X). Este circuito, represenvado en la Fig. Y-6, utiliza puertas de transmisin Tl y
72 para cumplir la funcin de interruptor bidireccionaLMOS de la Fig. Y-5. Las puertas de transmisin
estn gobernadas por los relojes complementarios Q y @. Cuando 4> = VOD, Tl conduce y 72 acta como
circuito abieno. Los inversores CMOS sc han sealado II e 12.
La explicacin del funcionamiento dc la etapa de registrador de la Fig. 9-6 es muy parecida a la dada
en relacin a la Fig. 9-5. Cuando Q = V,r (lgica 1) Tl transmite y la entrada Vi aparece a travs de Co.
Debido al efecto inversor de II aparecer a travs de CI el complemento de V, (VI = ii,>. En el siguiente
semiciclo, 0 = 0, Tl abre, Co retiene la tensin V, y VI se mantiene en V,. Asimismo, cuando a = 0, fl
cierra poniendo en paralelo Cz con CI c 12 hace que la tensin? travs de C3 sea cl complemento de la de
Cl. En consecuencia, al final de un ciclo completo Vo = V,, = V, quedando demostrado que esta clula se
comporta como una lnea de retardo o un registrador de un bit.

bigura Y-6. Cdula de regwador de desplawmiento CMOS dinmica.

La etapa CMOS consta de ocho MOSFET (o cuatro pares complementarios). La disipacion de putcnua
25 muy poca ya que no hay circuitos de continua; slo se emplea potencia para cargar transitoriamente los
condensadores. De las explicaciones del circuito dadas anteriormente resulta evidente que la tensin de
salida no depende de la relacin entre las resistencias de cualesquiera elementos y por tanto el funciona-
miento es de no relacin.

9-3. LGICA DOMINO CMOS


Las puertas lgicas CMOS normales (Sec. 6-Y) necesitan un transisror de carga PMOS y un FEI
excitador NMOS para cada entrada lgica. En la Sec. 4-8 vimos que los dispositivos PMOS ocupan ms
superficie que los transistores NMOS a igualdad de corriente. Para realizar funciones lgicas complejas,
cs decir, aquellas que contienen muchas variables de Boole se necesitan superficies del chip ya significa-
tivas. (Comprese esto con las realizaciones NMOS para las que slo se aade un FET por cada entrada
adicional.) Se consigue mejorar la densidad de componentes en circuitos CMOS empleando un circuito
lgico dinmico conocido como l@ica domino.
El circuito de la Fig. Y-7 corresponde a una puerta AND-OR domino empleada para cumplir la funcin
Y = AB + CDE. La parte del circuito que contiene desde (71 hasta (77 es una puerta AOI que se emplea
para excitar el inversor esrtico CMOS de Q8 a QY. Obsrvese que la parte AOI del circuito es similar a
la puerta AOI NMOS de la Fig. 7-3. La reduccin del rea de chip de la porcin AOI de 2 a 3 entradas de
34x Microelectrnica moderna

la puena de la Fig. 9-7 proviene del hechode necesitar slo siete FET, de los cuales slo uno es un transistor
PMOS, en comparacin con los diu transistores (5 NMOS y 5 PMOS) necesarios con la tecnologa CMOS
normal.
La accin de la puerta domino est gobernada por el reloj @ de una fase aplicando al PMOS de carga
Q7 y al transistor NMOS de gobierno Ql. La capacidad parsita C, acta como la carga en la parte AOI del
circuito. Cuando @ = 0, Ql est cortado y no hay corriente en las ramas AND-OKdel AOI. El PMOS de
carga Q7 est en conduccin cargndose C; hasta Viji> Con la entrada al inversor alta [ V(l)] , la tensin de
salida v, = V(0).

El transutw Q I pasa a conduccion y el Ql a corre cuando b> = 1. SI ualquera (o amba) A y B u C y


U yEest(oestn) a V( l), C,puededescargara tiellaatravsdeQ3~Q2~Ql o Q6-QS-Q4-Ql. Ladescarga
de C, hace que la entrada del inversor sea baja [V(O)1 y en consecuencia WI = V( 1). La capacidad C8 no
puede descargar cuando AB + CDE = V(0) por no existir ningn paso a tierra y por tanto VOno vara. Es
importante tener en cuenta que las entradas lgicas pueden cambiar nicamente cuando <r>= 0. Cuando @
= 1 no pueden cambiar, pues puede existir alguna va para la descarga.
Los circuitos lgicos domino mejoran la densidad de componentes slo cuando se emplea un nmero
elevado de variables de entrada. Para que las puertas domino funcionen correctamente se necesita Ql y
los FET inversores Q8 y Q9 de la Fig. 9-7. As, para una puerta OR de dos entradas, un circuito domino
emplea dos FET de entrada y un PMOS de carga as como Ql, QX y Q9 con un total de seis transistoreh.
Este nmero es igual al de elementos usados en la realizacin CMOS normal. Tal corno se ha descrito
anteriormente en esta misma seccin, se puede construir una puerta AND-OR de 2 a 3 entradas utilizando
menos PMOS y menor nmero total de transistores que con la realizacin esttica CMOS de la misma
puerta. Una aplicacin de la lgica domino CMOS est en la fabricacin del PLA (Sec. 7-15) en donde el
xdncidn nmero de transistores PMOS y de FET totales empleados economiza mucha superficie del chip.

9-4. MEMORIAS DE ACCESO ALEATORIO (RAM)


El funcionamientodeunsistemadigitalrequiereque sepuedanalmacenar yr~~upzrardatos avoluntad.
Las memorias semiconductora comprenden un conjunto de clulas de almacenamiento cada una de las
cuales puede almacenar un dato de 1 bit. En estas memorias, en contraste con un registrador de
desplazamiento, la informacin puede ser introducida o sacada aleatoriamente de cada elemento. Por eso
a este sistema se le denomina memoria de acceso aleatorin, abreviadamente RAM. Puesto que cada bit
puede ser extraido (ledo) o introducido (escrito) en cada clula, al sistema se le denomina tambin
memor-iadelecrura-escrituraR/W(read-write)paradistinguirlodelamemoriaslolectura(ROM) (vase
la Sec. 7-9).
En la construwn de RAM se emplea tanto la tecnologa MOS corno la bipolar siendo prevalentes
los circuitos con tecnologa MOS. Las memorias de acceso aleatorio se pueden adquirir en encapsulados
integrados a escala media para almacenar hasta 64 bito integrados a muy gran escala capaces de almacenar
256 y 512 kb. A principios de 1986 apareci en el comercio un RAM de 1 Mb (1Mb = 2kb = 2O =
1048576 bit) y se espera que en 1990 se construyan de 4 Mb. Los RAM con ms capacidad de
almacenamiento (ms de 4 Kb) se fabrican con polisilicio. Los sistemas computadores ms corrintes
utilizan para la memoria interna RAM de 64 y de 256 kb.
Se emplean circuitos estticos y dinmicos para construir RAM, emplendose casi exclusivamente
circuitos dinmicos para grandes capacidades de almacenamiento. Estos circuitos necesitan menos
transistores y por tanto se pueden incluir ms clulas en un solo chip (de unos 6 x 6mm).
El RAM tiene la ventaja de que el tiempo de acceso es el mismo para cualquier bit de la matriz. En
una memoria de registrador de desplazamiento en serie el tiempo de acceso depende de la posicin del bit
en el momento de su acceso. Uno de los inconvenientes del RAM es su volatilidad, es decir, que pierde
toda la informacin almacenada si falla el suministro de potencia. Difiere del ROM en que en ste la
informacin se almacena permanentemente durante la fabricacin y as no es voltil. (Recurdese que los
datos se almacenan durante la operacin de enmascarado.)
En lo que resta de esta seccin se tratar del sentido y caractersticas bsicas del RAM. y en la prxima
se describirn circuitos de clulas de memoria estticas y dinmicas.

Seleccinlineal
Para comprender el funcionamiento de un RAM examinemos el circuito del FLIP-FLOPSR simple de
un bit de la Fig. 9-8 con entrada de datos y lneas de salida. En la figura se ve que para leer datos de salida
o para introducir (escribir datos) en la clula es necesarm excitar la lnea de direccionado (X = 1). Para
escribir debe excitarse tambin la Inea de hahilitarin de escritura si la entrada de escritura es de lgica
350 Microelectrnica moderna

1 (o 0). entonces S = 1 (o 0) y R = 0 (o 1). Por tanto, Q = 1 (o 0) y la lectura ser 1 (o 0) de acuerdo con


lo escrito.
Supongamos que deseamos un RAM de 16 kb organizado en 1024 informaciones de 16 bit cada una.
Este sistema precisa de .lO lneas de direccionado, 16 de entrada de datos y 16 de salida de datos. Se
necesitarn en total 1024 x 16 = 16384 clulas de almacenamiento. De estas clulas 16 se disponen en
una lnea horizontal excitadas todas ellas por la misma lnea de direccin. Habrn en total 1024 grupos
como ste, excitado cada uno por una lnea distinta. En otras palabras, el direccionado se logra excitando
una de entre 1024 lneas. Este tipo de direccionado se denomina midimensional o seleccin lineal (Prob.
9- ll). El nmero de patillas de encapsulado de direccin se reduce desde el incmodo nmero de 1024
atan slo 10 incluyendo en el chip un decodificador de 10 a 1024 lneas.

Direccionado bi-dimensional
Se puede conseguir una gran economa en el nmero de puertas N~\NI>necesarias cn 21 decodificador
antes mencionado (Prob. 9-12) disponiendo los 1024 elementos de memoria formando un cuadro de 32
x 32 almacenando cada uno de ellos l bit de una informacin. Se necesitan 16 de tales encapsulados; uno
para cada uno de los 16 bit de cada informacin.
Cada informacin se identifica con una clula de memoria de la matriz mediante un nmero X-Y. Para
leer (o escribir) una clula determinada, (por ejemplo la 1-3) un decodificador X identifica la fila 1 (X1) y
otro decodificador Y localiza la columna 3 (Y3). Este direccionado hi-dimensional (llamado tambin
dircccionado o seleccin X-Y) queda representado en la Fig. 9-9 para un RAM de 16 kb (128 x 128).

Organizacin bsicaRAM
La memoria de 1 bit de la Fig. 9-X requiere conexioneb distintas para leer o escribir. Tanto para 21 RAM
bipolar como para el RAM MOS es posible formar un FU-FLOP (como demostramos en las Figs. 9-12 y
9-14) que tiene un terminal comn para escribir y leer, tales como los 1 y 2 de la Fig. 9-10. Esta
configuracuin requiere emplear no slo el dato de escritura W (escritura 1) sino tambin el de su
complemento W (escritura 0). En el terminal de la clula en la que se aplica W (o W) se obtiene la lectura
R (o R) o el sentido de la salida S (o S). En la Fig. 9-10 se representa esquemticamente esta memoria.
En la Fig. 9-9 se indican los elementos bsicos con los que se construye un RAM incluyendo la
disposicin rectangular de las clulas de almacenado, los decodificadores X e Y, los amplificadores de
escritura para excitar la memoria y los amplificadores de sentido para detectar (leer) la informacin digital
almacenada. Los amplificadoresR/WO y R/W 1 no estn explcitamente indicados en la Fig. 9-9 (pero s
en la 9.12).
En la Fig. 9-l 1 se representa la organizacin (llamada tambin diagrumufun~~ionul) de una memoria
de lectura-escritura para 4096 informaciones de 1 bit. Obsrvese que en esta disposicin hay 64 filas y 64
columnas. Por tanto, cada decodificador tiene seis entradas. La entrada de datos Di, (o salida D,,t)
corresponde a W (o S) de la Fig. 9-10. Los complementos de D,,, y Dout as como los direccionados Ao...Aq
se generan en el propio chip. Los dos decodificadores van tambin en el chip. El terminal CS es la entrada
del chip selector (a veces llamado tambin CE o chip habilitador). Si CS = 1 el chip queda seleccionado.
El complemento de la entrada de habilitacin de escritura se seala WE o Rm (lectura pero no escritura).
Por tanto hay kcturd si R/W = 1 y escritura si R/W = 0. La tabla de la verdad del funcionamiento de este
chip es la indicada en la Tabla 9-l

-
Iabl 9-1 Tabla de la verdad del RAM de la Fig. 9-16

(J KIW 0 WE u,,. n.,,,, MOrlO

I X Indiferente x Alta impedancia Sin seleccin


0 0 0 0 Escribir 0
0 0 1 I Escribir 1
0 / x D.,., Lectura

Linea de bit 0 Lnea de bit 1


para la columna 1
/
/

i . . .
Lnea Lnea
de bit 0
* parala de bit I
* columna parala
127 columna
127
352 Microelectrnica moderna

A las 16 entradas seialadas en la Fig. 9-l 1 hay que aiadir una toma de tierra y un terminal de suministro
de potencia. As este RAM de 4096 kb va en un encapsulado de 18 patillas.

Ampliacin de Ia memoria
Muchas veces hay que almacenar Informaciones de ms de I bit. Si x rcquvxen 4096 informaciones
de 4 bit ser necesario utilizar cuatro encapsulados corno los de la Fig. Y-l 1. Las 12 lneas de direccin
se aplican en paralelo a lo? cuatro encapsulados. y con CS = 1 (o CS = 0) se seleccionan simultneamente
todos los chips. Un direccionado especfico selecciona una de las 4096 informaciones: los 4 bit de datos
entran (o salen) y se escriben (oleen) en la memoria a travs de cuatro terminales independientes Di, (o
&,,t) con RN = 0 (o RW = 1). Se puede ampliar el nmero de informaciones almacenadas en un RAM
mediante la organizacin de ampliacin del direccionado de la Fig. 7-3 1, rcprcscntada para un ROM.
Comercialmente se pueden adquirir RAM de mltiples infomxxiones capaces de almacenar gran
nmero de bit. La TMS 4416 de la Texas Instruments es un RAM de 64 kb dispuesto para almacenar
16384 informaciones de 4 bit. En la Sec. 9-6 se describen otros mdulos RAM

Y-5. CF:LULAS DE MEMORIA DE LECTURA-ESCRITURA


Las clulas de almacenamiento bsicas de un RAM se fabrican con tecnologia bipolar o MOS. El RAM
rn, generalmente empleado utiliza transistores MOS porque con ellos se consigue la ms alta densidad
de componentes y por tanto se pueden almacenar ms bit en un chip de tamaio dado. Las clulas MOS
estticas son las prcvalentes en RAM pequeos ( < 16 kb) si bien se ha presenrado (en 1985) un prototipo
de chip CMOS con posibilidad de almacenar 256 kb. Ms frecuentemente se utilizan clulas de memoria
MOS dinmicas en RAM desde 16 kb a 1 Mb. Frecuentemente a las memorias dc acceso aleatorio se las
lesigna con DRAM si son dinmicas y con SRAM si son estticas.
Los circuito5 de almacenase con emisor acoplado. compatible con ECL se emplean en KAM bipolara
sobrepasando raramente los 16 kb de almacenamiento. Tambin figuran en el mercado RAM basados en
puertas TTL, teniendo una capacidad entre 64 bit y 4 kb. Como sea que los circuitos CMOS disipan menos
potencia, se diseian para ser compatibles con TTL, y en consecuencia se utilizan frecuentemente en lugar
de RAM TTL. En esta seccin y en la Y-6 SCcomentarn varios tipor de clulas dc memoria bipolares y
MOS

RAM MOS esttico


El ILWFLOI MOS de la Flg. 8-X es una n~emor~ade 1 bit y es la clula b\ic dc almacenamiento del RAM
MOS esttico. En la Fig. Y-12, Ql con Q4 forman tal unidad biestable y los MOSFET Q5-Q6 forman el
circuito de pucnas a travs del que el nudo interior NI (o N?) queda conectado a la lnea de datos del bit
0 (o 1). En la Fig. Y-12 se ha indicado la clula I-3. Esta clula de seis transistores re incluye en la
disposicibn de la memoria en la forma que se ve en la Fig. Y-Y. Las lneas de bit 0 y bit 1 se conectan a
todas las clulas de lamiunu wiun~ria. Para seleccionar una clula de una columna en particular (p. ej. 3)
cs necesario excitar tal columna (Yi). Para seleccionar una clula de la fila 1. el decodificador de lnea
354 Microelectrnica moderna

debe excitar Xi. Dicho de otra forma, para localizar una clula detemunada (l-3) se u~~plean el
direccionado bi-dimensional.
En la Fig. 9.12 se han incluido los amplificadores de lectura y escritura de cada una de las lneas de
datos. Obsrvese que Q17 y QIO (o Q9) forman una puerta AND con entradas WE y W (o w), siendo WE
la hnhilitac%n de escritura y W la escritura (o entrada dr datos Din). La salida de lectura o sentido S
puede sealarse tambin &w.
Se desea leer la clula l-3. Deberemos ponerX1 e fi en V,m (lgica 1 para un NMOS). Supongamos
que se ha almacenado un 1 en esa clula (Q2 en conduccin y Ql cortado, de forma que el nudo Nz est
a 0 V y N, a V,,,,). Para leer, WE se pone a 0. Entonces Q17 est cortado y por tanto QlO (o Q9) no
conduce, con lo que la lnea de datos del bit 1 (o 0) queda unida a VDD a travs de la carga Qi2 (O Qll).
En consecuencia circula corriente desde VDD hacia Q2 a travs de Q12, Q8 y Q6 (as como a travs de Q4
desde V,JJ), con lo que la lnea de 1 bit queda efectivamente atierra. Por tanto Q14 est cortado y S = &UI
= V,, (lgica 1) como Q I est en corte no circula corriente por Q3, Q5, Q7 y Qll en serie, y la lnea de
dato de 0 bit est a VDD, Q13 conduce y r= 0 V. Hemos visto pues que el KIP-FLOP 1-3 almacena un 1
(yaqueS=lyS=O).
CYa
l_.__escribir
Para . . . un
-..._...
1 en la- clula se~~~~~
direcciona (X1 = 1 e Y3 = 1) se pone WE = 1, W = 1 y W = 0. Entonces
Q17 y QlO conducen y Q9 est cortado. Por ta&la tanto la lnea&
lnea del Git
bit 1 est aatierra
tiI _y la del bit 0 a VDO a travs
AP IacargaQl
de II CITO101 11. Ahora
Abon Ia rorri+-ntP naq:a
lacorriente desde Vnn
pasadesde Vm ala lnea de bit 1 a travs de Q4, Q6, Q8. QlO y Ql7
a tierra. As el nudo N, est efectivamente atierra. Con esto se corta Q 1 y NI pasa a VDD. En consecuencia
Q2 se mantiene en conduccin y Nz en 0. Cuando se elimina el direccionado (Q5, Q6, Q7 y Q8 en corte)
Q2 conduce, Ql est cortado y queda escrito un 1 en la clula elegida.

Clula RAM CMOS esttica


La clula RAM CMOS esttica es similar en cuanto a estructura y funcionamiento que la clula NMOS
de la Fig. 9.12. El circuito CMOS de la Fig. 9.13 corresponde auna clula de memoria de 6 MOS de la
Fig. 9-12 con la misma numeracin de los transistores. No figuran los amplificadores de sentido necesarios
para leer y escribir datos. Los transistores Ql a Q4 de la Fig. 9-13 son los inversores CMOS cruzados que
forman el PLIP-TLOP.Los transistores Q5 y Q6 forman las puertas de transmisin que constituyen el camino
de entrada (o salida) de datos a la clula de memoria. Leer y escribir un 1 o un 0 es lo mismo que en el
circuito NMOS de la Fig. 9-12.

Muchos fabricantes de circuitos integrados producen RAM MOS estticos con capacidades de
memoria de entre 1 y 16 kb. Obsrvese que un RAM de 16 kb que emplee clulas de almacenamiento de
seis transistores, san corno los de la Fig. Y-12 o la Y-13 tiene 6 x 16384 = 98304 MOSFET slo cn la
disposicin de la memoria. Con los circuitos auxiliares necesarios (amplificadores de sentido, etc) tales
circuitos contienen ms de 100.000 elementos. Para aumentar la capacidad de memoria en las dimensiones
del chip es evidentemente importante reducir el nmero de transistores por clula. Lo comentado a
continuacin en relacin a las clulas dinmicas es el principal medio de conseguir esa reduccin.

Clula RAM dinmica de 1 MOSFET


Lasuperficiede silicioocupadaporLaclulade6 transistoresde laklg. Y- 12 pu~d~redu~~r\ccarllblalido
los FET de carga Q3 y Q4 por cargas temporizadas. En otras palabras, los dos inversores acoplados
cruradosqueformanel biestable sonahorainversores dinmicoscomp se veen IaFig. 9-140. Laexcitacin
de puerta de cada carga viene suplida por las lneas de informacin del decodificador X. Los MOSFET
Q3 y Q4 actan simultneamente como carga y como transistores de seleccin de lnea, reducindose as
la clula desde un dispositivo de seis elementos auno de cuatro. Si X = 0, Q-3 y Q4 estn cortados y no se
puede introducir informacin (escribir) ni sacar (leer) de la clula. Sin embargo, si X = 1, Q3 y Q4 estarn
en conduccin y los cuatro transistores forman un biestable capaz de almacenar un 1 (QZ en conduccin)
o un 0 (Q 1 en conduccin).
Al igual que en el registrador de desplazamiento MOS dinmico de la Fig. 9-2 la informacin en la
cluladememoriadinmicade IaFig. 9.140 se almacenaen las capacidades parsitas CI y C2 entre puerta
y fuente de Ql y Q2 respectivamente. Si se almacena un 1, Cz (o CI) se carga a VDD (o a 0) y si se escribe
un 0 es vlida la inversa. Supongamos que despus de haberse almacenado el dato en la clula transcurre
un tiempo T. La carga de los condensadores decrece durante ese perodo debido u las inevitables corrientes

Acta sobre b DB
toda la columna Lnea
de columna
de bit 1

Lnea defila
de fuga. Si r se prolonga mucho la tensin del estado 1 puede disminuir tanto que se aproxime mucho al
nivel 0 perdindose la informacin. Este mismo fenmeno es el motivo por el cual un registrador de
desplazamiento dinmico no puede funcionar a una frecuencia por debajo de cierto lmite.
Evidentemcntc se har necesario algn circuito adicional para reforzar el dato almacenado antes de
que la prdida de tensin en el condensador sca excesiva. Se aaden dos transistores (Q y Q en la Fig.
9.14b) para reponer todos los NP-WOP de una determinada columna. La onda de reposicin 13es un
impulso de menos de 1 ps que se repite aproximadamente cada 2 ms. Todas las clulas de una determinada
fila se reponen simultneamente direccionndola mientras I es alta. Obsrvese que durante el perodo de
reposicin Q3 en serie con Q forman la carga de QI, y Q en serie con Q4 actan de carga para Q2. Si al
principio del ciclo de reposicin la tensin a travs de Cz es mayor que la de CI ( = 0) entonces Ql est
cortado y Cz se carga hacia VW por la corriente en Q y Q3. La corriente que carga CI a travs de Q y Q4
es menor que la de Cz porque Q2 est en conduccin. Por tanto. Cz sube rpidamente a VDO y la tensin
atravsde Q2caeaceromanteniendoaO VladeCt. En otras palabras, debidoalaaccindcrealimcntacin
regenerativa en el KIP-FL.OPla clula \c rcponc hasta su estado inicial (lgica 1 en este caso).
Obsrvese que la organincin de la clula de 4 transistores en el RAM es la misma que la de la clula
de 6 MOS de la Fig. 9-12. El nmero de transistore? evitados al pasar de una clula de 6 MOS a una de 4
en un RAM cuadrado de 16 kb, teniendo en cuenta los MOSFET que hay que aadir para generar la tensin
v de reposicin es de (2 X 16384) (2 X 128) = 32512.
Adems de ocupar mucho menos espacio en el chip, la clula dinmica economiza mucha potencia.
Los elementos de carga slo conducen durante el impulso de reposicin y slo durante este cmto perodo
se disipa potencia.

Clula KAM dinmica de un MOSFET


En la Fig. 9-14 los elementos de almacenadu wn condensadures, pcm IIU hay nmg:urw ruou
fundamental para utiliza un FLIP-IXOPpara cargarlo> o descargarlos. Hay la posibilidad de disear una
memoria dinmica empleando un solo condensador y un transistor actuando como puerta de transmisin
para cargarlo o eliminar la carga ah almacenada. La Fig. 9-15 representa la ms sencilla de todas las
clulas RAM que se emplean en RAM dinmicos wmerciales grandes (de 4 kb a 1 Mb). No se nece&an
entradas ni salidas complementarias y por tanto su disposicin es la de la Fig. 9.9 excepto que emplea una
sola lnea de bit (dato) para conectar todas las clulas de una columna. Como en los RAM descritos
anteriormente, slo se selecciona una clula de memoria en un momento dado, que depende del
direccionado X e Y.
La clula se escribe aplicando la tensin de la lnea de bit al condensador CI a travs del transistor. La
lectura se hace conectando Ct a la lnea de bit a travs de la puerta y detectando el nivel de tensin del
condensador. Esta sencilla clula tiene el inconveniente de que su lectura es destructiva, lo que es debido
a que el transistor de la clula escogida para leer sita su capacidad de almacenado Ci en paralelo con la
capacidad Cz de la lnea de datos. Si VI es la tensin a travs de CI la tensin de lectura V viene dada por
la Ec. (9-l) co Vz = 0 o V= CIVI/(CI + Cz). Puesto que hay muchas clulas conectadas a la lnea de
columna, C2 >> CI y V VI. La informacin almacenada que se deba retener deber regenerarse despus
de cada operacin de lectura hasta su valor inicial VI. A fin de aumentar la relacin Cl/C2 se emplea la
tecnologa de puerta de polisilicio de dos capas y canal n.
El condensador CI tambin pierde tensin debido alas corrientes de fuga por lo que habr que disponer
de un circuito adicional para regenerar peridicamente la informacin almacenada como se hizo en la Fig.
9.14h. En cada lnea de datos hay un amplificador de reposicin.
La clula de memoria dinmica de un transistor descrita en el prrafo anterior constituye el circuito
bsico ms usado en RAM de gran capacidad (de 64 kb a 1Mb).

Organizacin del chip RAM dinmico


Los chips DRAM clsicos de 16 a 64 kb tienen una disposicin amthu a la Fig. Y- 16. La rncm~,na ehta
dividida en dos partes iguales y los circuitos perifricos se colocan a lo largo de los bordes de cada parte.
Los circuitos de soporte de la memoria comprenden los codificadores de columna, separadores y los
amplificadores de direccionado y de reposicin, registradores de entrada y de salida y circuitos de control
y de temporizacin. Los RAM dinmicos generalmente se encuentran en la industria en encapsulados DlP
normalizados de 16 patillas.3 Para un DRAM de 64 kb tal como el 4164 de Mostek o el TMS 4164 de
/
Texas Instruments (estos de patillas compatibles) las ocho lneas de direccionado de filas y las ocho de
columna se multiplican en ocho conexiones de patillas. Esto se logra aadiendo dos sefiales de reloj
,

Figura Y-16. DqwcrOn ripca de chip de una RAM dinAn,ica de 64 kb (DRAM).


358 Microelectrnica modernu

generadas extenom~cnrr Ilamdda\ de fijacin de filas (RAS) y de fijacin dc COIUIIIII~~ (CASJ. En la


prctica se aplican los complementos a estas seales RAS y CAS a las patillas fijando los direccionados
de filas y columnas en cl chip. Ademas de las ocho lneas de direc&n, 7 de las 8 patillas restantes se usan
para las dos seales de fijacin. las dc habilitacin de escritura (WE), las lneas de entrada y de salida de
datos, toma de tierra y alimentacin a SV. La ltima patilla no se conecta.
Los DRAM de gran capacidad, como el TMS 4256 de 256 kb 2: I bit, de la Texas Instruments emplea
chips organizados como en la Fig. 9.17. Esta disposicin se aprecia fcilmente en la microfotografa de
la Fig. 9-1X. La memoria est dividida en cuatro partes de 64 kb, cada una de las cuales est organizada
como se indica en la Fig. 9.16. Las 9 lneas para el direccionado de filas y las 9 para el de columnas
necesarias para aeleccio~u~runo de entre 262.144 bit se fijan en el chip por medio de los dircccionados
RAS y CAS. Las 16 conexiones de patillas son: las nueve lneas de direccionado, las dos de datos, dos de
fijacin, la seal de habilitacin de escritura (WE). tierra, y alimentacin a SV. Las seales de control 5
de temporizxin son compatibles con TTL.
Se puede modificar la organizacin bsica de ia Fig. 9-17 de forma que sus 256 kb de capacidad puedan
almacenar 64 K informaciones de 4 bit (64K x 4). La Fig 9-19 muestra uno de tales chips (el TMS4464
de la Texas lnstruments) que est disponible en un encapsulado DIP de 18 patillas. Las cuatro lneas de
datos se emplean tanto para entrada como para salida. Para proporcionar este multplex se aade una seal
de hahiiitwidiz de sa/& (G) ala parte del sistema de control y temporiacin. As, las 1X conexiones dc
patillas se emplean en las ocho lneas de direccin, cuatro lneas de datos, cuatro seales de control y
tiempo (RAS, CAS, WE, G), toma de tierra, y alimentacin a 5V.
J

Figura 9-19. Orgmizacibn de un DRAM de 256 kb en una memoria de 61k x 4 bit (la TMS 4464).

Tambin ss encapsulan mltiplos de RAM dinmica de 64 kb en mdulos contemcndo vara ch+


de forma que x pueden almacenar 64 K informaciones de varios bit. La Texas Instruments encapsula
varios chips 4164 para infonnacione~ de 8 y 9 bit. (TMS4164FMX y TMS 4164EL9 respectivamente).
Tambin exien disponibles mdulos DRAM mayores, basados en el chip TMS 4256 de 256 kb, que
360 Microelectrnica moderna

proporcionan memorias de 256K x 4 bit, 256K x 8 bit y 256K x 9 bit. Adems se encapsulan en un solo
mdulo cuatro chips TMS 4256 como el DRAM de 1M x 1 bit (TMS 4256 FCI).
Las RAM dinmicas descritas tienen ciclos de lectura o escritura del orden de los 250 ns con tiempos
de reposicin de menos de 4 ns. Son corrientes las potencias de trabajo de 300 mW y las de reposo de
unos 12,5 mw.

Y-6. CLULAS RAM BIPOLARES


La principal aplicacin del RAM bipolar es en sistemas que requieran las ms altas velocidades dc
funcionamiento (como en los sistemas ECL). Frecuentemente requieren dos pasos decodificadores.
Consideremos una memoria de 1 kb x 1, organizado en disposicin de 32 x 32. El decodificador de fila
selecciona una de las 32 filas, y los 32 bit (la palabra),sale y se sita en un registrador. Se emplea un
segundo cdigo de 5 bit para acceder al registrador y seleccionar el bit deseado. Anlogamente, el dato se
almacena escribiendo simultneamente toda la informacin. Un circuito de memoria BJT comn como el
de la Fig. 9-20 se denomina clula de emisor acoplado porque las lneas de datos D t y Do estn conectadas
a los emisores de los dos transistores Ql y Q2. Si bien ambos Ql y Q2 tienen dos emisores cada uno, estos
BJT operan en su modo normal y no invertido como en las puertas TTL. Las combinaciones de
transistor-resistencia Ql.RI y Q2-R2 son los inversores acoplados cruzados que forman la clula. Tal
como se ve en la Fig. 9.20 se emplean dos lneas de direccionado de filas, X y X* con diferentes niveles
de tensin. Los niveles de tensin tpicos de X* son V(0) = 1,3V y V( 1) = 4,3V, y los de X son V(O) = 0,3V
y V( 1) = 2V. Obsrvese que los niveles de tensin de X* sirven corno alimentacin del colector V,,. Los
valores de reposo de X y de X* son sus valores V(0). Por reposo se entiende los intervalos de tiempo en
que la clula no es accesible para lectura o escritura. Las lneas de datos DO y D I se conectan al suministro
de 1,5 V (normalmente), a travs de R4 y R3 respectivamente. Al no circular corriente por estas resistencias
durante el reposo, el valor de reposo de Do y DI es de 1,5 V. Este reducido valor de la tensin de reposo
contribuye a disminuir la disipacin de potencia en la clula de memoria. Las tensiones en DO y D I difieren
de 1,5 V slo durante los intervalos de lectura o escritura como se ver a continuacin.
El funcionamiento de la clula se basa en el empleo de los transistores de emisores mltiples amanera
de interruptores de corriente. Los niveles de tensin se eligen de forma que Ql y Q2 no conduzcan nunca
simultneamente. As, Q 1 se emplea para leer (o escribir) un 1 y Q2 para leer (o escribir) un 0. La operacin
de leer o escribir se-gobierna conmutando la corriente en el BJT que conduce desde la lnea de fila (X) a
la lnea de datos adecuada (Do o DI).

Lnea de bit 0
Para escribir un 1, X y X* se sitan en V(I) y DI = V(0). De esta forma el emisor EI de Ql est con
polarizacin directa y circula corriente por Ql. La tensin V,, = V,, decrece y siendo D, = 1,5 V y X =
V(1) ambas uniones de emisor de Q2 tienen polarizacin inversa y por tanto est cortado. Cuando las
tensiones vuelven a su nivel de reposox = V(0) y Do = DI = 1,5V, Q 1 sigue conduciendo ya que Ext tiene
polarizacin directa. La corriente de base para Ql la recibe en cuanta suficiente a travs de Rz. Si bien el
emisor Exz de Q2 est bajo, X* = V(0) hace que VCI = VEZdecrezca desde su valor cuando X* = V( 1) y el
menorvalorde VEZelimina virtualmente cualquiercot+iente de base en Q2. Por tanto es razonable admitir
que Q2 est cortado. Se almacena un 1 en la clula porque Ql conduce y existe un paso de corriente en
la 1neaX (a travs de Ex{).
Con DI = V(1) la lectura del 1 almacenado se consigue haciendo X y X* = V( 1). Esta tensin polariza
inversamente los emisores Ext y En. Puesto que X* = V(1) hace circular suficiente corriente de base a
travs de Rz para polarizar en directo la unin Et, Ql sigue conduciendo. El camino de la corriente se
conmuta a la lneaDI y retorna a tierra por R3 y la alimentacin de 1,5 V. La tensin en DI crece a causa
de la cada de tensin en R3 y direccionando esta mayor tensin se indica la presencia de un 1.
Para escribir un 0, X = V(1) y Do = V(0). Las condiciones existentes en Et y Eo son inversas de las
encontradas para escribir un 1. El funcionamiento del circuito es el mismo salvo que el que conduce es
Q2 con el paso de corriente a travs de Exz durante el reposo. El 0 almacenado se puede leer de la memoria
haciendo X y X* = V( 1) mantenindose Do y D I a 1,W. Por analoga, el 0 es direccionado por el aumento
de la cada de tensin en Rq debida a la conmutacin de la corriente hacia la lnea Do.
El circuito de la Fig 9-2 1 es una segunda clula de memoria BJT generalmente usada cuando el proceso
bipolar empleado permite construir los diodos Schottky SD1 y SD2. Este circuito es una clula acoplada
por diodo ya que las lneas de datos estn conectadas a Ql y Q2 a travs de los diodos Schottky. Las
tensiones de reposo normales para las lneas de fila y de dato son de 2,5 y 1,5 V respectivamente. Durante
los intervalos de escritura la tensin es de 2,5 V. La lectura de un bit almacenado est dirigida por la
disminucin de las tensiones en las lneas de datos. El funcionamiento de la clula de memoria es similar
al del circuito de emisor acoplado de la Fig. 9-20. La conduccin en Ql y Q2 determina si se almacena
un 1 o un 0 y conmutando la corriente en el BJT conductor de una lnea de fila a, o desde, una lnea de
datos, se puede leer un 0 o un 1 de la memoria.
362 Microelectrbzica modernu

9-7. DISPOSITIVOS ACOPLADOS EN CARGA (CCD)


Un MOSFET diseado con un canal extraordinariamente largo y con muchos (- IIJWJ electrodos
(puertas) estrechamente espaciadas entre fuente y drenaje puede funcionar como memoria en serie o como
registrador de desplazamiento. Cadaelectrodo de puerta forma con el sustrato un condensador MOS (Sec.
5-9) que puede almacenar carga. Por ejemplo, si se aplica una lgica 1 a la fuente, una carga ser
almacenada por el condensador ms prximo ala fuente, supuesto que se aplique una tensin adecuada a
la primera puerta EI. Si se elimina de EI esta tensin y al mismo tiempo se aplica a EL aquella carga se
desplazar a Ez. Repitiendo este proceso la carfa se transfiere de condensador en condensador, por lo que
a esta configuracin se le denomina dispositivo acoplado en carga (CCD).
Con estos dispositivos se pueden construir registradores de desplazamiento y memorias en serie de
gran densidad. Teniendo las memorias en serie un uso ms limitado que las RAM, los CCD no se emplean
mucho como elementos de memoria en sistemas digitales. Sin embargo, se encuentran en el procesado de
imgenes y en sistemas de procesado de seales en los que su gran densidad en serie puede ser una
condicin valiosa. Como el procesado de imgenes y de seales digitales abarca una zona importante del
control moderno (especialmente en robtica) y de la tecnologa de las comunicaciones, en esta seccin y
en la siguiente haremos una breve introduccin a las estmctruas CCD.

Funcionamiento bsicodel CCD


Para comprender mejor el funcionamiento del dispositivo descrito en el prrafo anterior consideremos
un sustrato de tipo I, recubierto de una fina capa de xido sobre la que se ha depositado una serie de
electrodos metlicos muy estrechamente espaciados, de los cuales se representan cinco en la Fig. 9.22.
Para facilitar la explicacin supongamos que la tensin umbral es cero y que no hay electrones presentes.
Constderemos la situacin (Fig. Y-22) cuando la tensin en la puerta 3 es + I/ y todos los dems electrodos
estn a tierra. Esta tensin positiva repele los huecos del sustrato debajo de Ei que se desplazan hacia
abajo separndose del SiOz. En consecuencia quedan expuestos iones negativos inmviles formndose
una regin de deplexin debajo de EJ. Las lneas del campo elctrico se extienden desde el electrodo
cargado positivamente, y II travs del dielctrico hasta la regin de deplexin y a las cargas inmviles
negativas. El perfil de potenaal (la vaiacin de tensin en funcin de la distancia paralelamente a la
superficie del xido) es el representado en la Fig. Y-22, que a su vez representa tambin la barrera de
energa p,otencial (depsito) de los electrones, que son los portadores minoritarios. Si en la regin debajo
de Ei se Introduce un grupo de electrones, estas cargas se pueden mover libremente en el depsito, pero
no C~IIL~Tsu pared de energa potencial (Sec. l-2). En otras palabras, mientras exista la tensin +V las
cargas negativas no pueden escapar quedando retenidas debajo de Ej cerca de la superficie del canal.
consideremos ahora cmo la carga almacenada rc de&ua de izquerda a derecha bajo el canal,
desplazndose los bit binarios a lo largo de este registrador dc desplazamiento. Veamos la estructura de
la Fig. 9.230 consistente en 10 placas, estando unidos entre s cada tres electrodos. Si en cl momento,r =
rl las tensiones son QI = + V, Q>,= @ = 0, entonces tal como indica la Fig. 9.23b se formarn depsitos
de energa potencial, como en la Fig. 9-22, debajo de los electrodos 1,4,7 y 10. Los signos menos indican
esquemticamente que la carga se almacena cerca de la uperficie debajo de Et, ET y Eio pero no debajo
de FI4 lo que indica que se ha entrado la informacin digital 1011 en el CCD. En el instante t = f2 la tensin
Qz pasa a + 1/ pero 4>, y Q>:mantienen su valor anterior. El perfil de potencial queda alterado corno
en la Fig. 9-23~. La carga almacenada queda ahora compartida entre dos electrodos adyacentes debido a
la difusin de los electrones del depsito original al nuevo que se ha formado.
Poco despus de haberse establecido la situacin de la Fig. Y-23c, \Qtl empieza a menguar, y en el
momento i = i~, 01 = + V/2 mientras que Q y 4>3no vatin. El perfil de potencia en ti es el de la fig 9.23d.
El campo elctrico deformado por ls diferencias de tensin entre Q>I y & desplaza los electrones al
depsito ms profundo. Finalmente. cuando f = 14y 9>1= 0,9>2 = + V, y <Dx= 0, el perfil d qo?ncial ser
el de la Fig. 9-23~. Corno resultado de estos cambios secuenciales de tensin la disposicin rmc~al de carga
almacenada (1011) se ha desplazado un electrodo hacia la derecha como se ve claramente comparando
las Fig. 9.23b 4 9.23e.
La secuencia descrita representa una transferencia de un electrodo al siguiente del registrador de
desplazamiento CCD. Ya que se necesitan tres tensiones se necesitarn tambin relojes de tres fases. Las
ondas <PI, <Dzy Q? necekas para concordar con los perfiles de la Fig. Y-23 vienen dadas en la Fig. Y-24.
364 Microelectrnica moderna -

en la que tambin se tndican los ttempos II. f2, fj y 14de ta figura anterior. Obsrvese que en fl de la Fig.
9-24, DI = + V, d>z= 0 y @3 = 0 como en la Fig. 9-23; en t2, Q,, = + V, cD~= + V y cD~= 0 en ambas figuras,
etc. La primera transferencia tiene lugar entre ft y r4, la segunda entre tx y te, la tercera entre r7 y fa, la
cuarta entre 01y fto. Evidentemente en cada ciclo de entrada de periodo Ttienen lugar tres desplazamientos.
Durante el intervalo entre desplazamientos (por ejemplo entre t4 y fg) la tenstn del reloj se mantiene
constante y el perfil de potencial inalterado.

Electrodos por bit


En la fig 9-236 se ve claro que si se sita un bit lgico debajo de un electrodo, no se podr almacenar
informacin alguna bajo los dos electrodos siguientes, o dicho de otra forma, una clula de almacenado
consta de tres electrodos, almacenndose en ella un bit. En este CCD el nmero de electrodos por bit es
tres (EIB = 3). La informacin se lee a la salida, es decir el electrodo 10, en el que en el instante t = f-
existe un 1. Segn la Fig. 9-23 se requieren tres desplazamientos antes de que el siguiente bit (el i
almacenado bajo el electrodo 7) pueda ser detectado. Despus de tres transferencias el 0 bajo la puerta 4
aparecer en la salida. Puesto que durante el periodo T se producen tres desplazamientos, la informacin
deber ser leda (o escrita) a razn de una por ciclo de la onda de entrada.
En el razonamiento anterior se ha supuesto para simplificar que la tensin umbral V, es despreciable.
En realidad, todos los niveles sealados 0 en la Fig. 9-23 y 9-24 deben estar a una tensin por encima de
VT para que el campo elctrico penetre en el canal y forme la regin de deplexin.

0
12 f3 r4 15
f6 17 8 9 ful
Fi~uraY-W.Ondasdeexcitacintrif~sicaparaelCCDdelaFig.9.23a.Elperfildepotencialde laFig. 9-23bcorreapondeal
f, de estafigura: la Fig. 9-23~correspondea t2,etc.

Frecuenciasmxima y mnima de funcionamiento


No es posible el funcionamiento de un CCD en rgimen permanente (en continua). Los ponadores
generados trmicamente quedan retenidos en los depsitos de energa potencial vacos y en su momento
cmbia el estado lgico de 0 a 1. Este fenmeno fija un lmite inferior a la frecuencia del reloj (10kHz a
1 MHz).
La clula CCD no requiere ninguna potencia en reposo ya que slo disipa potencia al cargar las
capacidades efectivas de la clula. En consecuencia, el lmite superior de la frecuencia del reloj (de 1 a 30
MHz) se determina por la mxima disipacin de potencia posible. Adems un aumento de frecuencia
Sirtemas integrados a muy gran escala 365

reduce el rendimiento de la transferencia de una clula a la uguiente. Por tanto, la fruuencla mxima debe
limitarse al punto en que las prdidas en la transferencia se hacen inaceptables.

9-8. ESTRUCTURAS CCD


Uu CCD no puede formarse a base de componentes discretos ya que se nezesaa un canal LOII~IIIUOy
nico para establecer el acoplamiento entre las regiones de deplexin. Las puertas (Fig. 9-23) deben distar
muy poco entre s (=lkm) para proporcionar este acoplamiento, y esta separacin tan pequea es difcil
de realirar prcticamente. Para eludir las dificultades de fabricacin se han desarrollado algunas estruc-
turas alternativas con puertas de polisilicio y metlicas. Una de tales estructuras de electrodos de polisilicio
est representado en la Fig. 9-25 para un CCD de tres fases de canal n. Esta estmctura de electrodos planos
emplea puertas solapadas de distintos perfiles. Muchos de estos CCD se fabrican dispuestos en filas
paralelas entre s para cubrir la superficie del chip. Con una separacin mnima entre filas (de 2 a 3 pm)
estaclulade treselectrodosocupaunapequenapartede la superficiedel chipparacada bit. Lasestructuras
de electrodos planos (Fig. 9.23 y 9.25) necesitan relojes de tres fases para transferir cargas longitudinal-
mente en una sola direccin. La construccin de electrodos no planos pemlite emplear relojes de dos fases
que slo necesitan dos electrodos por bit como veremos seguidamente.

CCD de dos fases

La puertzametlica de la Fig. 9.26~ puede emplear un temporiatdo en dos fases. La mitad derecha de
cada electrodo est sobre una capa de xido ms fina que la parte izquierda, con lo que las lneas de fuerza
penetran ms en el sustrato en el lado kquierdo del metal. Por tanto, la regin de deplexin y el perfil de
la energa potencial tienen la misma forma escalonada que los electrodos de dos niveles. Uniendo entre
s electrodos alternos tendremos un sistema de dos fases cuyas ondas del reloj 4>t y Q>2son las de la Fig.
9-27.
Supongamos nuevamenteque la tensin umbral es cero. El perfil de energa potencial estrepresentado
cn la Fig. 9-26 para los tiempos il, t2, ri I r4 de la Fig. 9-27. En el instante i = ij. QI = 0 y Q = V, de forma
quenohay barreradebajodeEt ylaescalonadabajoE2es ladelaFig.9.26h. Supongamosquesealmacena
una lgica 1 bajo El y E, y que indicamos los portadores minoritarios con el signo menos. Es conveniente
colocar estos signos menos prximos al fondo del depsito an cuando en realidad los electrones se
almacenan prximos ala superficie en la posicin longitudinal de mnima energa potencial.
Cuando t = f2, @I = 02 = V/2 y el perfil es igual bajo cualquiera de los electrodos como en la fig 9-26~.
Las flechas en esta grfica quieren indicar que a medida que el tiempo crece de tl a t2 y a f3 la tensin
crece bajo los electrodos impares y disminuye bajo los pares. Por tanto, en el instante t = f3 se obtiene el
perfil escalonado de la Fig. 9.26d. Los electrones almacenados bajo el lado derecho de ET Y E4 se ven
forzados hacia la menor energa potencial quedando rcten~dos baJo Ex y Li respectwamente. Finalmente,
cuando i = t4 en que 01 = V y @z = 0 se tiene el paf1 de la Fig. 9-26~. En el intervalo t+ 11 la informacin
se desplua un electrodo a la derecha. Entre fj y fe se produce un segundo desplazamiento. De acuerdo
con los razonamientos de la anterior seccin, hay dos electrodos por bit (E/B = 2). As pues, la clula de
registrador de desplazamiento tiene dos electrodos, y se debe leer (o escribir) la informacin slo una vez
por periodo del reloj en el intervalo rs-rd o ti- fe llamado intervalo de entradu-salida (I/O).
La Fig. 9-28a corresponde a una excelente disposicin de la estructura de los electrodos en un CCD
de dos fases. El electrodo de polisilicio EI est perfilado como su equivalente metlico de la Fig. 9-26a
con ms espesor de xido en la izquierda. Los iones de tipop implantados bajo el lado izquierdo de Ez en
el sustrato tipop compensan la tensin bajo este electrodo. Cuando se aplica a Ez una tensin positiva los
huecos son repelidos abandonando la alta concentracin de cargas negativas. En consecuencia las lneas
de fuerza del lado izquierdo de E2 terminan en estos iones negativos y no penetran mucho en el sustrato.
Por tanto, el perfil de energa potencial est mucho ms prximo a la superficie en el lado izquierdo que
en el derecho de Ez como se pretende.
Las ondas @1 y Q>2de la Fig. 9-27 esencialmente son ondas cuadradas simtricas que teniendo unos
uzmpos de subida y bajada no nulos forman un solape de dos fases. Resumiendo, tambin pueden
emplearse los impulsos positivos no solapados del reloj para desplazar la carga almacenada bajo una puerta
hasta la siguiente (Prob. 9.18). Esta disposicin puede adaptarse al funcionamiento de una sola fase
haciendo Qv una tensin constante, empleando un corto impulso positivo @z y ajustando apropiadamente
sus respectivas amplitudes (Prob. 9-20).
Tambin se construyen CCD de cuatro fases en los que la disposicin de puerta de polisilicio combina
la estmctura de puerta planar de la fig 9-25 con la no planar de la Fig. 9-28. Durante un ciclo del reloi
tienen lugar dos desplazamientos de datos, necesitndose cuatro electrodos por bit
@, $1 Polisilicio n
^ ^ ,

Figura Y-M. farmtura de electrodossolapadosde un CCD de dos fases

Estructuras de entrada y de salida


En la Fig. 9.29~ se ha aadido una fuente de difusin S y una puena G en el cx~cmv de entrada dc un
registrador CCD. El depsito de potencial debajo del primer electrodo EI acta como drenaje, de forma
que S, G, y EI forman un MOSFET. En S y en G se aplican tensiones con lo que la corriente fluye hasta
tanto el depsito quede cargado ala tensin de S.
La salida se deduce del drenaje de difusin D aadido al extremo de salida del registrador como en la
Fig. 9.29 que detecta la corriente de salida. La deteccin de la tensin y de la carga se consigue
construyendo en el mismo chip un amplificador de salida o aadiendo uno exterior.

Organizacin de una memoria CCD


Los dispositivos de memoria acoplados en carga tienen tiempos de acceso ms lentos que el RAM
debido a su funcionamiento en serie. Sin embargo, el CCD constituye un excelente medio para reponer
memorias para un terminal CRT y es un sustituto econmico para memorias de registradores de
desplazamiento pequeos.
En una memoria CCD la informacin debe desplazarse hacia la salida antes de poder ser leda. El
tiempo de acceso de cada bit en el caso ms desfavorable se denomina tiempo latente. Para un nmero
dado de bit por chip este tiempo latente depende de la organizacin del chip. Seguidamente describiremos
dos organizaciones, comnmente utilizadas, llamadas serpentina y de acceso aleatorio LARAM.
368 Microelectrnica modernu

La serpentma eh la m& fcil de fabnw dc las dos mamonas CCD, y ata reprewaada en la Fig. Y-30.
Es una organizacin sncrona en la que la informacin se va desplazando de clula a clula a manera de
una larga serpiente (de donde le viene el nombre) en un registrador de desplazamiento recirculante.

.r G
da


Figura 9.29. Esucfuras para: (n) inyectar, y (b) detectar cargas en un CCD de canal n

Relojes

Entrada de dato Y Y

El LARAM se disea para tiempos de acceso cortos y consiste en un cierto nmero de memorias
recirculantes cortas funcionando en paralelo, que comparten las lneas de entrada y de salida. Se emplea
un decodificador para excitar aleatoriamente los registradores lo que le da el nombre de memoria de ac~~eso
aleatorio a esta organizacin. El 2464 de Intel es un CCD de 64 kb dispuesto como 256 registradores
independientes de 256 bit cada uno (Fig. 9-3 1). Un decodificador de 8 a 256 lneas puede seleccionar
aleatoriamente cualquier registrador. Las operaciones 110 se llevan a cabo en forma similar a las de un
RAM de 256 bit.

9-9. LGLCA DE INYECCIN INTEGRADA


La igi~~ude inyeccin inregrudu, o ms simplemente IL, desarrollada simultneamente en IY72 por
los ingenieros de la Phillips Research en Holanda y por Laboratorios IBM en Alemania Occidental es una
r&nologa de integracin a muy gran escala que combina la elevada densidad de componentes del MOS
con la mayor velocidad de los BJT. Los avances de la tecnologa MOS, tales como la reduccin de
dimensiones al mnimo, han mermado el empleo del FL. Sin embargo, se pueden adquirir en el mercado
RAM, microprocesadores, y convertidores A/D y D/A en IL. Adems, prosiguen investigaciones y el
desarrollo de esta tecnologa si bien aun nivel inferior, comparado con el de la dcada de su introduccin.
Por ello hemos incluido esta seccin a fin de introducir los principios bsicos de la operacin FL.

Fusionado de elementos
En la fabricacin de bipolares puede aumentarse la densidad de componentes eliminando las resisten-
cias que ocupan superficie y reduciendo notablemente (o suprimiendo) las islas aisladas que separan
dispositivos. Una de tales tcnicas, que se encuentra tambin en los BJT de emisores mltiples empleados
en las puertas TTL y en las clulas de almacenamiento de emisor acoplado consiste en fusionar
componentes. Es decir, que cuando una regin semiconductora es parte de dos o ms componentes, se
dice aue este dispositivo es combinado. Este proceso economiza mucha superficie del chip.
En la tecnologa bipolar normal (Sec. 5.3) si no se emplean islas aisladas, Ioh colectores de todos los
BJT estarn en una capa epitaxial tipo n nica y por tanto a la misma tensin. En la puerta DCTL NOR
bipolar (Fig. 9.32) son los emisores de todos los transistores los que estn a igual tensin (atierra). Estos
emisorespuedenmantenerseatensinconstante silos transmisoresdemltipleemisorsefabricanyoperan
en el modo inverso. 0 sea, cada regin de emisor n + pasa a ser un colector y la regin de colector normal
pasa a ser la de emisor comn para el dispositivo fusionado. Esta tcnica se emplea para formar los tres
colectores y los emisores atierra de la Fig. 9-32 como se ve en la Fig. 9-33a. El sustrato II+ se usa para
mejorar la ganancia de corriente del BJT invertido.

(RI
_---------------chip
1

Inyeccin de corriente
Se puede conseguir una nueva economa de la superficie del chip eliminando de ste R, (Fig. 9-32).
Sustituyendo R, por una fuente de corriente de resistencia de salida (tericamente infinita) de valor mucho
ms alto de lo que se puede fabricar para Ro se aumenta la ganancia de los inversores de la puerta NOR.
Como se indica en la Sec. 6-5 una ganancia alta supone una mejora en el funcionamiento de la puerta. La
fuente de corriente o inyeccin de cowiente se forma empleando el transistorpnp con base a tierra sealado
Q en la Fig. 9-33h. Obsrvese que la resisfencia R, es exferior al chip. El valor de la corriente,
evidentemente es
lo = V cc; VBL
(9-2)

La wrncnte de colector u,l, de Q es tambin la corriente de base del tmns~stw multicolector. La


Implantacin de una regin p adicional en la capa epitaxial n forma el transistor pnp lateral Q para el
inyector. El colector de Q es tambin la base del BJT multicolector con lo que estas regiones quedan unidas
como se ve en la Fig. 9-33a.
Hay que resaltar que todas las conientes de inyector provienen de V a travs de la nica resistencia
R,, exterior al chip. Normalmente un chip se construye con lneas de dikn largas llamadas vas, cada
una de las cuales suministra corriente de base a todos los transistores npn adyacentes a ella. La Fig. 9-34
muestra la vista superior de una posible disposicin de un chip lgico de inyeccin. Un rectngulo
sombreado representa un transistor npn cuya base (la entrada del transistor) se indica con un pequeo
crculo, y cuyos colectores (las salidas inversoras) se presentan con un pequeo cuadrado. Todas las
regiones p estn sombreadas y todas las n se han dejado en blanco. Hemos elegido arbitrariamente el
nmero de colectores en cada transistor multicolector. La posicin de los colectores y de los contactos de
la base de cada puerta PL se determina de forma que se simplifiquen las interconexiones entre puertas
para cumplir la lgica deseada. El inversor inferior izquierdo de la Fig. 9-34 corresponde ala disposicin
de electrodos de la Fig. 9-33. El emisor de cada transistor vertical es la regin n a tierra (no sombreada).
La organizacin de la Fig. 9-34 muestra nicamente ocho inversores, pero naturalmente puede extenderse
vertical y horizontalmente en un sistema integrado a muy gran escala.

i
Figura 9.34. Vista superiorde un chip mtegraao con Igica de inyeccin (IL). Cada crculo representa una conexin de enrrada
(bae p) de un ansistor venical y cada cuadrado una salida (coleclor n).

Con los antecedentes expuestos en el prrafo anterior podremos ahora drvrihir el funcionamiento de
Id) puertas bsicas y de los FLIP-FLOPfabricados con tecnologa PL.

El mversor Q 1 de la fg 9-35 est cargadu por 02. Cada tramtsror esta yolaruuo por una umtente dc
inyeccin I,. Al nivel lgico bajo V, - 0, Ql est en corte y la seal de entrada V, acta como un sumidero

7
7 7
7
Figura.9.35. Lnversor 1% Ql esti directamente acoplado a la etapa siguiente Q2.
pars / de to1ma que IXI = 0 2 I,, = 0. Por tanto, I,, = 1 y Q2 est 2 conduw1n. por lo que VBL2= 0,75v
= Vc:, Por otra parte, si la entrada es alta V, = 0,75 V, ia corriente de base I,, crece por sobre 1, y Ql tiende
a saturarse. En consecuencia, V,,, cae muy bajo ( = OV). Ahora Q2 est en corte porque Ql acta de
sumidero para 1 de Q2 con lo que I,, = 1 reduciendo IB2 a cero. Evidentemente se ha producido una
inversin por Q t ya que Vo = 0,75V para \! = 0, y Vo = 0 para V, = 0,75V. La variacin lgica es de unos
0,75V dependiendo su valor exacto de la corriente de polarizacin /,,
Obsrvese que en saturacin la corriente de colector es1, y la de base vale aproximadamente lo mismo.
Por tanto para llegara saturacibn basta una ganancia de comente en emisor comn p, de tan slo la unidad.
Un transistor trabajando en inverso tiene un valor de 8, mucho menor que normalmente ( = 100). No
obstante, se pueden conseguu fcilmente ganancias de corriente en emisor comn mayores que la unidad
(entre 2 y 10) para el transistor.
Cuando un inversor pasa de un a otro estado la tensin de las capacidades del transistor deben variar,
provocando un retardo Pden la propagacin. Las corrientes de carga (y de descarga) de estas capacidades
las suministra el inyector. Valores grandes de 1, llevan valores pequeos de fDd,per esto es a expensas de
disipar ms potencia.
Otra ventana de la configuraan IL es que puede trabajar dentro de una amplia gama de velocidades
variando simplemente la corriente total de inyeccin, al variar la nica resistenciaR,. El campo de trabajo
va desde aproximadamente 1 nA a 1 mA. Una vez se ha diseado y construtdo el chip se puede aJusta la
velocidad al valor deseado cambiando R,

Puerta NmI)

Es extremadamente sencillo obtener una puerta AND en lgica de inyecaon. En la Fig. q-jbu, YI es
uoa variable lgica en la salida de un inversor FL, e Y2 es otra variable en el colector de una segunda
puerta FL. Conectando entre s Yt e Yz tendremos Y = YtY2 en el nudo comn de esa figura. Si se aplica
Ya la entrada de un inversor, la salida ser la funcin NAND Yt Yz como se aprecia en la figura.
Si A(B) es una variable lgica aplicada exteriormente, para tenerA en el colector de una puerta IL
se debern emplear dos inversores en cascada como se indica por las lneas continuas de la Fig. 9.36. De
esta forma se obtiene la funcin NAM AB.
En el Captulo 6 se comprobo que tudas las turnumx lglw cor~~bu~ar~va~
__ ~-,,uedcri gc,~crarx medxmt,
puertas NANDexclusivamente. Segn la ley de Margan (Sec. 6.3), A f B = AB y por tanto se obtiene la
funcin NORde la puerta AND interconectada con entradas A y B, lo que queda representado por las Ineas
de trazos de la Fig. 9-36h. Obsrvese que los dos transistores de entrada tienen cada uno de ellos dos
colectores mientras que los otros tres inversores tienen uno solo.

Los kcultu\ secuenciales tales como los registradores y contadores vistos en el Cap. X 5~:basan 211
~1.1~~FLoPS que se forman fcilmente con puertas IL. La clula de almacenamiento de 1 bit o biestable de
la Fig. X- lh se reproduce en IaFig. 9.37a, mientras que el esquema de conexiones resultantes de las reglas
anteriores se representa en la Fig. 9.37h.
Apartirdeloscircuitos 12Lbsicosde IasFig. Y-35 ay-37 sepuedenconstruirRAMestticos,memorias
en serie (como las descritas anteriormente en este captulo), y una variedad de circuitos secuenciales y
combinatorios.

Y-LU. MICROPROCESADORES Y MICROCOMPUTADORES


Un rru( roprocesailor es un sistema de un solo chip conteniendo circuitos aritmticos, log,:lw~ y de
gobierno de un sistema de procesado de datos de uso general y de clculo. Muchos microprocesadores
modernos contienen tambin una reducida memoria o tienen incorporado un circuito de reloj en el mismo
chip. Esta combinacin de circuitos (sub-sistema) constituye la unidad central de procesado (CPU) del
sistema. La organizacin interna clsica de un microprocesador representada en la Fig. 9-38 muestra los
principales subsistemas incorporados.
Los procesadores adquiribles en el mercado pueden tener capacidades de informacin de 4.8, 16 y 32
bit. P,,, construir microprocesadores se emplean todas las tecnologas tratadas en este libro. La tecnologa
dommante es la MOS con puerta de polisilicio de 2 pm, tanto en NMOS como PMOS.
Para funcionamiento a gran velocidad se emplea una tecnologa bipolar tal wmo la familia TTL ALS.
La macrofotografa de la Fig 9-39 es la del procesador CMOS de 32 bit con referencia MC68020 de
Motor&. Este chip mide aoroximadamente 9,5 x 8,Y mm, y contiene ms de 200.000 transistores.
kgistrador de estado
t 1 l I
La organizacin fimcionzl mostrada eu la FI# Y-39 indica que en el chip val mcorporada~ cierto
nrner~ de secciones (ROM, PLA, cumplimentacin de datos, etc) que son circuitos individuales
integrados a muy gran escala. Esta tcnica de integracin de varios subsistemas en un chip nico es la ms
empleada para disear una integracin a muy gran escala.

Microcomputadores
Para poder cumplir con todas las tareas asignadas a un computador es necesario tiadx a la urndad
entra1 CPU una memoria adicional, circuitos de gobierno y adaptadores perifricos para los dispositivos
de entrada-salida (I/O). La fig 9-40 representa un diagrama de bloques de tal sistema. En computadores
especficos, es decir, los diseados para cumplir tareas concretas, como es el caso de los sistemas de
inyeccin electrnica de carburante, se emplea un ROM no voltil para almacenar el programa y las tablas.
Si el programa no est completamente definido se emplean PROM, EPR.3M y EEPROM. El almacena-
miento temporal reside en los chips RAM, y en caso de gran volumen de memorias se emplean discos
magnticos (rgidos o flexibles). Los dispositivos de entrada-salida (I/O) comprenden teclados, tubos de
rayos catdicos, e impresoras. Los Gstemas de comunicaciones o de control basados en los microproce-
sadores emplean frecuentemente transductores y convertidores de altema/continua y de continua/altema
que transforman cantidades fsicas en seales digitales y viceversa.
Otros ab-sistemas de control y de procesado se disean para actuar conjuntamente con el CPU (no
representado en la fig Y-40) comprendiendo coprocesadores y chips de manipulacin de memorias. Los
coprocesadores tales como el MC68881 de Motorola, diseado para trabajar con el MC68020 amplan la
capacidad de clculo del CPU. El acceso directo ala memoria lo facilita la manipulacin de la misma, lo
que permite la transmisin eficaz de informacin a (o desde) el RAM desde (o a) los discos magnticos.
El nmero de chips auxiliares circundando el procesador puede llegara ser de unos 100, estando montados
todos los chips sobre circuitos impresos (PC) de tamao no mayor que esta pgina. El nmero de
transistores equivalente en este montaje frecuentemente supera el milln.

Microcomputador de un chip
La integracin con el CPU de los circuitos de control, temporkacin, memoria ROM y RAM, acceso
110 y perifricos forman un micracomputador en un chip nico. El MC68HC11, cuya microfotografa es
la dc la Flg. 9-41. e, uno de tales chtps. Contiene ms de IO(I.DWJ tranristorz. en un volumen de
6,5 x 7.4 mm y comprende un CPU, temporizacin, I/O, memoria (RAM. ROM, EEPKOM) y convertidor
altema/continua. La Fig. 9-4 1 muestra tambin el espacio relativo necesario para los tres tipos de memoria.
Empezando por el fondo del chip. la primera franja contiene 512 bit del EEPROM. Los X192 del ROM
forman la xgunda franja, y la tercera la forman los 2% del RAM. El microcomputador de un chip puede
aumentarse mediante otros chips. tanto para ampliar la capacidad de memoria como para establecer la
relacin con una variedad de I/O y de elemento5 de comunicacin.

Aplicaciones
Un estudio detallado de las aplicacione!, de los microprocesadores sobrepasara lus obJctlv0~ de este
libro. Ciertamente, muchos departamentos de ingeniera elctrica y de computadores ofrecen (y veces
solicitan) cursos de diseo digital, arquitectura de computadores, y diseo basado en los microprocesa-
dores, cn los que se trata de sus aplicaciones. La siguiente lista abarca el campo en el que se aplican
microprocesadores.
En el procesado de seales digirales intervienen los microprocesadores. Los procoadores programa-
bles en un solo chip, como el TMS32010 de Texas Instruments, son capaces de ejecutar las funciones en
tiempo real del anlisis espectral, filtrado digital, comprensin hablada, forma de datos y sntesis musical.
Estas variadas aplicaciones ya sealan que el microprocesador se ha convertido en el principal
componente en los sistemas electrnicos. Su naturaleza programable le da flexibilidad suficiente para
preparar diversas funciones con los mismos elementos. En realidad su campo de aplicaciones no tiene
ms lmite que la imaginacin de quienes los usan.

Consumidores elecfrnicus Instrumentacin


Calculadoras Equipos de auto-calibrado
Relojes digitales Equipos de gobierno de radiaciones
Juegos de video Sistemas de anlisis de laboratorio
Instrumentos de control Registradores de datos
Sistemas de entretenimiento domstico Aparatos para diagnsticos
Sistemas de seguridad domstica Instrumentos mdicos
Gestin de la energa domstica. Sistemas de seguridad y deteccin de incendios.
Comercio r Industria Oficinas y negocios
Control de mquinas y procesos Terminales de las redes de calculadoras
Control de trfico Equipos automticos de bancos
Navegacin Terminales en puntos de venta
Sistema de aterrizaje automticu Terminales de las reservas
Inyeccin electrnica de carburante Terminales de control de almacenes e inventario
Control de emisores (polucin) Mquinas copiadoras.
Comunicacin de datos.

REFERENCIAS
Hodges, D.A. (ed.): Semiconductor Memories, IEEE Press, Nueva York, 1972.
Elmasry, M.I. (ed.): Digital MOS Integrated Circuits, IEEE Press, Nueva York, 1981.
Hodges, D.A.: Microelecrronic Memories, Scienfific Ameritan, val. 237, ny 3, pp. 130-145, Septiembre, 1977.

IEEE Journal oj.Wid-Sta@ Circuits, edicin especial anual sobre Igsa de los semiconductores y memona,
Octubre 1970 hasta el presente.

Ulgesr uf Technical Papen, Intemational Solid-State Circuits Conference, anuario de 1954 hasta el presente.

Hodges, D.A., y H.G. Jackson: Analysis and Design of Digital Integrated Circuits, McCraw-Hill Book
Company, Nueva York, 1983.

Mead, C., y L. Conway: Introduction to VLSI Systems, Addison-Wesley Publishing Company, Readiog,
Mass., 1980.
I.E. Smith (ed.): Integrated Iqjection Logic, [EEE Press, Nueva York. 1980.

IEEE Trunsacrions Electron Devices, val. ED-23, n 2. Edicin especial sobre dispositivos de carga y
transferencia, Febrero, 1976.

TEMAS DE REPASO
9-l. (~1) Dibujar el circuito de un mversor MOS dinmico de una sola fase.
(h) Explicar su funcionamiento.
9-2 Ctense tres cualidades importantes de un MOSFET en un inversor dinmico.
9-3. Explquese qu se entiende por inversor de relacin.
378 .Microelecmnica mudernu

Y-4. (uj Dlhu]ar el ~KXII~ de una etapa de un registrador de dcspla&urnemo NMOS dmm~co dc relacin, de
doa fases.
(6) Dibujar las ondas del re1o.j.
(c) Explquese el funcionamiento del circuito.
Y-5. (u) Dibujare1 diagrama de bloques de un registrador de debplarmlenro rccirculznte.
(h) Explquese su funcionamiento incluyendo la forma dc escribir y de leer no destructwamente.
9-6. Explquese cmo obtener una memoria en serie capaz de almacenar 5 12 informaciones de 8 bit cada una.
Y-7. (a) Esbozar el circuito de un inversor MOS de no relacin.
Explicar su funcionamiento si: (h) y, = 0 y (c) si L, = V,,.
9-8. Repetir el tema 9-5 para una etapa de regatrador de desplazamiento de no relacin.
9-9. ((I) Dibujar una etapa de un registrador CMOS dinmico.
(h) Explicar brevemente su funcionamiento.
Y-l& io) Dibujar el circuito de una puerta AOI de lgica domino.
(h) iCmo se puede convertir en una puerta .WD- OR?
Y-11. (U) iCul es la principal ventaja de la lgica CMOS domino
(h) Explquese.
9-12. Esbozar las ondas de un reloj de dos fases con solape y sin l.
9.13 (a) Citar CU.%COventajas de las memorias dc acceso aleator<> scmlLonductora sobre las de ncleo.
(b) iQu ventajas tiene la memoria de ncleo sobre la RAM?
9.14. (u) Dibjese el diagrama de bloques de una memoria de lectura-escritum de 1 bit.
(b) Explicar su funcionamiento.
9.15. Explicar la seleccin lineal en una memoria de acceso aleatorio (RAM).
9-16. Repetir el tema 9-15 para un direccionado hidimensional.
9-17. (a) Dibjeme en forma de diagrama de bloques los elementos bswx de un RAM con direccionado
bidimensional empleado para almacenar cumo infomuacioncs de 1 bit.
(h) i,Cmo se ampla este sistema para informaciones de 3 bit!
Cc) ;,Cmo se amplia este sistema para 25 informaciones de 3 bit cada una?
9.18. iCmo se amplia la memoria de un RAM de 1024 x 1 hasta uno de 4096 x 1~
9-19. Explicar cmo se ampla un RAM de 1024 x 1 hasta uno de 1024 x 16.
9.20. (u) Esbozar el circuito de una clula RAM esttica de 6 MOSFET.
(h) Explicar brevemente su funcionamiento.
9-21. iCuntos transistores se evitan al pasar de una clula csvtica RAM de 6.MOSFET a und dmmlca de
4.MOSFET cn un RAM de 64 kb? Explquese.
9-22. (a) Dibujar una clula dinmica RAM de 4.MOSFET.
(b) ~Por qu se necesita un circuito adicional para renovar los datos almacenados en la clula?
9.23. (0) Mostrar cmo se Gta la clula de 4.MOSFET dci tema 9-22 en un RAM.
(0) iQu dispositivos estn relacionados con una columna dada?
(c) Explicar la funcin que desempea cada MOSFET.
9.24. Dibujar una clula dc memoria dinmica de I-MOSFET y explicar brevemente su Suncionamirnro.
9-25. Mostrar la organiacin de un DRAM de 64 kb.
9.26. icuntos transistores se evitan al pasar de una clula de 4.MOS a una clula dinmica de 1 MOSFET en un
DRAM de 256 kb!
9.27. (a) Esbozar el diagrama del circuito de una clula RAM de emiwr acoplado.
(b) Explicar su funcionamiento.
9.28. i,Por qu la clula RAM bipolar normalmente necesita dos pasos de decodificacin?
9.29. Ctense cinco caractersticas importantes del RAM.
9.30. (0) Explicar cmo se forma un depsito de energa potencial bajo un eleclrodo de un CCD.
(b) Si el susfmto es de lipop i,son los electrones o los huecos los que quedan capturados en 21 dcpbllo?
9.31. Consideremos un CCD con electrodos planos y excitacin de tres fases:
(u) i,Cutitos desplazamientos de carg8 ocurren en un ciclo?
(b) <,Qux entiende por electrodos por bit?
(c) iCul es el valor de EiB en este CCD?
9-32. Que es lo que determina: (a) la frecuencia mnima de funcionamiento de un CCD, y (b) la lircuencr mxlnril.
9.33. (0) Esbozar esquemticamente la forma de los electrodos en una memoria de dos fases acoplada en carga.
Sisemas integrados a muy gran escala 379

(h) Dibujar lasondaa de exc~raan


(c) ~Cuntos desplazamientos de cargas hay cn un uck!
Y-34. Explicar cmo se introduce la informacin en el registrador pua la manuna CCD del lana ~n~~wr.
9-35. Describir la organizacin en serpentina de una memoria CCD.
9-36. Repetir el tema anterior para la organizacin LARAM.
9-31. Dar cinco razones de por qu en la integracin a pequea escala el MOSFET domma sobre 21 BJl
9.38. Definir el fusionado de elementos.
9.39. (a) Explicar por qu en DCTL no se necesita resistencia de colector y por qu puede WSU~UISZ1)~ una
fuente de corriente.
(h) Indicar tal inyector de corriente.
(c) Qu elementos del inyector son exwuxz~ al chip?
9.40. (a) Dibujar la seccin uansversal de un inversor IL, incluida la fuente de wrntn~e.
(h) Mostrar el modelo de circuito de una unidad IL.
9-41. Explicar el funcionamiento de un inversor 12L.
9-42. (n) A y B son accesiblesen los colectores de dos inversxe~ 14
Mostrar cmo se obtiene la funcin NANDAB.
(b) Repetir el apartado anterior si A y B sc aplican exteriormzntc.
Y-43 Mostrar cmo se consigue la funcin NORA + B con PL.
9.44. Mostrar el esquema de conexiones de un biestable con IL.
9.45. iQu funciones se necesitan en un chip microprocesador?
9-46. En qu difiere un microcomputador de un microprocesador?
TERCERA PARTE

Circuitos y sistemas
amplificadores

La elec:rrmca moderna se apoya en la disponibilidad dc dispositivos fiables para la amplificacin


de seaks. Sin ellos se puede decir que casi todos los sistemas de comunicaciones, control,
instrumentacin y clculo seran impracticables. En las Sec. 3-1 y 4-l se demostr que la fuente
gobernada es un elemento amplificador. Adems en esos mismos captulos vimos que tanto el BJT
como el FET se comportan como fuentes gobernadas cuando se polarizan apropiadamente. En esta
parte del texto examinaremos cmo se utilizan transistores en etapas amplificadoras y a su vez cmo
se interconectan tales etapas en sistemas de amplificacin. Cuando se dan caractersticas de fuente
gobernada los transistores responden linealmente. En consecuencia el anlisis de circuitos amplifi-
cadores conduce por s mismo a emplear modelos incrementales de pequea seal (Sec. 3-10 y
4.14).
Los dos primeros captulos de esta parte tratan del comportamiento de las configuraciones bsicas
del amplificador de transistores. En el captulo 10 nos referiremos especialmente al funcionamiento
del circuito a baja frecuencia y al proceso de polarizacin. La respuesta en frecuencia y las
limitaciones en el comportamiento de estos circuitos bsicos ser tratado en el captulo ll. El
importantsimo tema de las realimentaciones y su empleo en el gobierno del comportamiento del
circuito ser el objeto del captulo 12 mientras que la estabilidad y la respuesta en frecuencia lo ser
del 13. El objetivo del Cap. 14, en el que se describe detalladamente el amplificador operacional,
(el circuito integrado analgico predominante IC) es doble: (1) este sistema amplificador en un chip
es un componente esencial en circuitos de procesado de seales y de adquisicin de datos; esto
servir de introduccin a la cuarta parte, y (2) se resaltan las tcnicas de diseo de amplificadores
y el material de los cuatro captulos anteriores se integra por el estudio del amplificadoroperarional.
Etapas amplificadoras ml
bsicas a baja
frecuencia

En los captulos 3 y 4 se desctibieron el funcionamiento fsico, las caractersticas volt-amperio y el


comportamiento corno elementos del circuito de los transistores bipolares de efecto campo (FET). Ahora
utilizaremos estos conocimientos para analizar el comportamiento de las etapas amplificadoras bsicas
BJT y FET.
En la Sec. 3-3 se demostr que un BJT acta como fuente gobernada cuando est polarizado en la
regin directa activa. Anlogamente, un FET funciona como fuente gobernada cuando est polarizado en
la regin de saturacin (Sec. 4-2). En las Sec. 3-9 y 4-13 se vio que se pueden usar los transistores como
amplificadores cuando estn adecuadamente polarizados. Los transistores se polarizan en su regin
adecuadamediante la aplicacin externa de tensiones y corrientes continuas. Es decir, esta fuente constante
(en el tiempo) establece un punto de trabajo. Las seales de entrada variables (por ejemplo, la corriente
de base y la tensin puerta-fuente) se superponen a los valores de reposo para dar una seal de salida
variable con el tiempo (corriente de colector, tensin de drenaje, etc). Lo que se pretende amplificar es la
seal variable de entrada; la excitacin constante ya establece la polarizacin apropiada. En los Cap. 3 y
4 vimos que para determinar los niveles de reposo se empleaban mtodos grficos y modelos en continua
Adems, el circuito equivalente de pequea seal constitua una representacin adecuada del transistor
que nos permita obtener la seal de salida gobernada por la de entrada variable. El empleo del modelo
de pequea seal se basa en el comportamiento aproximadamente lineal del BJT en su regin activa y del
FET polarizado a saturacin.
Idealmente, la seal de salida de un amplificador debeda reproducir fielmente, a nivel ms alto de
energa, la onda de entrada. Como sea que los dispositivos reales adolecen de limitaciones propias, los
circuitos de que forman parte no pueden responder como ideales. El campo de amplitudes de entrada que
pueden ser procesadas eficazmente (llamado campo dinmico) es restringido. Una consecuencia de esta
limitacin es la distorsin, que es el grado en que la salida no se identifica con la entrada (Fig 10-26). No
siendo los transistores unas fuentes gobernadas ideales, como resulta evidente de su circuito equivalente,
existirn restricciones tanto en la amplificacin o ganancia alcanrable como en el campo de frecuencias
con las que se puede conseguir la amplificacin. Los valores de parmetros usados en el circuito
equivalente y el campo dinmico dependen ambos de los niveles de reposo. A su vez, el comportamiento
dinmico es un factor para la seleccin del punto de trabajo. Adems la localizacin del punto de trabajo
debe controlarse porque las caracterfsticas del dispositivo varan por las tolerancias de fabricacin y por
los cambios ambientales como es la temperatura.
El prrafo anterior subraya varios aspectos de los amplificadores de transistores de los que tratamos
en este captulo. La seccin inicial est enfocada hacia los mtodos para conseguir un punto de trabajo
estable tanto en los circuitos integrados como erglos formados de componentes discretos. Sigue un estudio
detalladode lasconfiguracionesdelamplificadurBJT bsico ydelasetapas FETanlogas. Enestecaptulo
nicamente trataremos del funcionamiento a baja frecuencia en el que se pueden despreciarlas capacidades
internas del dispositivo. Los efectos de estas capacidades se investigarn en el Cap. 11.
384 Microelectrnica mudenza

Muy fr~~entcmente se dlsponen varizasetapas en cascada para amplificar la setial de una fuente, tal
como la cabera de un toca-discos, hasta un nivel adecuado para la actuacin de otro transductor corno
sera un altavoz. En consecuencia, consideraremos el comportamiento a baja frecuencia de los amplifica-
dores en cascada. Tambin haremos una introduccin al amplificador operacional, que es un circuito
integrado muy empleado en sistemas amplificadores en cascada.
Ya que en un transistor amplificador existen tensiones y corrientes tanto continuas como variables er.
el tiempo, en la seccin inicial de este captulo se expondrn las notaciones empleadas para distinguir los
diversos componentes.

10-l. ONDAS PARA UNA ENTRADA SENOIDAL


Cunslderemos la etapa en emisor comn representada en la Fig. IU-IU, en la que las fuentes I,, y Vcc
proveen la polarizacin, siendo la corriente de fuente i,(t) la seal a amplificar. En la Fig. IO-lb se
representan dos series de caractersticas de colector; la de trazo continuo para p, = 50 y la de trazo
discontinuo para p, = 125, todo ello para el transistor de la Fig. 10-1~. Los dos puntos de trabajo, Q, para
p, = SOy Qz para p, = 125 estn sealados sobre la recta de carga correspondiendo a V, = 1OV y R,. = IkR.
Estudiemos primeramente la situacin para /3, = 50. En la Fig. IO- 2a se ha trazado la caracterstica de
transferencia aproximada del circuito de la Fig. lo-la, v0 = vc en funcin de i, tal como se indic en la
Sec. 3-8. Obsrvese que Q cae un la porcin lineal de la caracterstica entre corte y saturacin. Para una
seal i,(f) = 1, sen Wf = k?lb sen wr= 20 sen wt PA, la corriente instantnea de base,i, = I,,
+ i, vara knoidalmente alrededor de Q, y 40 5 i, 5 80 pA (entre los puntos A y 5 de la caractenstlca de
transferencia). Como se ve en la Fig. lo-Za, el BJT se mantiene en la regin activa $recta durante todo
el recorrido alrededor de Q, motivado por i,(r). Por tanto, la onda de salida resultante es la reproduccin
fiel de la senoide de entrada como se indica por la curva de trazo continuo de la Fig. lo-2b.
Segn la ley de Kelvin aplicada a la Fig. lo- la, se tiene vc = i,R i + V,, o bien i,. = (V,,- v,)/R, lo que
indica que i, contiene componentes constantes y variables en el tiempo. Asimismo, toda corriente o tensin
del BJT tiene una componente en continua y otra variable en el tiempo. Cuando la operacin est confinada
enlaporcinlinealdelacaractetisticade transferenciadelaFig. IO-2ael transistorseconduce linealmente.
LasondasdelaFig. 10-3 representanlascorrientes y tensionesdel BJTparaunaentrada senoidal y suponen
Etapas amplijicadoraF bdsicns a baja frecuencia 385

(0) @)
k+gura 10.2. io) Caraax~t~a de transferencia (v,, en funcin de I ) del circuito de la hg. lU-1 a (p, = 50). (b) La onda de xuma
(trazocontinuo)esunar~p,icade,asenoidedeenrrada(,, = ZOPA). &Ib = 80@ se deforma lmndade salida (tiazodiscantinuo).
c b

t t

un funcionamiento lineal. Cada onda de esa figura contiene una senoide nos distorsionada, superpuesta a
un nivel de reposo. Una consecuencia del funcionamiento lineal es que la componente en continua de la
respuesta hay que atribuirla nicamente a la excitacin en continua y la componente variable slo a la
seal de entrada.

Notaciones
4 fin de evitar confusiones, el lnstitute for Electrical and Electronic Engineers (IEEEIn ha adoptado

-
386 Microelectrnica moderna

una terminologa nomulizada para los smbolos representativos de los componentes especficos de las
corrientes y tensiones. La Tabla 10-l comprende los signos correspondientes al transistor bipolar y la
Tabla 10-2 los correspondientes al FET.
Los criterios seguidos son los siguientes:
1. Las minsculas i y v designan valores instantneos de corrientes y tensiones.
2. Las maysculas Ve 1 significan valores eficaces (o de pico) de las componehes en alterna o vatwcs
medios en continua de todas las cantidades.
3. Los sub-ndices en minscula se utilizan slo con las componentes de tensin o corriente variables
con el tiempo.
4. Los sub-ndices en mayscula se refieren a cantidades totales en continua.
5. Los dobles sub-ndices se refieren avalores de alimentacin.
6. Las corrientes se consideran positivas cuando entran en un terminal desde el circuito extenor.
7. Las tensiones se consideran positivas cuando se miden respecto al nudo de referencia (normalmente
tierra). Para las tensiones medidas entre un par de terminales (no la referencia) se emplea un subndice
adicional. As vcEes la tensin instantnea entre colector y emisor
8. El subndice adicional Q se aade a las variables del circuito para indicar valores de reposo.

~ab,a 10.1 Smbolos de tensiones y corrientes para el transistor bipolar

Componente Total
variable (CC+ ca)
Reposo
ben1 Alimentacin (esttico) Inst. Eficaz Inst. Eficaz
Tensin colector CC VC, . v oc c
Corriente colectoir Icc L, i, 1, zc Ic
Tensin base VB, .c! h 8, 08 va
Corriente base 18, Lu ih 1, ze 1,
Tensin emisor ti, VW u. v. O& &
Corriente emisor I,E Lu i 1.. ib 16

Tabla IU-2 Smbolos de tensiones y corrientes en el FET

Componente Total
variable (CC+ ca)
Reposo
kan Ahmentacin (esttico) Inst. Eficaz Inst. Eficaz

Tensin drenaje <M> 0 iid Vd co vz,


Corriente drenaje Im LU Id 1.t 81, 1
Tensin puerta m VW ox v, L V,
Corriente puerta Im I,, i, L 1G Ic
Tensin fuente 5, 3, c, V. 0s 5
Corriente fuente 13, Lu i, 1. II Is
Etapas amplijkadoras bsicas a baja frecuencia 381

A manera de ejemplo de notaciones tomemos la tensin de colector. La cantidad total es la suma de


las componentes en continua y en alterna, lo que se puede escribir

uc = v, + , (10-l)

que segn la Fig. lo-36 se convierte en

vc = v,, + VTV, sen ox (10-2)

Obsrvese que la componente en continua de la Fig. 10-3~ es la tensin de reposo V, ya que el valor
medio de la senoide durante un perodo es cero. Esto es a consecuencia del supuesto de 3.mealtdad. Si la
seal instantnea se distorsiona como en la curva de trazos de la Fig. 10% el valor medio de vc nO Ser
VcQdebido al comportatmento no lineal del transistor.
Para meJor comprender las notaciones consideremos cmo han sido medidas las cantidades de las Ec.
(10-l) y (10.2). El valor instantneo vces lo que se observa en el osciloscopio cuando el mando selector
est en continua. Si est en alterna, en la pantalla slo aparece v<.
La Ec. Il O-l) puede escribirse

Y = v;V, = A vc

en donde se ve que Y, es el cambio incrementa1 de vc. Recurdese que los cambios incrcment&s son la
base para el desarrollo de los circuitos equivalentes de pequea seal. Estos modelos nos permiten
determinar analticamente los componentes variables en el tiempo de la respuesta en lugar de hacerlo
grficamente como en la Fig. 10.2b. De hecho, cott seales muy pequeas, la tcnica grfica obliga a
interpolar entre las caractersticas sealadas en la Fig. 10-k lo que da muy poca precisin.
En otras secciones de este captulo suponemos un funcionamiento de pequea seal (incremental)
utilizando los circuitos equivalentes desarrollados en la Sec. 3-10 para el BJT y en la Sec. 4-14 para el
FET. Con estos modelos es conveniente obtener las respuestas de las etapas del amplificador empleando
las tcnicas de anlisis de redes convencionales. Juntamente con el anlisis en continua de las prximas
secciones, pueden calcularse las tensiones y las corrientes totales.

10-2. PUNTO DE FUNCIONAMIENTO DEL BJT


El transistor bipolar funciona ms linealmente cuando se limita a trabajar en la regin directa activa.
El punto de trabajo debe elegirse de forma que el transistor se mantenga en todo momento en su regin
activa, para lo que se superpone una seal variable al nivel de reposo. La distorsin de la seal de salida
se produce si se ha elegido un punto de trabajo que sature, o corte (o ambas cosas) el BJT al aplicar una
seal. Ahora surge la cuestin de corno elegir el punto de funcionamiento. Enfocaremos la cuestin por
medio de la etapa en emisor comn de la Fig. 10-k cuyas caractersticas se ven dibujadas en la Fig. lo-
Ib para p, = 50 (trazo continuo) y p, = 125 (trazo discontinuo).
La situacin para p, = 50 ha sido vista en la seccin anterior, en donde ya observamos que con i,(t) =
20 sen 6X PA, se restringe el funcionameinto a la parte lineal de la caracterstica de transferencta
obtenindoseunasealdesalidanodistorsionadav,,(curvaen~azocontinuodelaFig.10.2b).Sinembargo,
si aumentamos la amplitud de pico de i,(t) por encima de 60 l.tA (por ejemplo a 80 FA) el transistor pasa
acorte durante el semiciclo negativo de i,(t). La curva a trazos de la Fig. 1O-26 representa la onda de salida
cortada (distorsionada) en esta situacin. Ya que la caracterstica de transferencia es aproximadamente
lineal para U < i, < 180 PA, podemos eliminar esta distorsin cambiando Q, de posicin para que
388 Microelectrnica moderna

corresponda a I,, = 90 FA. Con este valor de I,, la seal hace que 10 < i, < 170 PA y nuevamente el
funcionamiento queda dentro de zona lineal de la. caracterstica de transferencia.
La Fig. 10-4~ es la caracterstica de transferencia del circuito de la Fig. 10-1~ para p, = 125 y est
basada en la caracterstica sealada a trazos de la Fig. lo-lh. La aphcactn de una seal i,(t) = 20 sen
uf WA hace que el BJT se sature durante el semiciclo positivo dei, produciendo la onda recortada de IaFig.
10.4h. Mientras que una seal de 20 pA de pico puede ser procesada linealente situando Q, ms alto sobre
la caracterstica de transferencia (reduciendol,,) no se puede seleccionar ningn punto de funcionamiento
que d una salida sin distorsin si la amplitud de pico de i, es de 80 FA. Basndose en la caracterstica de
transferencia, la mxima seal senoidal que puede reproducirse sin distorsin tiene una amplitud de unos
39 PA. En realidad, se debe emplear un valor algo ms baio, porque la caractetistica de transferencia
real no es lineal en las proximidades de corte y de saturacin. As, la magnitud de la seal determina el
lmite superior de la zona dinmica.. El lmite inferior (seal mnima que se puede procesar) generalmente
lo determina el nivel de mido del sistema.

No se puedeelegirarbitrariamentecualquierpuntode trabajo sobre lareginactiva, porque las distintas


condiciones de los transistores limitan la zona de funcionamiento til. Estas condiciones (Apndice B),
que no deben sobrepasarse son: disipacin mxima de colectorP,,n,ax,, tensin mxima de colector Vcwmax,,
corriente de colector mxima I,,,,, y mxima tensiw? hav-emisor VB,,,ax,,

Estabilidad de polarizacin
En la seccin precedente se ha supuesto que se empleaban dos transistores, uno de ellos con Pr = 50
y el otro con p, = 125. Esto es clsico para los valores de p, de los transistores que se encuentran en la
prctica. (Vanse las especificaciones de los fabricantes en el ApndlCe BI). Las varmmnes de p,
representan las variaciones de fabricacin de una a otra unidad. Obsrvese sin embargo que esto no quiere
decir que las tcnicas de fabricacin sean deficientes. El proceso de fabricacin controla slo uf [no
P,=a~(l-a,% yaque c$> est relacionado con la geometra y con los niveles de dopado empleados.
yaa un transistor con un recorrido de p, de 50 a 125 el correspondiente recorrido de af es de 0.980 a
0.992, variacin de poco ms del 1%. Con p, > 100, controlar p, con una tdkmCia del 1% supondra
una tolerancia de <rf de menos del 0.01%. (Es corriente expresar el recorrido de p, de un BJT a las
temperaturas extremas de funcionamiento.)
Etapas amplijicadorrw bsicu, a baja jrecuencia 389

Consideremos ahora las caractersticas de la Fig. IO- Ib correspondiente a los valores mnimo y mximo
de p, para un transistor dado, sobre el campo de temperaturas de trabajo. Para el circuito de la Fig. lo-la,
el punto de funcionamiento puede estar en cualquier lugar entre Q, y Q2 (Fig. lo-Ib) para 50 < p, < 125.
Evidentemente, vista la forma de la onda de salida de la Fig. 10.4h, esta forma de polarizacin es
inadecuada. Para el funcionamiento correcto del circuito se requiere poder controlar la situacin del punto
de funcionamiento, es decir, deben estabilizarse las condiciones de polarizacin. Para tal estabilizacin
deberest~ngirseelfuncionamientoaunapequea~onadelarectadecargadentrodel alcanceespecificado
para p, de forma que la seal (por ejemplo I,>= 20 sen w t PA) quede acomodada. El sentido de la frase
anterior es que hay un control efecrivo de la polarizacirn cuando la corriente de mlertor, y por tanto la
de rmisorz mantieneprcticamente constante e independiente de las vur-iaciones de Pr En la Fig. 10.lh
vemos que manteniendo la corriente de colector a 3 mA o ligeramente ms, se limita el funcionamiento
del BJT a la regin activa directa (Figs. 10.2h y lo-4h) tanto para p, = 50 como para p, = 125

10-3. POLARIZACIN DEL BJT PARA CIRCUITOS INTEGRADOS


La Rg. 10-5, representa la disposicin clsica de un circuito de polarizacin empleado en circuitos
integrados. Este circuito, y sus similares se denomirxmfurnrr de rwrienfe o espejo y se disean para
mantener la corriente I,, aun valor constante (este circuito se vio en el ejemplo 3-8). Recurdese que ya
encontramos este circuito en la Sec. 3-l 1 emplendolo en una etapa de amplificador diferencial (etapa de
emisor acoplado) de la Sec. 3-12 y luego nuevamente en relacin con las puertas ECL (Sec. 6-14). El
amplificador diferencial es uno de los principales bloques constructivos en los amplificadores integrados
y lo trataremos detalladamente en la Sec. 10-15. En la Fig. 10-50 comprobamos que Q2 est conectado
comodiodo talcomoseapreciaenlaFig. 10-M. ElcircuitodelaFig. 10.5~eslarepresentacinequivalente
de la fuente de corriente. La resistencia r(, es la de salida de la fuente y refleja el hecho de que las fuentes
prcticas no son ideales.
La eficacia del circuito de la fuente de corriente es debida a las particularidades de la tecnologa de
integracin. En particular, Ql y Q2 son transistores idnticos y R puede mantenerse entre los lmites
impuestos por el fabricante.
Ya que ambos transistores son idnticos, las corrientes de colector I,, e I,, pueden expresarse:
I, , 7~ CY,1, ( tl 1 i 1, 2 (y, ,, ( <iii, 1) / (10.3)
390 Microelectrnica modem..

En la Ec. ( 10-3) se ha prescindido del efecto Early (Sec. 3-5) y de las componentes de corriente debidas
a la polarizacin inversa de las uniones de colector. De la Ec. (10-3) de deduce

Lc = E<T+<.i-~wi (10-J)
Ic2
La ley de Kmhhofl para el laro que contiene ambas unidades emisor-base de la Ftg. 10-5, requiere
IlC
V Hl., = VIJI? = VI<*. (10.5)

y 2 2*nS2Ctxlcxi 1, - l,(, [Ec. (10.4)], ya que p,, = p,, = p, se deduce que I,, = I,, = IB-
La corriente 1, llamada de referencia se determina por la ley de Kirchhoff aplicada al lazo que conuene
v,,, R y V,,, y despejando 1, tendremos

y por la ley de Kirchhoff aplicada al nudo

I< + 21H I,( = 0 (10.7)

Recordando que 1, = 8, I,, sustituyendo la Ec. 10-6 y despejando f, de la 10-7 obtendremos

El resultado de la Ec. (10-S) indica que 1, = I, es esencialmente constante dentro de un amplio campo
de valores de &. Para p, > 1, p,J@, + 2) es prcticamente igual a la unidad y, amanera de ejemplo, 1,
vara s610 un 3% para 50 < p, < 200.
Obsrvese que si p, 1, lcz = I, aun cuando se desconecte Ql. Esta es la base del espejo; ycc y R
determinanelvalordel,,(elobjeto) y laconexindeQ1 talcomosemuesuaenlaFig. IO-5aconstttuyen
la imagen I,, = 1,.

Resistenciade salida
Para el funcionamiento eficaz del circuito se requiere que Ql opere en la regin activa directa. Este
hecho se manifiesta en la caracterfstica tensin-corriente de la corriente de fuente I,, en funcin de V,,,
de la Fig. 10-6. Con VCE,< 0,3 V, Ql est saturado y se comporta como una resistencia,r,,,~,~,(Sec. 3-6).
En la regin activa directa CV,,, > 0,3 v), l,, se mantiene esencialmente constante. El ltgero tncremento
de l,, se atribuye al efecto Early. La pendiente de la caracterstica en esta regin es la inversa de la
resistencia de salida ro de la fuente de corriente. Su valor es:

r ,I =VlIAC (10-9)

Obsrvese que si VA, la tensin Early, es infinita, la caracterstica volt-amperio es la curva de trazos
de la Fig. 10-6 y r,, tiende a infinito (un circuito abierto).
El funcionamiento de la corriente espejo se basa en que l,, = I,,. Una consecuencia adicional del
efecto Early es hacer que la relacin IJI, difiera de la unidad. Los valores de trabajo de VCE,y V,,, de
la Fig. 10-5~ pueden diferir sensiblemente. La conexin diodo de Q2 hace que V,, = V,, = 0,7 V mientras
que los valores tpicos de V,,, pueden oscilar entre 1 y 30 V. A medida que V,,, aumenta, el efecto Early
hace que I,, crezca desde 1, con un factor (1 + V,,,n/,). Como V,, = 0,7 VA se deduce que Ic = 1, y
que 1,&, > 1. Con valores grandes de V,,,, 1c,/I czp uede apartarse hasta un 20% de la unidad. Varios de
los arcuitos tratados ms adelante tienen resistencias de salida mayores que las dadas por la Ec. (10.9).
En consecuencia la tensin Early efectiva aumenta apreciablemente y hace que I,,/I,, se acerque a la
unidad.

EjemplolO-
(~)EnelcircuitodelaFig. 10.5adetenmnarRde tal fomxiquel, = 1 mA. Los paramerros del trawsto*
son V,, = 0,7 V, p, = 100 y se admite una tensin Early infinita. La tensin de alimentacin es de 15 V.
(b) Con la R obtenida en la parte (a) determinar la variacin en porcentaje de 1, para p, = 200.
(c) Repetir la parte (a) para 1, = 50 PA.

(a) De la Ec. (10-8) tenemos


100 .--15 - 0.7
l=
100+2 R
de donde R = I-I.0 Ml. Entonces

200 15 - 0.7
I, = = 1.0099 mA
200 + 2 14.0
1.0099 - 1
(0, y amblando a porcentaje = 1 x 100 = 0.99%

(c) Nuevamente, empleando la Ec. (10-Q tenemos:


100 15 - 0.7 _
0.05 = Y R = 280 k0
100+2 R

Repetidores de corriente
La combinacin de diodo y resistencia de la Fig. 10-5 que establece la comente de referencia 1, puede
392 Microelectrnica moderna

emplearse para alimentar ms de una carga. La Fig. lo-711 representa uno de tales circuitos a veces llamados
repetidores de corriente o fuente de corriente mltiple. Si todos los transistores son idnticos y despre-
ciando el efecto Early, resultar que todas las corrIentes 1CI1C21CYson tambin idnticas y = 1,. Se pueden
conseguir distintos valores del C, I c-21CNescalonando las superficies de emisordeQ1, Q2... QN. La relacin
entre las corrientes mxima y mnima de colector alcanzadas por este mtodo es aproximadamente igual
a 10 debido a limitaciones en la fabricacin.
En la Fig. 10.7a vemos que las bases de todos los BJT estn conectadas y todos los emisores puestos
a tierra. Por tanto, muchas veces es conveniente fabricar los N transistores como un solo dispositivo
fusionado de colectores mltiples. El circuito de la Fig. lo-7h es el mismo de la Fig. lo-7a pero
sustituyendo Q 1, Q2...QN por QM, En el transistor fusionado, el escalonado de las superficies de colector
da lugar a distintas corrientes de colector.

10-4. FUENTE DE CORRIENTE WIDLAR


Los resultados del Ej. 10.1, partes (aj y jhj, indican que el circuito de la Fig. IO-5a da una buena
estabilidad de polarizacin empleando elementos con valores que entran dentro de las posibilidades de
fabricacin. Sin embargo, ste no es el caso del Ej. 10-l parte (c) pues la fabricacin de R = 280 kn
es prcticamente imposible. Frecuentemente se usa la fuente de corriente Wid!ar de la Fig. lo-8 para
formar fuentes de corrientes dbiles. En el circuito de la Fig. 10-8 se ha supuesto que ambos transistores
son idnticos. La accin de R, hace que V,,, y V,,, difieran entre s.

En esto configuracin V,,# es menor que V,,? y por tanto IC, es inferior a lC2 [Ec. (1 O-4)]. La naturaleza
simtrica del lazo base-emisor hace que el circuito acte ms como una &nte que como un espejo.
En efecto, 92, V,, y R establecen la corriente de referencia In y el valor de R, determina el grado en que
1,) es menor que Ix.
La ecuacin de Kirchhoff para el lazo emisor-base de la Fig. 10-8 es

Como he mdxa en la Ec. (10.3) la corriente de colector de un tranustor depende fuertemente de la


Etapas amplifcadoras bdsicas II baja frecuencia 393

tensin base-emisor. En la FL. (10-3) se dan los valores de I,, e I,, para transistores npn idnticos,
viniendo dada su relacin en la Ec. (10.4). Esta ltima ecuacin se puede tambin escribir tomando
logaritmos naturales de ambos miembros.

Igualando A V,, de las ECF. (lo- 10) y (lo- ll) tendremos

Rfi = (10-12)

ua umiente de referencia /, dada en la Ec. (10-6) como exprtwn de Kirchhoff para el lazo
comprendiendo V,,, Q2 y R, es la misma en las Figs. 10.5~ y 10.8.
Segn Kirchhoff

(10.13)

Como x daza que fc, sea menor que I,,, puede despreciarse el trmino I,,l pi de la Ec. (10-13)
Combinando las Ecs (10-6) y (10-13) resulta

pu& >> 1.
El valor de I,, se deduce de la Ec. (10-14) y puesto que I,, es la corriente especificada, la resistencia
R, se calcula por la Ec. (10.12). El Ej. 10-2 ilustra sobre el diseo.

Ejemplo 10-Z

DetenninarK, cn el circuito de la Fig. 10-S siendo V,, = 15 V, K = 14,O !G , VB,,= 0,7 V, 8, = 100, Y
el valor deseado de I,, = 50 PA. Emplese VT = 25 mV.

-
394 Microelectrnica moderna

De la Ec. (10.14) tenemos


IU0 15 0.7
/<2 - = LU1 III.4
,011 + I 14 n

y empleando la Ec. ( 1O- 12)

Ob&vese que aun cuando en el circuito de la Fig. 10-X se emplean dos rwstenc~~, la res~cn~~a
toral es de 14.0 + 1.49 = 15.49 kR Todos los valores estn de acuerdo con las posibilidades de
fabricacin, y la resistencia total es suficientemente pequea para no ocupar demasiada superficie del chip.
La resistencia de salidaR, de la fuente de corriente Widlar se calcula usando el circuito equivalente
de pequea seal del BJT. En el Prob. lo-52 se ve que R, = r,(l + g$J Evidentemente este valor es
varias veces mayor que la resistencia de salida ro de un espejo de corriente nico. Obsrvese que con R,
= 0, R, =rO: por tanto podemos atribuir el aumento de R, a la presencia de R,. El empleo de R, es una
forma de realimentacin que, tal como se describe en la Sec. 12-5 aumenta los niveles de resistencia en
el circuito. A veces es conveniente construir fuentes de corriente en las que Ql y Q2 tengan resistencias
de emisor como en la Fig. 10.9. Si R, y R, son iguales tambin lo sern las corrientes en Q 1 y Q2, Debido
a las resistencias de emisor, la resistencia de salida de esta fuente de corriente es mayor que ro, El circuito
de la Fig. 10-9 se usa tambin para proveer distintas corrientes en Ql y Q2 sin necesidad de escalonar
las reas de emisor. El empleo de transistores idnticos hace que la relacin IJI, sea proporcional a la
r&cinRJR, (Prob. 10-9).

Tariaciones de temperatura

Tanto las fuentes de corrientes simples como las Widlar pueden tener que trabajar entre temperaturas
tan bajas como 55C y tan altas como + 150C. Por tanto, hay que tomar en consideracin los efectos
sobre la corriente de la fuente de los cambios de p, y V,, debidos a la temperatura. Despreciamos los
efectos de I,,, la corriente de saturacin inversa de colector debido a su escassimo valor (Ic0 = 1pA
a T = 300%).
Etuprw amplificadoras bsicas a baja frecuencia 395

Los dos transistores de las Figs. 10-5~ y 10-8 son idnticos de forma que sus respectivas p, se siguen,
es decir, que las p, de cada BJT varan de igual forma Como la corriente de fuente de cada uno de estos
circuitos es virtualmente independiente de p,, las variaciones de sta con la temperatura generalmente
provocan cambios ms bien pequeos de la corriente. Sin embargo, este no es necesariamente el caso si
consideramos el efecto de los cambios en V,, que decrece 2,2mV cada grado de aumento en la temperatura.
La corriente de referencia 1, de las Figs. 10-k y 10-7 depende de la diferencia V,,-V,,. Frecuente-
mente V,, V,, de forma que las variaciones de V,, cambian muy poco 1,. En general, si A IR es el
cambio en !, motivado por un cambio A V,, en V,, se puede demostrar (Prob. 10-10) que A I#* es
inversamente proporcional a (VJV,, - 1). Evidentemente, con pequeos valores de V,,, la variacin
relativa A IdIR puede llegar a ser significativo.

10-S. FUENTES DE CORRIENTE DE TRES TRANSISTORES


La relacin entre las corrientes de fuente y de referencia l,/, difiere de la unidad en 2#,. Con los
valores tpicos de p, una diferencia entre el 1 y el 2% se puede despreciar. Adems, los amphficadores
integrados tambin emplean transistores pnp laterales de p, bajo (Sec. 5-3) amanera de fuentes de corriente
en los que la diferencia entre 1, e I, es de un pequeo tanto por ciento. Para aproximar ms I, a I, como
se desea en algunas aplicaciones se usan frecuentemente fuentes de corriente con tres transistores. Dos de
los tipos ms corrientes son lafuente Wilson (Fig. lo-loa) y lafuente de corriente con ganancia (Fig.
lo-IOb). Lo ms empleado es el uso de transistores idnticos en cada uno de los circuitos de la Fig. 10.10.

La corriente de fuente I,, de la Fig lo- lOa puede expresarse como (Prob. 10-13):

Ic,= Pf+wI. PF + WF vcc 2vm


(10-15)
Pf + 2p, + 2.1R = p; + 2PF + 2 R

La diferencia es

Ic I IR = zI,/(p; + zp, + 2):

wldentemente esta diferencia es extremadamente pequea para cualquier valor modesto de Pr POI
ejemplo, si p, = 20, I,,/r, = 220/221 y la diferencia entre I,, e 1, es menor del 0,5% y si 6, = 100, I,,/l,
396 Micruerectrnica moderna

= 5100/5101. Estos valores indican que las variaciones de p, influyen poco sobre Ic,. Ckicamente, un
cambio del 100% en p, provoca un cambio en Z,, del orden de unas pocas centsimas por ciento.
La Fesistencia de salida de una fuente de corriente Wilson es substancialmente mayor que ro de Ql ya
que el transistor Q3 conectado en diodo acta como resistencia de emisor.
La corriente de fuenteI,, para el circuito de la Fig. 10.10b puede establecerse (Prob. 10-14) como:

MPF + 1) (10.16)
1<I = IK
PC + BF + 2
La similitud entre las Ecs. (10.15) y (10-16) indica que en el circuito de la Fig. IO-IOh, I,, es
prcticamente independiente de PT La resistencia de salida de esta fuente es la ro de Q 1. IYo obstante, este
valor se puede incrementar empleando resistencias de emisor en Q 1 y Q2 como se hace en el circuito de
la Fig. 10.9. Las dos resistencias de emisor pueden emplearse para hacer /,, distinto de 1,.

+ CC

1,
1 R [h

QZ VI

Q4 Q3

8F T
Figurs 10-11.Fuen,ede umente Eaumdo.
Tambin se emplean otros circuitob, especialmente la fuente de comenre cascodo de la Fig. ll.-1 1.
Tales circuitos se emplean para mejorar la respuesta en frecuencia o para aumentar la resistencia de salida
por encima de la obtenida en la fuente Wilson, asegurando al mismo tiempo que la corriente de fuente sea
independiente de las variaciones de p,.

10-6. POLARIZACIN DEL BJT CON COMPONENTES DISCRETOS -


ANLISIS
La estabilidad de la polarizacin es tan importante en etapas de transistores construidas con compo-
nentes discretos como si son integradas. Como los BJT emparejados son costosos y puesto que no hay
restricciones en cuanto al valor de las resistencias, no se emplean fuentes de corriente. El objetivo del
dlwo se mantiene, conservando la corriente de colector constante al variar B,. Para alcanzar la estabilidad
de la poltizacin se permite que la corriente de base va& con Pr En la Fig. 10-M, para mantener 1,. = 3
mA independiente de las variaciones de I-1,se requiere que I, decrezca al crecer pr El urcueo con cuatro
resistenciasdelaFig. 10-12~ ladisposicindepolarizacinconcomponentesdiscretosmsgeneralmente
empleados.
emplea para unir la seal v( ac la fuente de entrada con el transistor, y C,, une la seiial de sah& del BJ1
con la carga R,. En condiciones de reposo c,, y CB2actan como circuitos abiertos ya que la reactancia
de un condensador es infinita con frecuencia cero (continua). El valor de estas capacidades se elige
suficientemente elevado para que a la mnima frecuencia de la seal su reactancia sea tan pequea que
puedan considerarse como cortocircuitos,
I Esto sirve para aislar 1,,y R, de las cuatro resistencias R,, R,, R, y R, empleadas para establecer la
I polarizacin. Estos condensadores bloquean las componentes &I continua dejando paso libre a las
tensiones de la seal. Por ejemplo, la tensin de reposo del colector no debe aparecer en la salida, pero v<,
es una rplica amplificada de la seal de entrada ;. La tensin de la seal de salida muchas veces sirve
de entrada a otra etapa amplificadora (Rr es la resistencia de entrada a esa etapa) sin afectar su polarizacin
debido al efecto de bloqueo de C,?. El condensador C, (llamado de pa.w o tqxzss) se elige de forma que
se pueda tratar como un cortocircuito a la frecuencia mnima de la seal. As, en condiciones de reposo
K, se emplea para estabilizar la polarizacin, pero a las frecuenaas de la seal el emisor queda conrrtado
/ atierra.
sea nula para tudas las frecuencias de la seal. En la Sec. 1 I- 13 se considerarn los efectos del tamao
finito de los condensadores de bloqueo y de paso sobre la respuesta en frecuencia de un amplificador.

Anlisis en continua
En la Fig. 10-13~ puede verse el circuito eqwvalente en conrinu del urcuto dc la Rg. 10.12. Este
urcuito fue analizado en el Ejemplo 3-4 en donde se encontr conveniente sustituir la red de polarizacin
de base V,.,, R, y R2 por su equivalente de Thvenin como est representado en la Fig. lo-136 en la que
se indican los valores de VB, y R, de la Ec. (10.17)
R2
v,, = v< < Kt, = K,llK? = , 10-17)
R, + RI 2
La Iry de Kirchhoff en el lazo de la base da

v ,,,, = IxRx + V,, + (1, + 1,lRt (10.18)

be llega a una solucin aproximada si 1, I, <p, 1) y si 18, V,,. Entonces

Obsrvese que si V,, es constante en la Ec. (IO-19), 1, tambin lo es. En efecto, este circuito funciona
de manera similar a una fuente de corriente con yfB y R, cumpliendo la funcin de V,, y R de la Fig. 10.Sa.
Unavezcalculadol,, V,., se deduce de laexpres~on de Kirchhoffparael lazodel colector en IaEc. (10.20).

V,, = I,R< + V,, + (Ic + I,)R, ( 10.20)

Si no es vlida la aproximacin tomada para obtener la Ec. (lo- 19) y se conoce p, el clculo del punto
Q puede deducirse analticamente. En la regin activa I, viene dado por la Ec. (3-19). es decir

1, = P,Jn + (1 + PF)l< c, (10.21)

En eaa lnma ecuaun se incluye el efecto I,., porque a veces este efecto puede sa sigmficativo en
cwcuitos de componentes discretos. Con circuitos integrados el efecto de I,, es muy frecuentemente
despreciable. Ahora de las Ea. (lo-IS), (IO- 20) y (10-21) podemos despejarI,, 1, y V,, ya que VB, es
conocido en la regin activa. Obsrvese que las corrientes en la regin activa directa vienen determinadas
por el circuito de base y por los valores de p, e I,,,.

Ejemplo 10-3

Los valores de los elementos del circuito de la Fig. lo-13 a son: Vc, = 28 V, R, = 6,s kc2, K, = 1,2 k$L,
R, = 90 ka y R2 = 10 ka. Determinar el punto Q suponiendo que I,, pueda despreciarse, cuando (a) p,
= 60 y (b) p, = 150.

(u) De la Ec. (10.17) se obtiene


Etapas nmplijicadoras bsicas n baja frecuencia 399

10 90 x
v ,28 = 2.80 v RB = 10 = 9.0 kfl
BB 90 + 10 90 + 10
Haciendo V,, = 0.7 Ven la regin activa directa, la Ec. (10-18) ser
2.80 = 91, + 0.7 + 1.2(18 + 1,)

2.10 = 10.21, + 1.21c


Sustttuyendo 1, = bfi 1, = 6U1, o 1, = 1J60 y despejando 1, resulta
2.10
lc. = = 1.53 mA y ZB = !$ = ~0255 mA
0.17 + 1.2

Obsrvese que estos valores de corrientes se obtienen sin hacer referencia a las tensiones de colector
y por tanto son independientes de R, y de V,,
Sustituyendo valores en la Ec. (10-20) tendremos:
28 = 1.53 x 6.8 + V,, + (1 ..53 + 0.0255) 1.2
con loqueV,, = 15.7c
(b) La ecuacin de Kirchhoff para el lazo de la base es la ya dada en la parte (u), Sustituyendo 1, =
JJ150 se tiene
2.10
lc = = 1.66 mA y IB = E = 0.011 mA
0.068 + 1.2

quecon laEc.(lO-20) da
28 = 1.66 x 6.8 + VcE + (0.011 + 1.66) x 1.2 y v,-t = 14.7 v

Comparando los resultados de las partes (a) y (b) se ve que el punto Q vara slo un pequeo tanto por
ciento para una variacin de p, de 2,5:1, indicando con ello la eficacia del circuito de la Fig. 10-13~.
Seguidamente analizaremos los incrementos de corriente resultantes de cambios de p, y de temperatura.
Se ha sealado ya que p, cambia con la sustitucin del transistor y con la temperatura. Adems V,, decrece
a razn de 2,2 mV/JC e I,, se duplica cada 10C de aumento de temperatura.
Prescindiremos de las variaciones de V,, con la temperatura porque tal variacin es muy pequea y
adems el funcionamiento en la regin activa directa deja a I, prcticamente independiente de la
temperatura.
Despejando 1, en la Ec. (10-21) y sustituyendo esta relacin en la Ec. (10-18) se llega, despus de
ordenar los trminos, a

Esta ecuacin indica claramente que 1, variar al variar uno o ms de los parmetros p,, V,, o l,,

Incremento de corriente por variar PC


Consideremos que un BJT cuya ganancia de corriente sea PP,se sustituye por otro de bn > &,. Vamos
a determinar el cambio de corriente resultante AI, = Ia Io donde In (o k,) corresponde a BR (o &). Si
p,, 1 el segundo miembro de la F.c. (10-22) es prcticamente independiente de p, y por tanto.
400 Microelectrnica moderna

(10.23)

DCspzJando de esta ecuacin IC41c, y restando una unidad del resultado se Ilcga a
1CI Ic, = & = , + 5 W MZ
(10-24)
ICl ICl RL BFIBFZ
siendo Ap = PP- PP,,y detmkndose M como
1 1
(IO-251
M = 1 + R&R,(I + &)l = 1 + R&Rt
para b, 1. El parmetro M, (M,) corresponde a p,, (o p,,). Como se desea para tener buena estabilidad
en la polarizacin que A IJIc1 sea pequeo, es evidente que R$ &RE debe mantenerse reducida. Con R,
!3, R,, M = 1. Adems, para una desviacin de p, (por ej. p,,/p,, = 3), un circuito con alto valor de p,
ser ms estable que otro que emplee un transistor con p, ms baja.

Incremento de corriente por variar Z,,,


De la Ec. (10-22) siendo p, 1 y si p, y V,, se mantienen constantes se deduce que

AI, = RR,;++R; AI,-<> = (IO-2hJ


BF z

Incremento de la corriente por variar V,,


De la Ec. (10-22) siendo p, 1 y si p, e Z,, se mantienen constantes se deduce que:

= -R,,
BI
+ /3rR,
A V,,,. = ~-
M,A V,,fi ( 10.27)
I R,

Observesc que en las Ecs. (10.26) y (10-27) se supone que se utiliza un BJT con p,,

Incremento total de corriente


Para tener la variacin total de corriente dentro de un campo de temperatura dado, debida a una
variacin simultnea de p,, Ir, y uBFse suman los incrementos individuales hallados en las Ecs. (JO-25),
(10.26) y (10.27). El cambio fracclonal en la corriente de colector viene dado por

(IO-2x1

dondeM, (u M,) corresponden a p,, (o p,,). Obsrvese que al ir creciendo Tcrecen A IJI,, y Ap mientras
que AV,AIc, decrece. Por tanto, todos los trminos de la Ec. (10.28) son positivos cuanto T crece y
negativos cuando mengua.
Etapas amplificadoras bsicas a baja frecuencia 401

10-7. DISEO DE POLARIZACIN CON COMPONENTES DISCRETOS


El siguiente ejemplo muestra las tcnicas de diseo para la polarizacin con componentes discretos.
Tambin se utiliza para examinar las dimensiones relativas de los tres componentes de A IJI=, en la Ec.
(10.28). Los valores del transistor empleado son los normalmente encontrados en la prctica. Muchos
circuitos para aplicaciones comerciales deben trabajar entre 0 y 70C; otros, tales corno los empleados en
naves espaciales, automocin y aplicaciones militares deben funcionar muchas veces entre 55C y 100
150C. Frecuentemente los fabricantes suministran dispositivos para la gama de - 65 a 175C para cubrir
la amplia variedad de aplicaciones.

Ejemplo JO-4

Se emplea una alimentacin de 12 V para polarizar el transistor del circuito de la Fig lo- 12. Basndose
que en la seal que hay que amplificar est determinado que 1.00 <I, < 1.15 mA y que 5.0 < V,, < 6
V. La resistencia de colector es R, = 1.5 kQ que se ha elegido para tener la ganancia deseada. Los
parmetros del BJT son:

T= -55C menor valor de p, = 40 VB, = 0.88 1


T= + 125C mayor valor de p, = 400 V,, = 0.48 V

(a) Disear el circuito (R,, R, y R,) para cumplir la especificacin. Consideremos que el efecto de 1,
es despreciable.
(b) Con los valores obtenidos en (a) determinar la parte del incremento de corriente A 1, atribuible a
la variacin de I,, con la temperatura. Las especificaciones del fabricante indican que: l,,, = 2.0 pA a T
= -55C e Ico = 525 nA a 125C.
(c) Cul es el orden de valores de Ic y V,, que se deben encontrar en mediciones de laboratorio a T
= 25C. dado que 75 < & < 200 y V,, = 700 f 25 mV?
Emplense los valores hallados en la parte (a).

Solucin

Consideremos que las condiciones nominales del circuito se cumplen en una de las temperaruras
extremas ( 55C). Las desviaciones respecto al comportamiento nominal se miden en el otro extremo de
la gama de temperaturas (125C). El principio bsico de este mtodo del caso ms desfavorable consiste
en cumplir las especificaciones del circuito para p, mnima y controlar las desviaciones habidas cuando
p, sea mxima. Sabemos que 1, crece con p, de forma que se debe llegara I, = 1 mA cuando T = - 55C.
Para p, = 400 a T = 125C I, < 1.15 mA o A I, < 0.15 mA. Anlogamente, al crecer p,, V,, decrece
(Ejemplo 10-3) y en consecuencia, a T = -55C tomamos V,, = 6.0 V.
De la Ec. (10-20) y con los datos para T = 55C se obtiene:

12 = 1.00 x 1.5 + 6.0 + 1.00 + !$ Rb



que resolviendo da R, = 4.39 kR. La relacin R,/R, se obtiene de la Ec. (10-28) despreciando el trmino
A I,,. Como R, es conocida, de esta relacin se deduce R,. En nuestros clculos se supone M, = 1, es
decir R, p,Jr En la Ec. (10-28) se necesitan los siguientes datos: A V,, = 0.48 0.88 = - 0.40 V, p,,
= 40, p,, = 400 y Ab = 400 40 = 360. Sustituyendo:
402 Microelectrnica moderna

0.15
-= - 1 x (-0.40) +
1.00 4.39
Kesolviendo, hallaremos R$R, = 1.62 y R, = 1.62 x 4.39 = 7. ll kR. Para obtener los valores de R, y
R, en la Ec. (10.17) se debe calcular previamente VB, de la Ec. (10-18) a T= -55C.

V - L!J! x 7.11 + 0.88 + 9 + 1.00 4.39 = 5.56 V


EE - 40 i
Resolviendo la Ec. (10.17) tendremos R, y R, en fonclon de V,,, V,, y R,.

R =R -L VB, VCC
R> = R, = RB
B VBB y vcc - VB, vcc - VB,
y susttuycndo por sus valores numricos:
12
R, = 7.11 g6 = 15.3 ka RZ = 7.11 = 13.2 kR
12 - 5.56

Comprobemos si el valor de V,, a 125C est dentro de la especificacin

12 = 1.15 x 1.5 + vct- + 1.15 + g) = 4.39 y V,, x 5.22 V


(
lo que efectivamente as resulta.
Tambin hay que comprobar la suposicin de que M = 1.
1 I
= 0.996
M2 = l + R&F~Rt = 1 + 7.111(400 X4.39)
Valor suficientemente prximo a la unidad para no introducir prcticamente ningn error.
Ahora se disea el circuito con R, = 15.3 l-Q, R, = 13.2 kR, R, = 4.39 kQ y R, = 1.5 ka.
(b) Para obtener A I, motivado por las variaciones de Ic supondremos que p, y V,, se mantienen
cxnstantes a su valor para T = -55C. La Ec. (10.26) con A l,, = 525 nA dar

A/c = 1 + = x I x 525 = 1376 nA = 1.38 PA


( 4.39)
supomenuo,M = 1, AIcO es mucho ms pequeo que I, con lo que la presuncin de que hc puede despreciar
el efecto de A I,, resulta vlida.
(c) En esta parte del problema estudiaremos el efecto de la variacin punto por punto a una temperatura
dada. Resolviendo la Ec. (10-22) y despreciando el trmino I,, se puede expresar 1, como

,c = PFWRH Vnt)
R,, + (PF + I)Rt
Se observa que 1, ser mximo cuando sea mximo p, y mnimo V,,. Se dan las condiciones contrarias
para el valor mnimo de 1,. As, empleando los valores hallados en la parte (a) tendremos

200(5.56 0.675)
~mmx, = 1.098 mA
7.11 + (200 + 1)4.39
75(5.56 0.725) = ,,064 mA
~,-,l,, = 7.11 + (35 + lj4.39
Etapas amp1iJicadora.s bsicas a baja frecuencia 403

La gama de valores de V,, se calcula empleando los valores de I,,,,,, e I,,,,,, en la Ec. (10-20). Estos
SO:

12 = I nfd x I 5 + vct,,.,,, + l.nh4 + Fj4.39


(
I.098
12 = 1.098 x 1.5 + v,.&,,,, + 1.098 + ~ 4.39
( 200 1

de donde VC,,z,,,/,= 532 V y Vc,,mx, = 5.61 V.


Los resultados de esta parte demuestran la eficacia del mtodo de diseo. A una temperatura dada
podemos ver que los valores de reposo se desplazan aproximadamente un 3% con una dispersin de p,
de casi 3: 1.

Consideracionesde diseo
Hay tres observaciones relativas a tos resultados y mtodos del ejemplo 10-4 que merecen un
comentaxio: (1) Si hay que determinar la desviacin total en los valores de reposo, hay que tener en cuenta
las tolerancias de las resistencias y de las tensiones de alimentacin. (2) Para funcionar sobre una amplia
gama de temperaturas, las desviaciones producidas por las variaciones de VB, son comparables a las que
resultandeloscambios de &. (3) Lo ms importante, R,y R,nopuedenespecificarseindependientemente
una vez se han seleccionado V,, y Q. De la Ec. (lo- 20), supuesto que 1, I,, (Rc + RE) = (V,, - V&
quedando as fijada la suma de estas resistencias. En consecuencia, cualquier aumento de R, debe ir
acompaado de una disminucin de R,. La importancia de esta premisa puede apreciarse en la Ec. (10-28).
Admitiendo que AI, se considere igual a A V,, e Ap, R, viene determinada por la Ec. (10-28) y por tanto
R, queda especificada. Aumentando R, para un A V,, dado disminuye A 1, (mejora la estabilidad de la
polarizacin). Desafortunadamente, como veremos en el prxmm prrafo el descenso de R, reduce la
ganancia de la etapa. Estos requisitos estticos (polarizacin) y dinmico (amplificacin) no pueden ser
tratados independientemente. El diseador del circuito debe hacer una eleccin basada en una solucin
de compromiso entre el comportamiento esttico y el dinmico. Sin embargo, una cuestin de gran
importancia es que sin un grado razonable de estabilidad en la polarizacin, el funcionamiento dinmico
no puede satisfacer las especificaciones (Fig. 10.2b).
Hemos observado antes que con continua cB, C, y C, de la Fig. lo-12 actan como circuitos abiertos.
Las condiciones de reposo se pueden obtener trazando una recta de carga esttica (continua) correspon-
diente a V,, y a la resistencia total del lazo de colector R, + R, (supuesto p, 1) umm se ve en la Fig.
10-14. PWXO que admitimos que C, acta como un cortocircuito, ala frecuencia de la seal el emisor
queda puesto a tierra. Anlogamente C, acta de cortocircuito haciendo que la resisten& efectiva del
colector sea R, = R, 11R Por tanto la resistencia equivalente en alterna del lazo de colector es R,. ha
determinar la seal de sakdda,es decir, las variaciones alrededor del punto Q debidas ala seal de entrada.
deberemos trazar la recta de carga dinmica. La pendiente de esta recta (Fig. 10-14) es l/R, pasando
por el punto Q. Recurdese que cuando la seal de entrada es cero (sen wt = nrr) slo queda aplicada la
polarizacin y el circuito est en su estado de reposo. La proyeccin sobre el eje Vi, del segmento de la
recta recta de carga dinmica causada por la seal de entrada A i, alrededor de l,, determina la seal de
salida A vcE. Si V,, y el punto Q estn especificados queda determinada la recta de carga esttica. Sin
embargo una reduccin de R, y por tanto de R, (con lo que se puede aumentar RE) aumenta la pendiente
de la recta de carga dinmica (se sita ms verticalmente). Para un valor dado de A i, la proyeccin de
esta pate de recta sobre el eje V,, ckwze (decrece A vcE). La seal de salida reducida para una seal de
entrada dada indica una mengua de ganancia (amplificacin) de la etapa.
404 Microelectrnica moderna

10-8. POLAKIZACIN DEL FET


Las tcnicas de polairacin que estabilizan el punto Q en los circuitos FET tanto integrados como de
componentes discretos son semejantes a las vistas en las dos secciones anteriores para los BJT. En los
circuitos MOS los esquemas de polarizacin controlan las desviaciones del punto de funcionamiento
motivadas por variaciones de fabricacin sobre la tensin umbral VT y sobre el parmetro k de transcon-
ductancia. Los circuitos JFET tanto si son integrados o de componentes discretos se polarizan de forma
que queden controladas las variaciones de la tensin de estriccin V, y de la corriente de saturacin de
drenaje I,,, existentes de uno a otro ejemplar. Tanto los MOSFET como los JFET trabajan en todo momento
en sus regiones de saturacin, de forma que presentan caractersticas de fuente gobernada.

Fuentesde corriente
El circuito de la Fig. 10.15~1 es un espejo de corriente MOS integrado. El tranhtor Ql proporciona
alacargaunacorrientel,,. LacomentedereferenciaR, = l,, lasuple V,,, R y el transistor de acumulacin
QZ (Sec. 4-l 1). Estos componentes determinan tambin el valor de V,,,, = VGS y puesto que las puertas
estn unidas entre s VG,, = VG,,. Los valores de VT y de k son idnticos para Ql y Q2 ya que se han
fabricado simultneamente. Por tanto, en MOSFETs con la misma relacin de aspecto (WIL) las corrientes
l,, e I,, son iguales.

Ejemplo 1 O-5

Dos transistores idnticos de las caractersticas dadas en la Fig. 4-12 y reperidas en la Fig. IO-15h se
emplean en el circuito de la Fig 10-15~. La tensin de suministro es de 6V, y R = 20 kR Determinar la
corriente de fuente.

Solucin

La Fig. ll,-1% representa la caracterstica ae carga, que es el lugar gcorntrico de los punto?, en 10~
Etapas amp1ijkadora.s bsicas a baja frecuencia 40s

que v,,, = ,, La recta de carga correspondiente a V,, = 6V y R = 20 kR est tambin representada en la


misma figura. El valor de ID, = 1, = 90 pA se obtiene de la interseccin de la recta de carga con la
caractastica de resistencia. Siendo Ql y QZ MOSFET idnticos y VG,, = VG,,, ID, = 90 PA.
Recordando que la corriente de drenaje para unos valores dados de VT y de k son proporcionales a
WIL, haciendo distintas las relaciones de aspecto Ql y Q2 se puede hacer que ID, difiera de I, como en la
Ec. (10.29) (Prob. 10.34)
ID,
-=~ (WIL),
(10-29)
IR (WIL),
slendo (WIL), y (IVIL), las relauones de aspecto de Ql y Q2 respectivamente

Pendmte de la recia de carga =


ync

0
Il 1 2 3 4 5 6
7 Tensin drenaje-fuente VDS.V
w ChI
bigura IU-15. ((1, Fuente de corriente NMOS. (b, Caracterstica de salida del NMOS, recta de carga y caractefl!
no lineal. La interseccin determina la corriente de referencia de la fuente.

La caractastica tensin-intensidad de la fuente de corriente de la Fig. 10.1% es similar a la dada en


la Fig. 10.6 para el circuito BJT. La regin de baja tensin de la Fig 10-6 indicando que el BJT est
saturado, corresponde al funcionamiento del FET en la regin hmica. Para el MOSFET, la pendiente no

Carg53
PMOS
i
406 Microelectrdnica moderno

nula de la caracterstica V-l se atribuye a la modulacin de la longitud del canal (Sec. 4-3). La inversa de
esta pendiente es la resistencia del espejo de corriente.
La resistenciaR, que debe ser grande para valores pequeos de fuente, se sustituye frecuentemente por
un transistor de carga NMOS de deplexin (Fig. 4-26) y en forma similar R puede sustituirse por un
transistor PMOS conectado como resistencia de carga (Fig. 10-16). Este tipo de circuitos se fabrican con
tecnologa CMOS normal. La fuente de corriente se puede graduar ajustando la relacin de aspecto de Q3
as como de Ql y Q2.
Se pueden mejorar las caractetisticas del espejo de coniente empleando MOS semejantes a las fuentes
de corriente Wilson (Fig. lo-1Oa) y cascodo (Fig. 10-l 1).

Circuito polarizador de cuatro resistencias


Los fabricantes de transistores de efecto campo normalmente facilitan informacin sobre los valores
mximos y mnimos de IDssy V, a temperatura ambiente, as como los datos necesarios para corregir estos
valores frente a variaciones de temperatura. En la Fig. lo-17 puede verse la caracterstica de transferencia
de un tipo dado de JFET de canal n (o MOSFET de deplexin). Las curvas superior e inferior corresponden
a los valores extremos de variaciones del dispositivo y de la temperatura, y la curva de trazos es a
Etapas amplificadoras bsicas a baja frecuencia 407

temperatura ambiente. Supongamos que basndonos en las consideraciones antes comentadas hay que
polarizar el dispositivo de forma que la corriente de drenaje est comprendida entre ID, (punto A) e ID,
(punto B) de 1aFig. 10-17. El circuito de la Fig. 10-18~ puede disearse garantizando quel, est siempre
entre ID, e IDz Obsrvese que este circuito ya fue comentado para el MOSFET en la Sec. 4-10 (Fig. 4-21).
Obsrvese tambin la similitud al circuito BJT de la Fig. 10-13. En la Fig. 10-18~ no estn representados
los condensadores de bloqueo usados para unir la seal de entrada con el transistor y el FET con la carga.
Estos condensadores de acoplamiento as como el de paso a trav& de R,Tse emplean en las etapas FET de
componentesdiscretos. En este captulo supondremos que a las frecuencias ms bajas de la seal estos
condensadores tienen una reactancia nula y pueden considerarse como cortocircuitos.
El circuito de la Fig. lo-18h es el mismo que el de la Fig. 10.18a, en el que la red de polarizacin de
la puerta v,,, R, y R, se han reemplazado por el equivalente de Thvenin VG, y R, [Ec. (lo-20)]:

R2
v,, = v,m R,; = R,IIR> = SR- (10-301
RI f Rz I 2

Para el circuito de la Fig. 10.18a la ecuacin de Kirchhoff aplicada al lazo de puerta es:
1 VGC,
- VG,; + VG + 1,X> 0 o I,, = ~ v<;,5 + - (IU-31)
R, R

LaEc. (10.31) es lade larcctadepolarizacin (Sec.4-10). Construyendo larectade polanacinsobre


la caracterstica de transferencia de forma que pase por los puntos A y B se asegura que ID, C 1 D < ID,. La
pendiente de la recta de polarizacin es l/R, de donde se puede deducir el valor de R,. La interseccin
con el eje X especifica el valor necesaio de VG,.
En nuestro anlisis suponemos 1, = 0. Sin embargo en el lazo de puerta existe una pequea corriente
de saturacin inversa IGsr La resistencia R, se elige tan grande como se pueda manteniendo al mismo
tiempo la cada de tensin I,,, R, en cuanta desprectable (K$G,Ys V ,&. LOS valores grandes de R,
minimizan los efectos de la carga en la etapa anterior y la corriente en las resistencias R, y R,. En el
siguiente ejemplo veremos el mtodo de diseo a seguir.

Ejemplo 10-6

&y que disear el circuito de la Fig. 10-18~ de forma que 5.0 < 1, 5 6.0 mA y VD,s2 8.5 V. Las
caractersticas del JFET empleado son las de la Fig. 10-19. La tensin de alimentacin es de 28 V, y R,
2 100 kR.

Solucin

Sealar los puntos A y B de la Fig. 10.19 correspondientes al, = 5 y 6 mA respectivamente. Trazar


la recta de polarizacin definida por esos dos puntos A y B de donde deduciremos VG, = 3V. Segn la
pendiente de la recta de polarizacin.

1
-=-
4-o
R,, = 0.75 kl2
Y
R, o-3

Las resistencias R, y R, se obtienen de VG, y R,


3
= 933- = ll2 kl2
28 ~ 3

Obarvesc que R, se ha clegldo arbitrariamente a su mnimo valor. La resistencia del drenaje se obtiene
de la ley de Kircbboff aplicada al lazo drenaje-fuente. La tensin VDSes mnima cuando 1, es mxima, es
decir
V,m + InR + V,,s + l,,R, = 0
8 + 6R,, + 8.5 + 6 x 0.75 = 0 y R,, = 2.5 k0

Las reustenctas R, y R, no pueden especificarse independientemente. Esta situacin es la misma que


la del circuito BIT de la Fig. 10.12 descrito en la seccin anterior (vase tambin el ejemplo 10-4).

10-9. ANLISIS LINEAL DE CIQCUITOS DE TRANSISTORES


En las anteriores secciones de este captulo nos hemos referido a la polarizacin de un tranststor para
establecer un punto de trabajo estable. Consideraremos ahora la respuesta de los circuitos de transistores
ante la aplicacin de seales variables con el tiempo. En particular trataremos del funcionamiento con
pequea seal para las que se puede admitir que los transistores actan linealmente. En estas condiciones
los componentes de la seal de respuesta se obtienen mejor empleando los circuitos equivalentes de
pequea seal (incremental) de los BJT y FET.
El circuito equivalente de pequea seal y baja frecuencia de un BJT se represent primeramente en
la Fig. 3.33 repitindose luego para mayor comodidad en la Fig. 10.20. Asimismo, los valores de los
parmetros del modelo dados en las Ecs. (3-Z), (3.29), (3-33) y (3-34) se repiten en las Ecs. (10-32) a
(10.35).

(10.32)
Etapaa umplifcadoras bsicas a baja frecuencia 409

Adems r0 est dada en la Ec. (10-Y) y repetida en la (10.35)

Obse,va,nos en estas ecuaciones que los parmetros cle pequena sena1 dependen de lLu, AG la,
cantidades en continua (polarizacin) deben determinarse previamente al anlisis de pequeiia seal.
Generalmente los fabricantes de estos dispositivos facilitan los datos de p y de la resistencia de entrada
R, en un determinado punto de trabajo. En la configuracin en emisor comn, frecuentemente p, y R, figuran en
los catlogos como hfe y hre respectivamente, ya que los fabricantes miden ambos parmetros
h (Apndice CJ. Tngase en cuenta que si el circuito diseado funciona en condiciones de reposo distintas
deben ajustarse los valores de los parmetros del modelo.
Existen muchos circuitos de transistores que no consisten simplemente en las configuraciones de
emisor, base o colector comn. Por ejemplo, un amplificador en emisor comn puede tener una resistencia
de realimentacin entre colector y base o tener una resistencia de emisor. Adems, un circuito puede estar
formado por varios transistores interconectados de alguna forma. Para determinar analticamente el
comportamiento con pequea seal de circuitos amplificadores relativamente complicados pueden seguir-
se las siguientes normas simples:

1. Dibujar limpiamente el esquema real de conexiones.


2. Sealaren este esquerm los puntosE (base), C (colector) y E (emisor). Situar estos puntos amanera
de inicio del circuito equivalente. Mantener la misma posicin relativa que en el circuito original.
3. Sustituir cada transistor por su modelo.
4. Transferir todos los componentes (resistencias, condensadores y fuentes de seal) desde la red al
circuito equivalente.
5. Puesto que slo estamos interesados en los cambios de los valores de reposo, se sustituye cada
fuente de continua independiente por su resistencia interna. La fuente de tensin ideal se sustituye
por un cortocircuito y la de corriente (tambin ideal) por un circuito abierto. Esta es una implicacin
de la operacin ideal, que efectivamente permite emplear la superposicin.
6. Resolver el circuito lineal resultante, para las corrientes en la red y las tensiones en los nudos,
aplicando las leyes de Kirchhoff.
410 Microelectrnica moderna

Hay que advertir que el proceder anterior no se refiere nicamente a las bajas frecuencias. Una
condicin bsica estriba en que las tensiones y las corrientes sean suficientemente pequeas para que
resulte un funcionamiento lineal. Dicho con otras palabras: durante todo el recorrido de la seal, 10s
parmetos del modelo deben mantenerse esencialmente constantes. Estas normas son igualmente aplica-
bles a los circuitos FET.

10-10. AMPLIFICADOR EN EMISOR COMN


La Flg. 10.21~ representa esta configuracin, si bien para mayor simplicidad se han omitido la5
resistencias de polarizacin y los condensadores de acoplamiento y de paso (bypass) si los hay. La Fig.
10-2 Ib muestra la etapa en emisor comn con el transistor sustituido por el circuito equivalente de la Fig.
10-20. Supondremos que las tensiones y corrientes varan senoidalmente (o alternativamente son de la
forma AE) pudindose proseguir el anlisis del circuito empleando los mtodos y notaciones dados en
el Apndice C. Las cantidades que tienen inters son: ganancia de corriente, resis~~ia de entrada,
ganancia de tensin y resistencia de salida.

Ganancia o amplificacin de corriente A,


Para la erapa amplificadora BJT, A, es la relacin entre comentes de salida y de entrada, o sea

En el circuito de la Fig. lo-21 vemos que V n = I,r n , y empleando la relacin del divisor de corriente,
!, = gmV n rj(r,, + Rc), Combinando estos resultados y haciendo gmr n = p, y R, = R, / 1 r, tendremos

A,= p,,LR.. = p,,gt (10.37)


II ,I <
para I K, encontraremos que R, = R, y A, = p,,. Con estas aproximaciones A, se iguala a la ganancia
de cokate en cortocircuito del BJT y es independiente de la carga R,
Etapas amplificadoras bdsicas a bajafrecuencia 411

Resistencia de entrada Ri

La resistenciaRs de la Fig. lo-21 representa la resistencia de la fuente de seal. La resistencia que se


ve mirando desde los terminales de entrada B y E del transistor es la resistencia de entrada R, o sea:
Vh
R, = - = rh t r, = h,, (10.38)
Ih
Obsrvese que RC tambin es independiente de la carga e iguala la resistencia de entrada in
cortocircuito hae.Sir r rh como es lo normal, RE = r I

Ganancia o amplificacin de tensin AY


La relacin entre las tensiones de entrada V,, y de salida Vaconstituye la ganancia de la etapa. Siendo
R, la combinacin de R, y r, en paralelo tendremos:

(10.39)

donde I,(R$ + rh + r .) es la expresin de Kirchhoff para el lazo de la base. Sustituyendo VI = /,r II y las
Ecs. (10-38) y (10-33) en la (10-39) se llega a

para r,, >> R,, R, = R, y la Ec. ( 10-40) se reduce a

Ok%vese que no puede aumentarse A, aumentando arbitrariamente R,. Si R,. rO,R, = r,, y A, pasa
a ser

para r I Rs + rh. Sustituyendo las Ecs. (10-34) y (10-35) en la (10-42) se llega a 1 A, 1 = V,/V, siendo
esta la ganancia mxima de la etapa.
La situacin de la Ec. (10-42) se da frecuentemente en etapas amplificadoras integradas. Tal como se
representa en la Fig. 1O-22a, las etapas integradas utilizan a menudo fuentes de conientepnp en lugar de
la resistencia R, de la Fig. 10-21~. El espejo (Q2 y Q3) polariza ambos y provee la resistencia de carga
para Ql como se ve en la representacin de la Fig 10.22b. Si la corriente en R,, es despreciablefrente a
ID, como frecuentemente sucede, Z,, = I,, y se estabiliza el punto de trabajo de Ql. La Fig. 10-22~ es el
equivalente de pequea seal de la Fig. 10-226, y es idntico a la Fig. lo-216 si Rrj se identifica con R,
Evidentemente, si Ra rC,como es el caso si se utiliza una fuente Widlar o Wilson en lugar del simple
espejo de corriente de la Fig. 10.22a, la amplificacin A, de la etapa vendr dada por la Ec. (10.42). El
trmino carga activa se aplica a la fuente de corriente usada como se ha descrito en el prrafo anterior.
En la Sec. 14-2 se presenta un detallado examen de las cargas activas.
Algunas veces en la lit~raturaprotesional Vdv, se identifica con unaganancia de tensin. Esta cantidad
es la relacin de transferencia de tensin entre entrada y salida, llamada a veces ganancia de transduccin,
cuya relacin con A, y A, es:
412 Microelectrnica moderna

Puesto que esta ganancia no incluye el efecto de la resistencia R,qde la fuente de seal, generalmente
resulta menos til en el diseo de amplificadores prctiros.

Resistenciade salida
En un circuito de etapa nica, la resistencia de salida R,, es la resistencia R, vista desde la carga. Por
definicin, R se halla haciendo la tensin de fuente VSigual acero y R, tendiendo hacia infinito, aplicando
una fuente <i a los terminales de salida y midiendo la corriente l? producida. Por tanto R,, - V,/l,. Con
VS= 0, I, y V ~son nulas. As, Ix = VJr,, y
vz
R,, = - = v,, (10-43)
12
Normalmente los sistemas amplificadores constan de varias etapas. Consideremos la situacin en la
que los terminales X-Y de la Fig. 10.21b estn conectados a la entrada de otra etapa. Aqu cqnviene
conocer la resistencia de salida R#, de la etapa amplificadora, es decir, la resistencia de salida que tncluya
10sefectos de R,. En la Fig. IO-21b se ve claramente que K,, es la combinacin de rt, y R, en paralelo
Etapas amp1ijkadora.s bsicas a bajajkxuencia 413

Obsrvese que parar, >> R<, R. = R,


En la prctica, el caso en que r, R, se da con tal frecuencta que es convemente suponer que r,
tiende a infinito. Anlogamente, los valores de r,, que se encuentran son suficientemepte pequeos para
suponer que rb = 0 introduzca errores casi siempre despreciables. Con estas suposiciones se simplifican
grandemente los clculos manuales permitiendo al diseador fijar rpidamente las prestaciones del
circuito. Cuando es necesaria una gran precisin se emplean programas de anlisis de circuitos (tales
como SPICE, MICROCAP II, etc) con la ayuda de computadores. En lo que resta del texto el lector puede
suponer que r6 = 0 y r, = infinito, salvo que se indique otra cosa. Los resultados de la configuracin en
emisor comn se resumen en la primera columna de la Tabla 10-3. En la Tabla 10.3A se ha hecho uso
de los supuestos citados; los resultados de la Tabla IO-3B incluyen estos elementos y slo se supone que
p, r,, >> rb + r r + R,.

10-11. EL SEGUIDOR DE EMISOR


El esquema de la Fig. 10.23a corresponde a un amplificador en colector comn (CC). A esta
configuracin se le llama tambin seguidor de emisor porque su ganancia de tensin es prxima a la unidad
[Ec. (lo-50)] y por tanto un cambio en la tensin de la base se manifiesta con un cambio igual en la carga
en el emisor. Dicho de otra forma. el emisor sigue a la seal de entrada. Veremos ms abajo que la

Figura 10-W. (a) Etapa en colector comn (seguidor de emisor), y (b) su ctiuito equivalente de baja frecuencia.

Tabla lo-3A. Ecuaciones aproximadas de una etapa amplificadora (ro+=, r6 = 0)


Con&wacin

Cantidad CE CE con R, CC CB

R, r_ = h,, 1
rw + (B.. + Ibu, r* + (6. + OR,
I + P &-.m
* P,H, (P, + Iu?&
A, -A,- Rr R,
R, + r, R, + R. R, + R, R, + R, = R,

r R, + I-
R.. r z
1 + P.,
R: R< R< R,llR,, R,
414 Microelectrnica moderna

Tabla IO-3B. Ecuaciones de una etapa amplificadora


Configuracin
Cantidad* CE CE con R, CC CB

Pd = PA Pd* -(Pa + Ika Po


A, r, + R R, r,, + R, + RE r, + RI: Pe + RJRIR,
Tn + r + R,[r.s(l + P.) + Rd ro + r,
R, Th + r, = h.. h rb + rn + RXI + P<J
r,, + R, + RI %RrRc + I
-LL& - P.RL (1 + P,JR; -R,. PS>
A R, + rb + r,, R, + rb + rn + Rdl + &R,JR,) R, + R. R, + R, I + @.RJRc)

R.. r" r,,1+ LLRE


R, + ro + r,, + RE 1 r.211 R, + Q, + rn
1 + P,
r,,
R1 R, = r,llRc R,lIRc UE" RMr

resistencia de entrada R, de un seguidor de emisor es muy alta (centeneres de kiloohmios) y la de sahda


R muy baja (decenas de ohmios). Por tanto la utilizacin ms corriente de un circuito en colector comn
e! amanera de etapa separadora que cumple la funcin de transformacin de resistencias (de alta a baja)
dentro de un amplio campo de frecuencias con ganancia de tensin prxima a la unidad. Adems el
seguidor de emisor aumenta el nivel de potencia de la seal, es decir, que proporciona una ganancia de
potencia.
La Fig. IO-23b representa el circuito equivalente del seguidor de emisor. Obsrvese que el colector
est atierra respecto ala seal (porque la alimentacin V,, se ha sustituido por un cortocircuito de acuerdo
con la 5 regla dada en la Sec. 10.9).

La gananciade corriente
Aplicando la ley de Kirchhoff a la Fig. lo-23b, la corriente de salida 1 vendr dada por
1, = -Ib - g,v, (10-45)

Y
v, = IhT,, (10-46)
Combinando las Ecs. (10-45) y (10.46), haciendo p, = gm rs y la formacin de la relacin IJI,, resulta

A, = t = p(p<, + 1) (10-47)

La resistencia de entrada
La resistencia de entrada R, es la relacin VJIr Aplicando la tey de Kirchhoff al lazo extenor de la
Fig. lo-226 se obtiene
Etapas umplifcadoras bsicas a baja fiecuencra 415

V, = I,r, I,RE (10-48)

SustituyendoI de la Ec. (10.47) y dividiendo por],

R,=?=I--+(I +P,)R, ( 1O-49)

Observamos en la Ec. (10-49) que R,para el seguidor de emisor es notablemente mayor que R, = r,,
para la etapa en emisor comln, an con pequeos valores de R, porque p, 1.

Ganancia de tensin
La tensin de salida es Vo = I,R,. Puesto que VS= l,R& + V,, con las E<:s. (10.47) y (10-48) se llega,
despus de algunas manipulaciones algebraicas a

A,=!L= (Pt>+ l)R, (B,, + IIR,:


V, R, + r, + (Po + ~)RE R\ + R,
Con (V,, + 1)Rz R> + r nacomoes lo normal, A, es aproximadamente igual pero ligeramente inferior
a la unidad.

La resistencia de salida
La resistencia R. es la resistencia de Thvenin vista desde los terminales X-Y. Como la tensin de
Thvenin es simplemente V,, = A,V ,, la determinacin de la corriente de cortocircuito I,, nos da R(, = V,// (,
Obsrvese aue Is, = -I#>y haciendo R, = 0 (cortocircuito) se puede llegara (Prob. 10.46).
CR, + r,)R,i(l + Po)
R:, = (10.51)
[CR, + r,Ml + Po)1+ RL
La Ec. (10-51) indica que R,, es la combinacin de las resistencias R, y (R, + Rx)/(I + p,,) en
paralelo. En la Fig. 10.23h vemos que Ro = R, 11 R, = 0 y por tanto
R = R> + r, (10.52)
,j
1 f PC,
Obsrvese que la resistenciu de salida esfuncin de lu resistenciu defuenre R,. Como p,, 1, R<,de un
seguidor de emisor es pequea (ohmios) comparado con la resistencia de entrada que es grande (decenas
o centenas de kiloohmios). Los resultados para la etapa en colector comn figuran en la tercera columna
de la Tabla 10-3.
416 Microelecmnica moderna

10-12. EL AMPLIFICADOR EN BASE COMN


El circuito de la Fig. 3-g es una etapa amplificadora en base comn si V,, y R, se sustituye por una
fuente de seal VSde resistencia interior a R,. El circuito equivalente es el de la Fig. 10-24, y observamos
que el empleo del modelo de pequea seal y los resultados obtenidos son independientes de que est en
consideracin un transistor npn o pnp. Aplicando a este circuito las definiciones de A,, R,, A, y R,, dadas
en la Seccin 10-10, se llega a los resultados de la cuarta columna de la Tabla 10-3. Se deja para el lector
la comprobacin de estas frmulas (Prob. IO- 42)

10-13. COMPARACIN ENTRE CONFIGURACIONES


DEL AMPLIFICADOR BJT
En la Tabla 10.4 se dan los valores numricos de A,, R,, A,, R, y R(,de las tres configuraciones bsicas
del amplificador BJT, para R, = R, = 1.5 kQ y RY= 0.6 kR y un transistor que tenga p,, = 100, ;, = SO
Q y g,, = 0.1 U El valor de g, corresponde a un BJT polarizado a l,, = 23 mA [Ec. (lo-34)]. El valor
de r, = 50 kR se obtiene pzua una tensin Early V, = 125 V. Para cada configuracin se dan tres valores
de A,, R,, A,, Ro y RC,.La primera columna de cada circuito se obtiene de las ecuaciones de la Tabla 1O-3A
(rh = 0, r, + - ), La Tabla 10.3B se aplica para el clculo de los restantes valores, con rh = 50 n , r
+ m en la columna 2 y r, = 0, r,,,= 50 ka en la columna 3 (para las tres configuraciones). La comparacin
entre datos de cada configuraclon demuestra la utilidad de las relaciones aproximadas de la Tabla IO-3A.
Con la excepcin de valores de R,, sealados - , los resultados de la columna 1 no difieren ms del 5%
de los de las columnas 2 y 3. Adems, los resultados de la columna 1 (pam cada circuito) concuerdan,
dentro de un 10% con los medidos para un transistor con los valores de narmetros dados.

Configuracin en emisor comn (CE)


En la Tabla 10.4 vemos que slo la etapa en emisor comn es capu de proporcionar una gananaa de
tensin y de corriente mayor que la unidad. Esta configuracin es la ms verstil y utilizada de las tres
Obsrvese que los valores de R, y Ro estn entre los de las configuraciones CE Y CC.

Configuracin en colector comn (CC)


En la etapa en colector comn, A, es alta (aproximadamente igual a la de la etapa en emisor comn).
Etapas amplificadoras bsicas a baja frecuencia 417

A, es menor que la unidad (pero prxima a ella) R, es la ms alta y Ro la ms baja de entre las tres
configuraciones. Este circuito se emplea mucho como etapa separadora entre una fuente de alta
impedancia y una carga de impedancia baja.

Configuracin en basecomn (CB)


Raramente se emplea sola la etapa en base comn (o como una de entre varias etapas en base comn
en cascada) debido a que la gran disparidad entre las resistencias de entrada y de salida impiden
virtualmente cualquier ganancia. En la Tabla 10-3 vemos que siempre se realiza que A, < 1, y con R, y
R, del mismo orden, tambin A, puede ser menor que la unidad. La etapa en base comn se usa juntamente
con otras (por ejemplo una cascada CE-CB) por su extremadamente baja resistencia de entrada que
contribuye a mejorar la respuesta en frecuencia de las etapas combinadas. Es interesante observar que la
ganancia de transduccin y,, / V(,de la etapa en base comn es alta, pero debido a la resistencia de entrada
sumamente baja la gananaa en tensin de la etapa tambin es baja.

10-14. AMPLIFICADOR EN EMISOR COMN CON UNA RESISTENCIA


DE EMISOR
La ganancia de tensin de una etapa en emkor comn depende de p,, como indica la Tabla 10-3. Este
parmetro del BJT depende de la temperatura, su envejecimiento, proceso de fabricacin, as como de
otras variables, presentando el mismo grado de variabilidad que p,. En consecuencia, frecuentemente se
necesita hacer que la ganancia de tensin de la etapa A,, sea prcticamente insensible alas variaciones de
p,. (Esto es anlogo a hacer /, independiente de p,. para estabilizar el punto Q.) Una forma sencilla y
eficaz para conseguir una ganancia de tensin insensible es aadir una resistencia de emisor R, ala etapa
en emisor comn como indica la Fig. 10.250. La desensibilizxin conseguida es consecuencia de la
realimentacin facilitada por R,. (En el Cap. 12 se ver el concepto general de realimentacin).
En esta seccin demostraremos que R, tiene los siguiente efectos sobre el comportamiento dinmico
delaetapaamplificadora: dejalagananciadecorrienteprcticamenteinvariadaaumentandolaresistencia
de entrada en (1 + p,> R,; tambin la resistencia de salida aumenta. Con la condicin de que (1 + pJ
R,R, + r R,la ganancia de tensin es virtualmente independiente de p, aproximadamente a -RJR,
Para el anlisis en baja frecuencia de este circuito puede emplearse el circuito equivalente de la Fig.
IO-2%. En este circuito vemos que
4 18 Microelectrnica moderna

1, = R,,V* (10-53)

V<> = -I,> R, (10.54)


v, = I,q,, (10.55)
Las ecuaaones de Klrchhoff para el lazo de la base dan

-V, + ZAR, + rn) + (1, + gn,Vw)& = 0 (10-56)

Y
Vtz = Ib + r, + (1, + gmV,)R, ( 10.57)

De las Ecs. (10-53) y (10.55) resulta

A, = k = P,~ (10-581

Sustituyendo la Ec. (10-53) en la (10-55) y dividiendo por Ib resulta

R, = ? = Y, + (1 + PJR, (10-59)

Combinando las Ecs. (10.53) a (10.56) y despejando V,/V$ resulta

Av = $ = -ci&
(10-60)
, R.y+r,+(l +P,,)R,
Para (1 + b,) R, >> Rs + r,+ la Ec. (10.60) se reduce a

-&
A, = _ (10.61)
RE
independiente de los parmetros del transistor. Hacer que A, sea independiente de las variaciones de p,,
es a expensas de una reduccin apreciable de la ganancia comparada con la que se obtiene con una sol
etapa en emisor comn. Sin embargo, en muchas aplicaciones el beneficio obtenido compensa este
inconveniente.
Las resistencias de salidaROy R. de la Flg. 10-25~ son respectivamente infinita y R, ya que admitimos
que r, tiende a infinito. Si inclmmos el efecto de r, (Prob. 10.47) las resistencias de salida sern las dadas
en la Tabla 10.3B.

lo-15 ETAPAS AMPLIFICADORAS FET


Las principales configuraciones del amplificador FET son anlogas a las de lab etapas BJT comentadas
en anteriores secciones. El anlisis de estas etapas se basa en el modelo FET de pequea serial introducido
en la Sec. 4-14; el mtodo empleado es semejante al seguido para el BJT (Sec. 10-9). En esta seccin nos
referiremos alas configuraciones de fuente comn (CS) y drenaje comn (CD) empleando las notaciones
de corrientes y tensiones para el FET dadas en la Tabla 10-2.

Circuitos equivalentesde pequea seal


El modelo de baja frecuencia y pequea seal, vlido tanto para el JFET como para el MOSFET est
Etapas amp1ijhdora.s bsicas a baja frecuencia 419

representado en la Fig. 4-36 y repetido para mayor comodidad en la Fig. 10.26a. Los prametros gm y r,,
del modelo quedan definidos por las Ecs. (4.17), (4-19) y (4-23) repitindose tambin para mayor
comodidad en las Ecs. (10-62) y (10-63).

xn, = j$ m pua JFETs ( 10.620)


,J
I w
i?,,,= 2vk z &, para MOSFETs (IO-62h)

Obsrvese que tanto los valores de g, como los de r,, dependen de la polarizacin. Comparando la Fig.
lo-26a con el modelo hbrido-n del BJT (Fig. 10-20) se ve que son equivalentes si rb = 0 y rx + m
(circuito abierto).
La resistencia de salida rd nomxalmente no es suficientemente grande para poderla despreciar (as
como se desprecia r,, en el modelo BJT). Corrientemente rd es del orden de unas pocas decenas de
kiloohmios para el MOSFET; para el JFET rd puede ser de hasta varios centenares de kiloohmios. El
valor de gm para un FET polarizado a IDn es inferior que el de un BJT polarizado a Ice = IBo. Por tanto,
para conseguir la misma ganancia de tensin que un BJT con resistencia de colector R,, la etapa FET
necesitar normalmente una resistencia de drenaje R, > R,. Debido a los altos valores de R, frecuente-
mente empleados, no se puede despreciar en el modelo la resistencia de salida incrementa1 rd. Puesto que
en el anlisis del amplificador FET debe incluirse rd, muchas veces es conveniente emplear el modelo de
la Fig. 10.26h. La tensin de la combinacin fuente-resistencia ( p Vg,-rd) de la Fig. IO-26b es la fuente
de tensin equivalente ala fuente de corriente gmVgsen paralelo con rd en la Fig. 10-26. La magnitud I-I,
llamada factor de amplificacin viene dada por

G = RJ, (10.64)

El circuito abierto entre puerta y fuente en el modelo hace que lg = 0 de forma que es moportuno
considerar A, y R, en etapas FET (corrientemente). Para la mayor parte de circuitos FET, la ganancia de
tensin A, y la resistencia de salida Rc, son los elementos ms importantes que describen la actuacin
amplificadora.
120 Microelectrnica moderna

El circuito bsico que analizamos es el de la Fig. 10.27~. Obsrvese que si medimos la tensin de la
salida desde drenaje a tierra (neutro, sealado N) esta es una etapa enjiirnte comn COIZ~sisrencia de
fuente. Si Rs = 0 la erapa es enfienfe comn normal (Fig. 10.28~). Anlogamente si la salida se toma
entre fuente y tierra, siendo R, = 0, el circuito es un amplificador seguidor de fuente en drenaje comn
(Fig. 10.28h). Al incluir R, esta etapa se convierte en etapa en drenaje comn con resistencia de drenaje.

Anlisis de la etapa amplificadora FET generalizada


La Fig. 10.27h representa al circuito equivalente de la etapa FET generalizada (bsica) de la Fig.
10.27a. Obsrvese que empleamos la forma de circuito equivalente de la Fig. IO-26b. Aplicando la ley
de Kirchhoff al lazo del drenaje y suponiendo una excitacin senoidal, tendremos

I,R,i + l,,r, WV,, + I,iR, = 0 (10-65)

Segn la Fig 10.27h la tensin entre G y S viene dada por

v,, = K - ldK.5 ( I O-661

Combinando las Ea. (10-65) y (10-66) tendremos

IJ
l,, = v, (10-67)
r<, + RB + (1 + p<)R,\

Las tensiones de salida V,, y Vo2entre drenaje y tierra y entre fuente y tierra respectivamente son

P,,, = f I,,K ( = I1R5


v,
I<, + R,, -t (1 + /.L)R,
La ganancia de tensin de la etapa en fuente comn con resistencia de fuente eh
Etapas amplificadoras bsicas a baja frecuencia 421

Con p 1, y mediante la Ec. (lo-64), A, se puede expresar

(10-71)

La resistencia de salidaR,, es la resistencia Thvenin vista mirando los terminales 1 y 2. La corriente


de cortocircuito se puede expresar como
w
I... = Id V, (10.72)
K,>=O r,, + (1 + PR

Figura 10-B. (a) Etapa en fuente comn, y (b) etapa en drenqe comn (seguidor de fuente). Este circuito se puede deducir de la
Fig. 10.27a haciendo Rq = 0 [pan (a)] o bien R, = 0 [para (b)l.

Tabla 10.5 Ecuaciones de las erapas FEI

Cantidad CS CS con resistencia de fuente CD

R;,,= p = R,,[re,
+ MI + ELJI= R,l [r<i+ R.,(l+ 41 (10-73)
SC Rn + rd + RA1+ II)
La resistencia de salida R ,, de la etapa en fuente comn con resistencia de fuente es

R ,,/ = r</ + RsC1 + CL)= rd(l + gmR.5) ( 10.74)


para p 1.
En la segunda columna de la Tabla 10-5 constan los resultados de la etapa en fuente comn con
resistencia de fuente.
En una torma similar, al hallar la resistencia de Thvenin entre S y N tendremos

(10-75)

Nuevamente R 0, = R, 11R, y as

Para p ,Q 1 y rd >> R,, R, = l/g,.

La etapa en fuente comn


HaciendoR = 0, podemos deducir las Ecs. (10-70) y (10-74) a:

(10-77)

Y
Ro, = rc/ ( 10-78)

De donde RiI = R, 1 1Ro, = R, I/ rd.

La configuracin en drenaje comn


La configuracin en drenaje comn o seguidor de fuente se obtiene a partir de la Fig. lo-27 haciendo
R, = 0 y utilizando Vo, como salida como se ve en la Fig. 10.28h. En esta situacin las Ecs. (10-69) y
( 10-75) se convierten en

Para~>>l y l/gm<<R,,A,= 1 yR,, = R,, = l/gm. Estos resultados indican que el seguidor de fuente
tiene unaganancia cercana a la unidad y una resistencm de salida baja como su semejante BJT el seguido]
de emisor. Los resultados para la etapa en drenaje comn figuran en la Tabla 10.5, columna 3.
Las ecuaciones de la Tabla 10-5 se pueden obtener directamente de la Tabla 10.3B por la similitud
entre los modelos de las correspondientes etapas BJT y FET. El procedimiento a seguir es:
l- Identificar R,, R,, rd y gm de la etapa FET con R,, R,, r,, y gm respectivamente de la etapa BJI
semejante.
2. Fijar rb = 0 y despus de emplear p,j = gmr I hacer r n + =-. Por ejemplo, utilizando el valor de RS
de la configuracin en colector comn de la Tabla 10.3B, la identificacin del paso 1 da Ro = rd II (R, +
rh + r J/ (1 + p,), que al aplicar el paso 2 queda en Ro = rd 1) (R, + r .)/( 1 + gmr .) que cuando r r+ m
se.reduce aR = rd ii l/& = rd( 1 + II), siendo ste el valor de Ro dado en la Tabla 10-5 para la etapa en
drenaje comn.
Se previene al lector que no llegue a conclusiones errneas al observar que el tratamiento de los
amplificadores FET es con mucho ms breve que el de las etapas BJT. Mientras que los amplificadores
BIT se emplean con mayor frecuencia, muchos amplificadores integrados modernos emplean BJT y FET
en un mismo chip (tecnologas BIMOS y BIFET). Adems se fabrican con tecnologas NMOS y CMOS
una variedad de sistemas de procesado de Wiales que utilizan tanto circuitos digitales como analgicos.
Los conceptos bsicos de amplificador son comunes para ambos tipos de transistores, y las configuraciones
BJT y FET descritas son semejantes. Puesto que las conclusiones respecto a los amplificadores BJT se
pueden aplicar directamente a las etapas FET consideramos que repetir para los amplificadores FET los
anlisis detallados de las etapas BJT es innecesario (adems de ineficaz y tedioso).

10-16. AMPLIFICADORES BJT EN CASCADA


Vemos en la Tabla 10-3 que la ganancia de tensin de un amplificador de una sola etapa depende de
la resistencia de carga de la etapa (Rr para las etapas de emisor comn y de base comn y R, para la
configuracin en colector comn). Tal como se puntualiz en la Sec. 10-7, la magnitud de la resistencia
de carga no se puede especificar independientemente debido alas condiciones impuestas por lapolaiza-
cin. Por tanto, la ganancia conseguida con un circuito de una sola etapa puede muy bien no ser suficiente
para el fin particular propuesto. Adems, las resistencias de entrada y salida pueden tener valores que no
sean los adecuados al objeto pretendido. Para superar estas dificultades se pueden conectar en cascada dos
o ms etapas; es decir, conectar la salida de una etapa a la entrada de la siguiente como se ve en la Fig.
10.29.

El anlisis de la configuracin en cascada se basa en los resultados obtenidos para una sola etapa tal
como se describe a continuacin. En primer lugar obtendremos el equivalente de Thvenin de la primera
etapa en los terminales l-l, es decir, la porcin del circuito de la Fig. lo-29 que figura en la lo- 3Oa.
Para este amplificador de una sola etapa la tensin de salida (fuente de tensin Thvenin) es A,, VTy la
resistencia de salida R,,. Esta combinacin acta como fuente de seal y como resistencia de fuente de
la segunda etapa como en la Fig. 10-306. Para la etapa de la Fig. 10.30b la tensin de salida es A,, veces
la de entrada o sea V,, = A,,A,,V, , y
V
- = AL = .rI,,A,z (10.81)
V,
El mtodo que lleva a la Ec. (lo-8 1) es aplicable a varias etapas repitiendo el proceso. Obsrvese que
si A,, y A,, son mucho ms grandes que la unidad, la ganancia total de la cascada A, es mucho mayor
que la ganancia que pueda tener cualquier etapa. Veremos esto en el siguiente ejemplo:

Ejemplo 10-7

El amplificador en cascada de la Fig 10.3 1 consta de dos etapas en emisor comn, una de las cuales
424 Microelecrrnica moderna

tiene una resistencia de emisor, y una etapa en colector comn. Obsrvese que no estn representadas las
componentes de polarizacin y se supone que su efecto es despreciable. El transistor Ql tiene p, = 100
y r 1 = I,O kR: los transistores Q2 y Q3 tienen p,, = 100 y rx = 0,s k0. Determinar la ganancia total.

Solucin

Primero obtendremos el equivalente Thvenin de la primera etapa en los terminales I-I , Esta es una
etapa en emisor comn con una resistencia de emisor para la que la ganancia de tensin viene dada en la
segunda columna de la Tabla 10.3A. Sustituyendo valores tenemos

A - 100 x 1
= = -7.63
VI 2 + 1 + (1 1 100)0.1

La resistencia Thvenin es K,, = R,, = 1 kR. Ahora obtendremos el equivalente Thvenin de la


segunda etapa en los terminales 2.2. Tal como se ve en la Fig. 10.32h el equivalente Thvenin
de la primera etapa es la fuente de seal de la segunda. La ganancia de tensin de esta etapa en emtsor
comn. segn la columna 1 de la Tabla 10.3A es
-100 x 2
A 133
L1 - 1 + 0.5 =
y la tensiln de salida de la etapa 2 es A, / A,, V, = 102OV,. Esta tenGn excita la etapa en colector comn
y tiene una resistencia de fuente R,,, = 2 kR como se muestra en la Fig. IO-32<,.
Etapas amplijicadoras bficas a baja frecuencia 425

De la columna 3 de la Tabla IO-3A se tiene

(100 + 1) x 5
Av, = 2 + 0.5 + XIO0 + 1) = 0.995

La ganancia total es, segn la Ec. (10.81)

A, = A.,A,lAv3 = (-7.63)(- 133)(0.995) = 1010

Es interesante observar que si se pidiese a un amplificador de una sola etapa, por ejemplo el Q2, que
diese la misma ganancia al ser excitado por la fuente de seal de la Fig. 1O-30 se necesitara una resistencia
de colector de 30 kR. A temperatura ambiente los parmetros de Q2 indican I,.(,= 5 mA. En consecuencia,
para esta sola etapa V,, debera exceder de 15OV, tensin evidentemente impracticable para la mayora
de circuitos de transistores. (Los valores sealados en este parrfo se comprueban en el Prob. 10.65.)
La ganancia total de corriente A, no es igual al producto de las ganancias de las etapas individuales
porque la corriente de salida de una etapa no es igual a la corriente de entrada de la siguiente. En la Fig.
10-3 1 podemos ver que la corriente de salida de Q 1 (en la resistencia de 1 k 0) no es la de entrada (corriente
de base) de Q2. De todas formas podemos deducir A, de la ganancia de tensin total A,.
Consideremos la situacin de la Fig. 10-33~ en la que el amplificador consiste en un cierto nmero de
etapas en cascada. Para este circuito A, = Vg,/\%.Convirtamos ahora las combinaciones en serie de V, y R,
en su fuente de corriente equivalente como en la Fig. 10.336. Para el circuito de la Fig. IO-33h, podemos
identificar la ganancia de corriente con A, = IJI,. Sin embargo I0 = -V,/R, y de la conversin de la fuente
1, = V,IR,\, o sea
A =&= ~.LJRI --R, v,, R,
Y (10.82)
1, VJR, R, v> RI
Por tanto, conoaendo R, y R,. podemos deducir A, de A,? (o viceversa).
Las resistencias de entrada y de salida de una configuracin en cascada son simplemente la resistencia
de entrada de la primera etapa y la de salida de la ltima. En el circuito de la Fig. 10-31 la resistencia de
entrada es la de una etapa en emisor comn con una resistencia de emisor. Esta es evidentemente superior
a la que se puede conseguir con una etapa nica en emisor comn. Anlogamente, la etapa de salida en
colector comn provee una resistencia de salida verdaderamente baja. As, pues, el amplificador de la Fig.
10-31 tiene una resistencia de entrada alta y una resistencia de salida baja, con una ganancia de
aproximadamente 1000. En la Tabla 10-4 vemos que ata combinacin no se puede lograr con cualquier
configuracin sola.
426 Microelectrnica moderna

Etapas FET en cascada


Las etapas de transistores de efecto campo se conectan en cascada para conseguir mayores ganancias
de tensin de las que se pueden conseguir con una etapa nica. La ganancia total de los amplificadores en
cascada viene dada por la Ec. (lo-8 1) en la que A,, son las ganancias de tensin de las etapas individuales
(Tabla 1O-5). En la mayor parte de amplificadores BJT en cascada se emplean cmfiguraciones semejantes,
tales como las de fuente comn-fuentecomn, (CS-CS), fuente comn-drenaje comn (CS-CD) (Ejemplo
10.7) y cascodo (la fuente comn-puerta comn del Prob.10.72).

lu-17. ETAPAS DE TRANSISTORES MIXTAS (COMPOUND)


Las Figs. lo-34 y lo-35 representan tres amplificadores de etapas en cascada muy empleados como
circuitos integrados. Cada uno de estos circuitos se puede considerar como un BJT equivalente, de donde
le viene el nombre de mixto o compuesto. Estos circuitos combinan o mejoran las propiedades que
se pueden conseguir con las distintas configuraciones. El comportamiento de las etapas de transistores
mixtos es similar al del amplificador en cascada del Ejemplo 10.7. En los diseos de circuitos integrados
frecuentemente se utilizan estos elementos como etapas individuales en un amplificador en cascada.

ca/ (bl
Figura 10.34. Transistores m,xtos (compuestos): (a) Par Darlmgton (cascada CC-CC,, y (bj cascada CC-CE
Eiapas amplificadoras bsicas a baja frecuencia 425

Configuracin CC-CC (Darlington)


La cascada colector comn-colector comn de la Fig. 10-34~ se denomina frecuentemente fransistor
Dar&ton o par Darlington. La fuente de corriente lE, se emplea para proporcionar la polarizacin del
circuito. Para el transistor compuesto (sombreado) Ib, es la corriente de entrada e Z, = I,, + Z<,es la salida.
Obsrvese que la corriente de entrada de la seal en Q2 es la corriente de emisor de Ql, o sea

1<2 = PJh2 = L%m, + III,, y 1, = I<, + L2 = BJb, + P,>(P + l)Ib,

de donde la ganancia de corriente del transistor mixto p,, es

EL< = 2 = B&L + 2) = P (lo-831

para p,, 2. Para p, = 100, p:, = 1V; evidentemente, la ganancia de corriente se ha acrecentado.

EI,>.CF

Figura 10.35. El cascodq o cascada CE-CB.

Con ms frecuencia se emplea el transistor Darlington como seguidor de emisor porque tal como indica
la Tabla 10-3 el valor extremadamente elevado de p,, hace que A,. sea virtualmente igual ala unidad, R,
extremadamente grande v R extremadamente bajo.

Conexin CC-CE
El circuito de la Fig. 10.34h corresponde a un circuito colector comn-emisor comn de propiedades
similares a las del par Darlington. La ganancia de corriente del transistor mixto es aproximadamente pi,
ya que la corriente de emisor en Ql es la de base en Q2.
La composicin CE-CC es prefetible a la configuracin CC-CC al formar etapas en emisor comn
equivalentes. La ventaja de la configuracin CC-CE es debida al hecho de que los colectores de Ql y Q2
no estn conectados corno en el par Darlington. A CZZSI de la conexin de los colectores (esencialmente
en paralelo) se reduce la resisten.cia de salida (ro < w).Adems, la respuesta en frecuencia de la conexin
CC-CE es superiora la del circuito CC-CC.

Conexin CE-CB (Cascodo)


El empleo primario del circuito cascodo (emisor comn-base comn) de la Fig. lo-35 es el de facilitar
428 Microelectrnica moderna

una ganancia de tensin alta dentro de un campo de frecuencia ms amplio del que puede conseguir una
etapa en emisor comn. La respuesta en frecuencia de la etapa CE-CB se estudiar en la Sec. Il -11. En
la Fig. 10-35, la corriente I,, es V/(Rs + R,) para la etapa en emisor comn, o sea
V,
4, = (10.84)
Rs + r,~
Se observa que p,, I,, = Ic, = -II,, con p,, 1, la ganancia de corriente de la etapa en base comn es
igual ala unidad. Podemos llegar a la conclusin que Io = I<, = /<, = p, l,,, de donde la ganancia total de
corriente es A, = IolI,>, = p,.
La tensin de salida V,, = -l,p, que con la Ec. lo-84 nos da

El valordeil, enlaEc. ( 10-85)eselcorrespondienteaetapanicaenemisorcomnconunaresistencia


de carga R,. Sin embargo, en el circuito cascodo la resistencia de carga de la etapa en emisor comn es
R, para la etapa en base comn. Como indica la Tabla 10-4, el valor de R, es significativamente ms bajo
que el de R, necesario para obtener la ganancia. Esta baja resistencia de carga en la etapa en emisor comn
es lo que mejora el funcionamiento del circuito cascado a altas frecuencias

10-18. EL AMPLIFICADOR DIFERENCIAL


El amplificador- diferencial, par de emisw ucoplado, o pur drfrrencul el un bloque constructiva
esencial en los modernos amplificadores integrados. Este circuito, representado en la Fig. 10.36 ya fue
citado en la Secc. 3-12 en donde se puso de manifiesto que su funcionamiento se fundaba en la facultad
de construir elementos emparejados en un solo chip. Tambin se vio que con pequeas diferencias de
tensin V, (4V, >IV, / en la Fi.g 3.33), el par diferencial se comporta como un amplificador lineal. En este
captulo examinaremos con mayor detalle su comportamiento a baja frecuencia.
En la Fig. lo-36 se ha incluido la resistencia de salida R, de la red de polarizacin de la fuente de
corriente (Sec. 10-3). Como veremos prximamente, esta resistencia juega un papel importante en la
actuacin. Supondremos que la corriente en R, es despreciable comparada con la I,,. Obsrvese que no
Etapas amplificadoras bdsicas a baja frecuencia 429

se ha sealado ninguna R, = 0 y que la resistencia de dispersin de la base rh = 0 para nuestro anlisis del
amplificador diferencial. En la prxima seccin se tratar de los efectos de estos elementos.

Modo diferencial
Para V, = V, y suponiendo p, >> 1, las corrientes de colector y de emisor de cada etapa son iguales
(1, = I /J). Todas estas corrientes tienen magnitudes iguales (aproximadamente) alJ2 debido ala simetra
del circuito y ala despreciable corriente en R,.
Incrementemos ahora V, en A 42 y disminuyamos simultneamente V, en A v/2. Efectivamente
estamos aplicando una seal incrementa1 A v/2 a B, y una seal -Av/2 a B,. La tensin diferencial V, =
V,-V, aumenta en Av. Con A v <4V, el circuito funciona linealmente como indica la caracterstica de
transferencia para la Fig. 3-33. (Esta caracterstica de transferencia es slo una aproximacin ajustada a
la situacin que estamos viendo aqu, ya que la Fig. 3-33 fue desarrollada para R, + -.) As, I,, wxx A
1, e I,, disminuye en igual cuanta (el incremento de l,, = Al,-), Como I,. =II,l los cambios en I,, e I,,
aparecen tambinen los emisores. En consecuencia, lacorrienteen R, permanece invariable (el incremento
de corriente en R, es nulo) haciendo que la tensin V, se mantenga asimismo constante. Recurdese que
en el anlisis de pequea seal las tensiones constantes se reemplazan por cortocircuitos. As, en nuestro
modelo incrementa1 cada emisor est atierra.
La situacin que acabamos de describir se conoce como modo dferencial porque las seales de entrada
(A v/2) aplicadas a Ql y Q2 son iguales y opuestas existiendo una serial diferencial V,. Para el modo
diferencial puede dibujarse el circuito incrementa1 como en la Fig. I O-370. Obsrvese que el modelo para
los transistores no est mostrado explcitamente pero s implcitamente debido al funcionamiento incre-
mental. Ya que ambos lados del circuito son idnticos slo deberemos analizar uno de ellos. Este concepto
de semi-circuito lo emplearemos en la prxima seccin para analizar detalladamente el amplificador
diferencial.

Modo comn
Consideremos que las dos tensiones V, y V, aumentan en A v/2. La tensin diferencial V, permanece
nula, mientras que I,, e I,, son iguales. No obstante, como existe R, tanto una como otra experimentan
un pequeo incremento 6 Zr Nuevamente aparecen en el emisor los cambios de I, y por tanto la corriente
enR, aumenta en 2 SIc. La tensin V, yano es constante sino que debe aumentaren 2 SZP,. Esta situacin
en la que se aplican seales iguales a Ql y Q2 se denomina modo comn. El circuito equivalente
incrementa1 est representado en la Fig 10.37b, en la que va implicito que Ql y Q2 se r-epresentan por
sus modelosdepequeoseal.
En la Fig. 10.37b se ven dos resistencias, cada una de ellas de valor 2R,. La tensin a travs de cada
una es 2 SIP, e iguales los cambios incrementales en yF; as, las dos resistencias estn en paralelo y 2R,
112R,= R,. Como se ve en la figura las dos mitades del wcuito son simtricas y slo ser necesario analizar
una de ellas. El equivalente del modo comn es el de una etapa en emisor comn con una resistencia de
emisor.
Resulta evidente en la Fig. lo-37 que, dependiendo de la seal de entrada, el amplificador diferencial
acta o bien como etapa en emisor comn o bien como etapa en emisor comn con resistencia de emisor.
Por tanto la ganancia de esta etapa es notablemente mayor en el funcionamiento como modo diferencial
que como modo comn. Normalmente los amplificadores diferenciales se disean de forma que a efectos
prcticos slo resulten amplificadas las sekales diferencia.
Como anteriormente se ha seIi&do varias veces, no pueden fabricarse en un chip condensadores
430 Microelectrnica moderna

(p) (b)
Figura 10-37. El concepto de medio circuito: (0) equivalente de pequea sefial y modo diferencial, y (b) equivalentede pequea
seal y modocomn del par diferencial. (Se sobreentiende que los transistores de sustituyen por su circuito equivalente incremental.)

grandes (de paso y acoplamiento), y por ello los circuitos integrados son generalmente acoplados
directamente. Sin embargo, en muchos amplificadores diferenciales existe el efecto producido por los
condensadores de paso y de acoplamiento como consecuencia de la simetra del circuito. La tensin V,
permanece constante en el modo diferencial, y tal como se aprecia en la Fig. lo-37a, el emisor est a tierra
para el anlisis de pequea seal. As, es como si R, estuviera con bypass. Anlogamente, la tensin
entre los dos colectores V,>,-V,,,es nula en el modo comn y es el doble de la variacin de Vo, (o V,,?) en el
modo diferencial. Como la seal aplicada A v puede hacerse positiva o negativa, la tensin Vc,,-V,,,puede
ser positiva o negativa (alrededor de 0 V). Este es simplemente el efecto producido por un condensador
de acoplamiento.
Tambin es difcil, con cualquier tecnologa de integracin, fabricar grandes resistencias, si bien en la
Fig. 10.36 R, se representa como una resistencia, esta resistencia normalmente est formada por la
resistencia de salida de un espejo de corriente (Fig. 10-22). En la Seccin 14-2 se tratar de estas cargas
actwas.

10-19. ANLISIS DE AMPLIFICADORES DIFERENCIALES


El anlisis del amplificador diferencial se basa en el concepto de semi-circuito citado en la Sec. lo- 18.
Este mtodo se vale de la simetra del circuito tanto en el modo diferencial como en el modo comn

Ganancia A,, del modo diferencial


Consideremos que se aplica una seal V,, a la base de Q1 de la Fig. 10.36 y que a B, se le aplica
-V,,. En estas condiciones del circuito de la Fig. 10.37a es vlido (con A v/2 sustituido por V,,).
Empleando el concepto de san-circuito, es decir, analizando slo una mitad del circuito, se llega al modelo
de pequea seal de la Fig. IO-38a. Este es el modelo de una etapa en emisor comn con R, = rh = 0, y
segn la entrada A,. en la primera columna de la Tabla 10.3A,
Etapas amplificadoras bsicas a baja frecuencia 431

Con V,, positivo, V,I = A,,VD,w y como se ve en la Ec. (10.86), AB es negativo de forma que Vo, est
defasada 180 respecto a V,, (V,>,se ha invertido). Puesto que Q, esta excitado por -V,,, V,,, = -A,,,V,,,, y
V,,, est en fase con V,, (V,,, no invertido).

Ganancia A,-, del modo comn


Cuando se aplica una sefial ucM a ambas bases de la Fig. lo-36 (modo comn) es vlido el circuito de
1aFig. 10.37bresultandoel circutoequivalentedelaFig. IC-38b. Paraestecircuito lagananciail,,,, (segn
la entrada A, en la segunda columna de la Tabla 1O-3A) ser:
A --= V - P.A
(10-87)
<M
v, M 2(/3,, + IV?,. + rw
Con p,, >> 1, y dividiendo por rii, la Ec. (10.87) se convierte en

( 10.88)

para 2gmR, 1. Como la misma seal se aplica a Ql y a Q2, tanto V,,, como V,, estn defasados 180
respecto v,,

Relacin de rechazo del modo comn


En principio el amplificador diferencial se disea para ampbficar sefiales diferenciales; por tanto se
requiere que A,, A,. Una forma de valorar la actuacin de un amplificador diferencial es mediante
la relacin de rechazo del modo comn CMRR definida como

(-MRK E 2 (10-X9)

Combinando las Ecs. (10.86) y (10.88) resulta


CMRR = I + 2g,,,K,. = ZK,J, ( 10-90)
432 Microelectrnica moderna

Como puede verse en la Ec. (10-90) los grandes valores de la CMRR requieren grandes valores de R,
y frecuentemente se necesita emplear fuentes de corriente con resistencias de salida altas. Obsrvese que
si RE+ -, la CMRR + -=, A,, = 0 y no aparece en la salida ninguna componente en modo comn. Con
estas condiciones se ha trazado la caracterstica de transferencia de la Fig. 3.33.

Salida para sealesde entrada arbitrarias


En nuestros anteriores comentarios se supone la existencia de seales ya sean en modo comn o en
modo diferencial. Esto no se ajusta a la realidad y raramente sucede en el mundo real. Sin embargo, las
seales de entrada arbitrarias se pueden descomponer en componentes en modo comn y componentes
en modo diferencial. Consideremos aplicadas a Ql y Q2 las seales V, y V, respectivamente. Este par de
seales se pueden representar como suma y diferencia de otras dos seales V,, y V,., o sea:

v, = v,x! + VLWI vz = V,M VUM

Despejando V,, y V,, de estas ecuaciones tendremos


VI-v2 Vd
v BM (IO-910)
2 2

v, + v2
VCM 2 (10.91b)

El efecto de esta descomposlcin est representado en la Fig. 10-39. Se aphca la superposicin porque
el circuito acta linealmente. La salida consta de dos componentes, una de ellas atribuida al par de fuentes
V,, y la otra al par de fuentes V,,. As pues, una de las componentes de la salida es debida a la seal de
entrada diferencial y la otra est producida por la entrada en modo comn. La tensin de salida es

V,,, = AUMVL>M + Ac,wV,-w (10.Y2u)

VCM
VI>M + G) (10.92h)

La Ec. (lo-92h) demuestra la importancia de la CMRR si slo hay que amplificar seales diferenciales.
A medida que la CMRR aumenta, la componente de salida en modo comn va perdiendo importancia.
La tensin de salida Vo, se expresa
Voz = -&MI/M + A<,wV<.\, q-930)

= -Am VC.U
Vm, ~ (IO-93b)
i CMRR i
Substituyendo las Ecs. (10-91) en las (10.92) y (10-93) se tiene

v.,, = AM
-2 Vl +
v, + v, ( I o-Y4rr)
! CMRR

v02- -Am+, VI + Vi)


( IO-Y4h)
2 CMRR ,
Etapas amplijhdoras bdsica a baja frecuencia 433

Las Ecs. (10-94) son una forma alternativa de las tensiones de salida que figuran en la literatura.
Obsrvese que la seal diferencia V, aparece explcitamente.

Ejemplo 1 O-8

El amplificador diferencial de la Fig. lo-36 est diseado con R, = 100 kR y R, = 500 kR. Los
parmetros de pequea seal del transistor wn p, = 103 y R,,,= S,O mU. Se aplica a Ql una senoide de 2
mV de tensin eficaz y no se aplica seal alguna <l? = 0) a Q2, (a) Determinar la tensin de salida V,,,.
(h) Reptase lo anterior suponiendo que V, = 0 y que la senoide se aplica a Q2.

Solucin

Debemos calcularA,,,, A,,w y descomponer la seal de entrada en sus componentes de modos comn
y diferencial. De las Ecs. (10.86) y (10.88) se obtiene
-5 x 100
AI>.,, 5 x 100 = -500 Am = = -0.10
li 2 x 5 x 500

(a) Con V, = 0, las Ea. (10.91) dan V,, = V,,w = V,/2 = 1 mV. Con la Ec. (10.930) tendremos

V,,, = ~(~500)10m + (-O.lO)lO~ = 499 mV = 500 mV

(h) Con V, = 0, las Ecs. (10.91) dan V,>,w= -(V,/2) = -1 mV y C,,u = V,/2 = 1 mV. Nuwarxnte, con la
Ec. (10.930) se tiene

V,,, = -(-500)(-lo-) + (-O.lO)(lO~) = -501 mV = -5OOmV

De los resultados obtenidos en (a) y (h) es evidente que a efectos prctico5 slo ha sido amplificada
la seal de modo diferencial. Esto es -Ia consecuencia & tener una CMhR = A,,, / A,, = 5.000. Los
434 Microelectrnica moderna

fabricantes incluyen en sus catlogos el valor de las correspondientes CMRR expresados en decibelios
(dB). En este circuito, CMRR en decibelios = 20 log 5000 = 74 dB.

En el Ejemplo 1O-8 queda reflejada la situacin corriente en la que slo se utiliza un terminal de salida.
Anlogamente, con frecuencia se aplica una sola seal de entrada. Vemos tambin en el resultado de este
mismo ejemplo que el defase de la salida respecto a la entrada depende de cul de las bases se excita.
Cuando la seal se aplica a B,, V, est defasada 180 de V,, es decir, que se ha invertido y a B, se le
denomina entrada inversora.
Las seales de entrada y salida estn en fase cuando la seal se aplica a B, por lo que a sta se le
denomina entrada no inversora. El valor de Pm= 10 puede alcanzarse con la combinacin CC-CE (Fig.
lo-34b) y R, = 100 kR se logra con una carga activa (espejo de corriente).

Efectos de la resistencia de fuente


Los efectos sobre A,, y A, de la resistencia de la fuente de seal puede explicarse realmente por el
anlisis del par en emisor acoplado. Supuesto que el circuito permanezca equilibrado (es decir, una
resistenciaRxestconectadaaambosB, yB,)elanlisisesidnticoaldescritoenestaseccin. Semodifican
los valores de A,, y A, para incluir Rs y rh como dados en la Tabla 10-36. Sin embargo, lo ms frecuente
es que con los valores tpicos se puedan despreciar sus efectos (Rx < 1OkR y rb = lOOn). Empleando los
valores dados en el Ejemplo 10-8 para que i. = pO/gm= 2MR, es evidente que R, + rh 5 10,l kti es
despreciable frente a rm.

Resistenciasde entrada y de salida


Las resistencias de entrada y de salida pueden ser identificadas para ambos modos, comn y diferencial.
No obstante, dos de ellas tienen un inters particular; la de salida en el modo diferencial, RYIDM,y la de
entrada en el modo diferencial R,,, En el modo diferencial R,,,, es justamente R. de la etapa en emisor
comn, es decir, R,. Una advertencia: cuando R, se deduce de una carga activa no puede prescindirse de
la resistencia de salida , del BJT sino que debe incluirse corno se da en la Tabla 10.3b.
La resistencia de entrada en modo diferencial R,,D,, es la resistencia vista por la seal diferencial V,,
es decir, la medida entre los terminales de base de Ql y Q2. Puesto que en el modo diferencial ambos
emisores estn puestos a tierra, la resistencia de entrada es simplemente la suma de las resistencias de
entrada de cada transistor, o sea:
R iuh!4 = Zr, (10-95)

10-20. AMPLIFICADORES DIFERENCIALES FET


El par de fuente acoplada o amplificador diferencial se constmye con MOSFET corno se ve en la Fig.
lo-40 (en amplificadores diferenciales, tambin se emplean los JFET). La estructura equilibrada de este
amplificador conduce aun anlisis paralelo al del par de emisor acoplado descrito en la seccin lo- 18. La
ganancia del modo diferencial A,, es la ganancia de una etapa en fuente comn (Tabla 10-5) y para la
etapa en fuente comn con resistencia de fuente es la columna 2 de la misma Tabla 10-5 la que da la
ganancia Ai, en modo comn. La relacin de rechazo CMRR definida en la Ec. (10-89) es
Etapas amplificadoras bdsicas a baja frecuencia 435

Figura 10-40. Par acoplad0 por fuente.

2RdI + /LL)
CMRR = 1 + (IU-Y6)
r,, + Rn
Para d >> R, y F >> I <la Ec. (10.96) se reduce a
CMRR = 1 + 2g,,,RS = 2g,,,Rs (10.97)

Obsrvese que la resistencia 2R~ se deduce del concepto de semicircuito empleado para describir el
/ amplificador diferencial.
Para formar R, de la Fig. lo-40 se emplean cargas activas, frecuentemente MOSFET de deplexin.
De hecho, la mayor parte de&nplificadores MOSFET o JFET fabricados en un chip emplean cargas activas
/ para conseguir valores de resistencia altos y conservando la superficie del chip.

10-21. EL AMPLIFICADOR OPERACIONAL (AMP-OP)


~
l El amplificador operacional o Amp-Op es un amplificador directamente acoplado de gran ganancia
que se emplea para llevar a cabo una gran variedad de funciones. A veces se describe como el circuiro
infqrado lineal bsico (IC) y muchos fabricantes encapsulan entre una y cuatro unidades idnticas en un
solo chip. An cuando muchos Amp-Op comprenden la cascada de un par diferencial, etapa en emisor (o
fuente) comn y seguidor de emisor (o fuente), se emplea mucho como amplificador de una sola etapa.

(4 (b)
Figura 10-41. Ampliicador Operacional (Amp-Op). (0) Smbolo del circuito, y (b) circuitoequivalente
436 Microelectrnica moderna

El objetivo de esta seccin es el de introduccin a las configuraciones bsicas del Amp-Op que volveremos
a encontrar en los Cap.11 a 16. El Cap.14 est dedicado exclusivamente a un examen ms detallado del
diseo interno v a vxias de sus aplicaciones. En la Cuatta Parte se tratar de otros muchos circuitos en los que
el Amp-Op forma parte integrante.
El diagrama esquemtico del Amp-Op puede verse en la Fig. 10-41~ y su correspondiente circuito
equivalente en la lo-41h. En esta ltima figura se ve que el Amp-Op es una fuente de tensin gobernada
por tensin. La tensin de salida v, es la seal diferencia Vg,=vI-vz, amplificada. Los signos y + en la
entrada del Amp-Op se refieren a los terminales de entrada mversor y no inversor. 0 sea, que si vz = o, v0
esta defasado 180 (invertido) respecto ala seal de entrada v.. Asimismo, si v, = 0 la salida v. y la entrada
p estn en fase (no invertidos).

El Amp-Op ideal
El amplificador operacional ideal goza de las siguientes caractersticas:

1. La resistencia de entrada R, + m (circuito abierto). Por tanto 110hay corrirnte en ningn terminal de
entrada.
2. La resisten& de salida Ro = 0.
3. La pnancia de tensin A,, + m, La tensin de salida v0 = -A,v, es finita ( IV,, 1 < -). As, cuando A,
tienda a infinito se requiere que v, = 0.
4. El amplificador responde igualmente a todas las frecuencias (el ancho de banda es infinito)
5. Cuando v, = v2, V, = 0 independiente de 1 Y, 1 La inversa tambin es cierta.
Tanto para el Amp-Op. ideal como para el prctico real se emplea el nusmo smbolo. Para distinguirlos
se ha indicado la ganancia finita A, en el tringulo para el caso real y se ha omitido en el caso ideal (Fig.
10.42).
Elcircuito de la Fig. lo-420 es una etapa amplificadora inversora empleando un Amp-Op ideal.
Debido a que la corriente de entrada es nula, existe la corriente 1 en ambas R, y R2. Adems, ya que V, =
0 se deduce que

(10-98)

de donde

(10-99)

En la Ec. (10-99) se observa que A, depende nicamente de la relacin de resistencias. Recurdese que
en la fabricacin de circuitos integrados, se puede controlar la relacin de resistencias con mucha ms
precisinque los valores individuales decadaresistencia. Adems, al diseare1 circuito se puede conseguir
la amplificacin deseada para una aplicacin dada controlando los valores de resistencias exteriores al
dispmitivo amphpador. Esta es una de las propiedades del sistema de realimentacin comentado en la
~c. 12.3. (Obsrvese que R2 provee la realimentacin desde la salida a la entrada.)
EI Amp-Op se usa a manera de etapa amplificadora no inversora en el circuito de la Fig. 10.426 (la
Fig. IO- 42ccorresponde al esquema de un circuito alternativo). Para hacer que en la Fig 10.42b, V, = 0,
se requiere que

v, = v, v1 = R : R v,, v, = 0 (10-100)
I
Etapas amplificadoras bsicas a baja frecuencia 437

Despejando suponiendo que Av = VJV, tendremos


R, + R2
Av = (10-101)
R,

La Ec. (10-101) indica una vez ms que la realimentacibn proporcionada por R? hace que A, dependa
slo de la relacin de resistencias R,/R,.
Si en la Fig. I O-42 hacemos R2 = 0, A, = 1 (y la resistencia R, es innecesaria). Esta etapa (representada
c LaFig. 10-43) se denominade ganancia unidado seguidorade tensin yaque tieneresistenciadeentrada
infinita (alta), resistencia de salida cero (baja) y ganancia unidad. Obsrvese que este circuito tiene
propiedades casi idnticas a las de los circuitos seguidores de emisor y de fuente descritos anteriormente.
Vamos a demostrar que las ctapas del Amp-Op se aproximan mucho a las siguientes.

Etapas Amp-Op inversoras prcticas


La Fig. 10-440 representa el circuito equivalente de una etapa inversora empleando Amp-Op prcticos.
Para demostrar los efectos de las desviaciones respecto al ideal (R,<=-, A, <-, R,, f 0) hallaremos el
equivalente de Thvenin de la etapa vista por la resistencia de carga R,. Primeramente reemplazaremos
!a fuente V, y las resistencias R, y R, por un equivalente de Thvcnin como el indicado en la Fig. 10.44h.
La fuente equivalente es V,R,/(R, + R,) y la resistencia equivalente R, /1 R, Obsrvese que cuando R,
R, como es IO usual, estas magnitudesse reduce a V, y R, respecuvamentc. AS, pues el etecto de la
resistencia de entrada del Amp-Op puede despreciarse supuesto que R,>>R,. En lo que resta del anlisis
supondremos que este es el caso.
En la Fig. IO-44h
V,, = -A, V, - IR,, (10-102)
Y

Figura 10.43. Seguidorde tensibn


438 Microelectrnica moderna

Aplicando la ley de Kirchhoff

V, + I(R, + RI + R,,) - A, V, = 0 (10-104)

Combinando las Ecs. (10-102) a (10-104) y despejando VJV, tendremos


pA,R? + R,,
(10-105)
R,(I + A,) + R2 + R,,
Obsrvese en la Ec. (10.103) que si A, 1 y A,R, R, + R,], A, tiende a -R,/R,. Si A, es suficiente-
mente grande A, tiene el mismo valor que en el caso ideal. El efecto de R,, es despreciable (A, es
independiente de R,2)siempre que A,R, y A,R, sean cada una de ellas mayor que Ro. Podemos llegara la
tonclusindequesiA,,esmuygrande,latensindeThveninA,V, = -R,V,/R, yesvirmalmente independiente
de las desviaciones respecto al ideal del Amp-Op.
Para hallar la resistencia de Thvenin R, (resistencia de salida de la etapa vista por RL) calcularemos
la corriente de corto-circuito I,<. Para R, = 0 (cortocircuito) la corriente 1, en la rama R,-R, es

ioi fh)
Figura 10.44. (a) Circuito equivalentede etapaiwe~~a 00 ideal de Amp-Op. (h) El mismo circuito hablendo sustituidu V, R, y
R,por suequivalentede Thvenin R ,-v

y la comente 1, en R,, es
A,
II = R (10-107)

Combinando las Ecs. (IO-106), (10-107) y (10-103) con V,, = 0 se tiene:

-A,(R, + RJV,
1% = I \ + I,,
R,(I + A,) + R, + R.,
de donde

(RI + RM., = I(R) + RI)R.,I/(R, + R? t R,,)


R, = (10.1091
R,(I + A,) + R? + R,, 1 + lA,R,IlR, + Rz + Ro)1

El valor de R, es significativamente inferior que R,,. En la forma de la derecha de la Ec. (10.109) el


numeradores R,, /I (R, + RJ lo que es menor que R,,. Esta resistencia est dividida por un trmino positivo
elevado (para A, >> 1) y por tanto R, + 0 cuando A, + m,
Etapas amplijkadoras bsicas a baja fiecueneia 439

Ejemplo 10-9

Se disea una etapa Amp-Op inversora con R, = 5 kQ, R, = 10 kR y R, = 100 C2. El Amp-Op tiene A>
= 5 x 104,R = 500 R y R -+ m: (a) Determinar la tensin a travs de R, para una seal de entrada de 1,5V
de tensin eficaz. (b) Repetir lo anterior suponiendo que se trata de un Amp-Op ideal.

Solucin

(a) El equivalente de Thvenm de la etapa viene dado por las Ecs. (lo-103 y (10-109). Haciendo
operaciones numricas tendremos
-5 x 104 x 10 + 0.5
A,.V, = x 1.5 = -2.9998 V
5(1 + 5 x 104) + 10 + 0.5
(5 + 10)0.5
R = = 0.027 f1
5(1 + 5 x 104) + IU + 0.5
Empleando el equivalente de Thvenin, la tensin a travs de R, es

RL
JJ,>= AVV, = ,uu :;,o,7 x (-2.9998) = ~2.999 = -3.0 V
RL + R<>

(b) En el Amp-Op ideal, la tensin a travs de R, es simplemente A,V, o V,, = -(R,/R,) V, = -( lO/S) 1,5
= -3,0v.
Estos resultados indican que con los valores corrientes de A,, y de R,, la diferencia entre los valores
reales y los ideales es despreciable.

La etapa no inversora prctica


La etapa no inversora prctica (Fig. 10.45) se analiza en idntica forma que la etapa inversora. El valor
de A, viene dado por la Ec. (10-l 10) y el de R, por la (10.109) admitiendo que R, + m.

A,(R, + RJ (10-110)
Av = R,(l + A,) + R> + R,,
440 Microelectrnica moderna

El objeto del Prob. lo-83 es precisamente la comprobacin de estos resultados. De las Ecs. (10-l 10)
y (10-109) se llega fcilmente a la conclusin de que la etapa no inversora se aproxima mucho al caso
ideal cuando A, esmuy grande.

10-22. APLICACIONES ELEMENTALES DEL AMP-OP


En esta seccin introduciremos varios circuitos bsicos de amplificador operacional muy empleados
en la amplificacin de sistemas y seales.

Amplificador sumador
La disposicin de la Fig. lo-46 puede emplearse para obtener una salida que sea combinacin lineal
de un cierto nmero de seales de entrada. Como en la entrada del Amp-Op existe una tierra. virtual
l tendremos
i=5+!i+...+5
RIR> R>
l y

Si R, = Rz = = R,,, entonces
R'
ti,, = -- (0, + CI +
R!
2 R'

Figura 10-46. Amplificador sumador inversor.


Etapas nmplijkadorm bsicas a baja frecuencia 441

y la salida es proporcional a la suma de las entradas.


Naturalmente, se pueden emplear muchos otros procedimientos para combinar seales. El mtodo que
estamos comentando tiene la ventaja de que se puede extender hasta un nmero muy elevado de entradas,
necesitndose tan slo una resistencia adicional por cada entrada adicional. El resultado depende, en el
caso lmite de una gran ganancia, slo de las resistencias involucradas, y debido ala tierra virtual hay una
mnima interaccin entre las fuentes de entrada.

Suma no inversora
Se puede obtener una sumadora cuya salida sea una combinacin lineal de las entradas sin cambio de
signo empleando el amplificador no inversor. En la Fig. lo-47 podemos ver tal sumadora. Segn la Ec.
(10-101) la salida viene dada por

en donde la tensin en el terminal no inversor v+ se halla por superposnn. Ior qemplo, la contribucin
a v+ debida a v* es v,RJR, + RJ siendo R la combinacin en uaralelo de todas las resistencias
unidas al nudo no inversor excepto R,; es decir, &=R, /I R, 11R, /I 11R.
Con n resistencias iguales de valor R, cada una:
R,>l R;i(n - 1) I
(10-l 13)
R; + R,> = R; + R;/(rr - 1) = II

+ = ; (ll; + c; + + c:,\ (10.114)

La salida viene dada por las Ecs. (10-l 12) y (10-I 14).
Es posible efectuar adiciones y sustracciones simultneamente con un solo amplificador operacional
sustituyendo la resistenciaR de la Fig. lo-47 por las n resistencias y tensiones de entrada de la Fig. 10-46.
Nuevamente se determina por superposicin la contribucin a v0de cualquiera de las tensiones de entrada.
Hay que resaltar que cuando se est considerando una de las tensiones v,, vr.. v,, el terminal de entrada
positivo est efectivamente atierra (si se puede despreciar la corriente de polarizacin). Anlogamente,
si se est considerando una de las tensiones v,, Y~... v~ la R de la Fig. lo-47 representa la combinacin
en paralelo de R,, R,... Rn.

Convertidor de tensin a corriente (amplificador de transconductancia)


Frecuentemente se desea convertir una seal de tensin en una corriente de salida proporcional. Esto
es necesario, por ejemplo, para la excitacin de una bobina de deplexin del tubo de un televisor. Si la
impedancia de carga no tiene ninguno de sus extremos atierra (si est flotante), el simple circuito de la
Fig. 10-46, con R sustituida por la impedancia de carga Z, constituye un excelente convertidor de tensin
a corriente. Con una sola entrada, v, = v,(t), la corriente en Z, es

fL = L,(f)
- (10.115)
RI
442 Microelectrnica moderna

Obsrvese que i es independiente de la carga Z, debido a la tierra virtual de la entrada del Amp-Op.
Puesto que la misma corriente circula por la fuente de seal y por la carga es necesario que la fuente de
seal sea capaz de suministrar esta corriente de carga. Por otra parte, el amplificador de la Fig. 10.48a
necesita muy poca corriente de la fuente de seal por la resistencia de entrada muy elevada vista desde el
terminal no inversor.
Si la carga 2, est atierra puede emplearse el circuito de la Fig. 10.48h. En el Prob. lo-88 veremos
que si R,/R, = R/R, tendremos

C,(f)
i,(t) = R (10-116)

Convertidor corriente-tensin (amplificador de transresistencia)


Las fotoclulas y tubos fotomultiplicadores dan una corriente de salida independiente de la carga. El
circuito de la Fig. lo-49 corresponde a un Amp-Op empleado a manera de convertidor de corriente a
tensin. Debido a la tierra virtual en la entrada del amplificador, la corriente Rs es cero e i, circula por la
resistencia de realimentacin R.
La tensin de salida es tz,,= -i,R. Hay que tener en cuenta que el lmite inferior de la corriente en este
circuito lo fija la corriente de polarizacin de la entrada inversora. Es frecuente colocar un condensador
C en paralelo con R a fin de reducir el ruido de altas frecuencias y la posibilidad de que se produzcan
oscilaciones. El convertidor corriente-tensin constituye un excelente medidor de corriente ya que es un
ampermetro con tensin nula a travs del medidor.
Rl R

,=, .

C
:-it-7
I R /

= -i,R
Etapas omplijicadoras bsicas a bajajrecuencia 443

Integradores
Si en la Fig. 10.42a se sustituye la resistencia R, por un condensador C, como se indica en la Fig.
10-50, el circuito acta como infegrador. En esta ltima figura i, = YJR, e i, = C(dvcJdf); puesto que no
entra corriente en el Amp-Op, i, = -ic Luego
&L _ -ce
RI dt
integrando y despejando v,

y por tanto el amplificador da una tensin de salida que es proporcional a la integral de la tensin de
entrada.
Si la tensin de entrada es constante, Y, = V, la salida ser en rampa, va = -Vt/R,C. Un integrador corno
ste constituye un circuito de barrido adecuado para el tubo de rayos catdicos de un osciloscopio y recibe
el nombre de integradorhfiller o barrido Miller. El circuito de la Fig. 10.50 es el de un integrador ideal,
el integrador prctico ser tratado en la Secc. 16-6.

REFERENCIAS

Ghausi, MS.: Electron Devices and Circuits: Discrete and Integrated, Holt, Rinehart and Winston, lnc.,
Nueva York, 1985.
Sedra, A.S., y K.C. Smith: Microelectronic Circuits, Holt, Rinehart and Winston, Inc., Nueva York, 198 1

Schilling, D., y C. Belove: Electronic Circuito--Discrete and Integrated, McGraw-Hill Book Compny
Nueva York, 1979.

Gray, P.R., y R.G. Meya: Analysis and Design of Analog lntegrated Circuits,2* ed., John Wiley and Sons,
Nueva York, 1984.
Grebene, A.B.: Bipolar and MOS Ana& Integrated Circuit Deign, John Wiley and Sons, Nueva York,
1984

Colclaser, R.A., D.N. Neaman, y C.F. Hawkins: Electronic Circuit Analysis, John Wiley & Sons, Nueva
York, 1984.

Soclof, S.: Analog Integrated Circuits, Prentice-Hall, Englewood Cliffs, NJ., 1985.
444 Microelecrnica moderna

TEMAS DE REPASO
10-l. Dibujar un circuito de polarizxin fija y explicar por qu no resulta satisfactorio si se u~stituye el transistor
por otro del mismo tipo.
10-z. iQu se entiende por campo dinmico?
10.3. Explicar por qu la corriente de colector en reposo debe set esencialmente independiente de las variaciones
de 8,. para lograr estabilizar la polarizacin.
10.4. (a) Esbozar el esquema del circuito de un espejo de corriente.
(6) Explicar brevemente cmo funciona este circuito amanera de fuente de corriente.
10.5. ;.Qu efecto tiene la tensin Early V, sobre la corriente de salida de un cspcjo?
10-6. (u) Dibujar el circuito de una fuente dc corriente Widlar
(h) Ctense dos ventajas del circuito Widlar.
10.7. Repetir el tema 10-6 para una fuente de corriente Wilson.
10-S. (a) Dibujar la red de polarizacin de cuatro resistencias.
(h) Explicar brevemente cmo acta esta configuracin para mantener I,,, constatte al vana p,.,
10.9. Explicar la funcin de: (a) un condensador de acoplamiento, y (b) un condensador de paso.
10.10. Cteme tres causas de variacin de la corriente de colector en un circuito de componentes discretos.
10-11. Un transistor se excita con una corriente de base senoidal grande, cuyo valor supera el de reposo IBi! para 0
5 cot a y es menor que Ipg para JI < tot < 2x. Las variaciones de la corriente de colector alrededor de lcLi
json mayores cuando wt = 1x12 o cuando tot = 3 x/2? Explquese la respuesta con el auxilio de una
construccin grfica.
10.12. Esbozar el esquema del circuito de una fuente de corriente MOSFET y explicar brevemente su funciona-
miento.
10.13. Explicar cmo la red de polarizacin de cuatro resistencias tiende a minimizar 1x5 vari~iones de l,,p
motivadas por los cambios de uno a otro FET.
10.14. Explicar las diferencias entre lo< i,, 1, e !,
10.15. (a) Para un carga acoplada por capacidad, la carga en conrmua jes mayor o menor que la carga en alterna
(h) Mostrar el efecto de la carga acoplada capacitivamente basndose en las rectas de carga esttica y
dinmica.
10.16. Relacionar(a) g,. (h) rn y (c) I,, con la corriente de colector en reposo I,c,.
10.17. Calcular las cantidades del tema 10-l 6 para un transistor a temperatura ambientz siendo liy = 0,5 mA y
teniendo V,,= l2OV.
10.18. Dibujar el CITCUIIOequivalente a baja frecuencia de una etapa en emisor comn.
10.19. Repetir el tema anterior para: (a) una etapa en colector comn, y (h) una etapa en bahe comn.
10-20. iCul de las configuraciones (CE, 07, CC) tiene: (n) la mayor R,, (b) la menor R,, (c) la mayor R,,, (d) la
menorR,>, (e) la menorA,y j) lamenorA,?
10.21. (a) Comparar los valores de A,., A,, R, y R(, de una etapa en emisor comn con reGstencia de emisor R, con
los de una simple etapa en emisor comn.
(h) iQu ventajas se derivan del empleo de tal etapa?
10.22. (a) Dibujar la cascada de una etapa en emisor comn y una etapa en colector comn.
(b) iCules sonlagananciadetensin total, laresistenciadeentraday laresistenciade~alldade lacascada!
10.23. Se desea un amplificador de gran ganancia con grandes resistencias de entrada y de salida. Si se emplea una
cascada de tres etapas iqu configuracin conviene a cada una de ellas? Explquese.
10.24. (a) iCul es la resistencia de carga efectiva de una etapa interior de un amplificador en cascada?
(b) ,Cul es la resistencia de fuente efectiva de tal etapa?
10.25. (a) Dibujar el circuito de un seguidor de emisor empleando un par conectado Darlington.
(b) iCul es la ventaja del par Darlington?
10.26. (a) Esbozar el esquema del circuito de un amplificador cascodo.
(b) iCmo se equiparan A, y A, de este circuito con los valores correspondientes de una ctapa cn emisor
comn?
10.27. Dibujar el esquema del circuito de un par de emisor acoplado (diferencial).
10.28. (a) Definir el modo diferencial.
(b) Definir el modo comn.
Etapas amplijcadoras bsicas a baja frecuencia 445

(c) Dibujar el san-circuito equivalente de los apartados (a) y (h).


10.29. Definir la relacin de rechazo del modo comn.
10.30. Escribir una ecuacin para la tensin de salida de un amplificador diferencial en funcin de CMRR, de la
ganancia diferencial A,,, y de las sealesde entrada en los modos comn 9 diferencial.
10-31. adentro de qu campo de diferencias de tensin V, acta linealmente el par de emisor acoplado?
10-32; Dibujar el modelo de baja frecuencia de (a) la etapa en fuente comn, y (b) la etapa en drenaje comn.
10-33. Se debe construir un amplificador FET de gran ganancia y resistencia de salida baja. Si se emplean cuatro
etapas:
(n) iCul es la configuracin de cada una de ellas?
(b) iCul es la ganancia total?
10-34. Ctense cinco propiedades del Amp-Op ideal.
10-35. (a) Dibujar el esquema del circuito de una etapa Amp-Op no inversora, e indicar su circuito equivalente.
(b) iCul es la ganancia de tensin de esta etapa?
10.36. Repetir el tema anterior para una etapa no inversora.
10.37. (a) Dibujar el esquema del circuito de un integrador.
(b) Deducir una expresin que demuestre que la salida es proporcional a la integral de la entrada.
Respuesta en frecuencia
de los amplificadores

Las seales utilizadas en muchos swzmas electrmcos necesttan ser amplificadas con un mmmo de
distorsin. En estas condiciones los dispositivos activos involucrados deben funcionar linealmente, por
lo que hay que aplicar los criterios de pequea seal. El primer paso para el anlisis de estos circuitos
consiste en emplear un modelo lineal para sustituir al real. Luego ya es cuestin de anlisis determinar las
caractersticas de transmisin de la red lineal.
En el Cap. 10 ya enfocamos la cuestin del funcionamiento a baja frecuencia de las etapas amplifica-
doras. Para ello consideramos que tanto las capacidades internas de los transistores como los condensadores
exterioresdeacoplamientoydepaso, siloshay, tienenunefectodespreciableencuantoalfuncionamiento.
Sin embargo, se exige a los amplificadores que trabajen dentro de un amplio campo de frecuencias. El
lmite inferior de este campo puede ser en continua (etapas directamente acopladas) o de unos pocos hertz
y el lmite superior puede llegar a ser de varias decenas de megahertz. El estudio de amplificadores de
banda ancha se vio estimulado por la necesidad de amplificar los impulsos que existen en sistemas
de comunicacin tales como la televisin y el radar. Al hacer el anlisis de amplificadores en un campo
de frecuencias tan amplio hay que incluir las capacidades antes despreciadas. Puesto que la reactancia
capacitiva vara con la frecuencia, las caractersticas de transmisin de los modelos lineales dependen de
esa frecuencia. La ganancia del amplificador depende de la frecuencia de la seal de entrada lo que puede
dar una seal de salida con distorsin en frecuencia. En este captulo veremos cmo una seal de entrada
de bajo nivel conteniendo muchos componentes de frecuencia desde cero (continua) hasta unos pocos
megahertz se puede amplificar con una distorsin mnima.
Al enfocar esta cuestin investigaremos primeramente la respuesta de amplificadores BJT y FET de
una sola etapa. Los amplificadores de mltiples etapas se tratan relacionando la respuesta global con la
respuesta en frecuencia de las etapas componentes. Se han desarrollado mtodos para evaluar aproxima-
damente esta respuesta en frecuencia.
Los amplificadores integrados, que invariablemente estn acoplados directamente, tienen su campo
limitado alas frecuencias altas debido alas capacidades internas del transistor (C, y C, en un BJT). Las
etapas de componentes discretos estn tambin limitadas a bajas frecuencias debido a los condensadores
de acoplamiento y de paso utilizados. Por tanto trataremos primeramente del comportamiento de las etapas
simples y mltiples a alta frecuencia y luego veremos sus limitaciones abaja frecuencia.

ll-l. CARACTERSTICAS DE RESPUESTA EN FRECUENCIA

La aplicacin de una seal senoidal de bajo nivel ala entrada de un amplificador da una onda de salida
tambin senoidal. Sin embargo, con una excit?cin no senoidal, la onda de salida no es una rplica exacta
de la seal de entrada ya que los componentes de la entrada a distintas frecuencias se amplifican
448 Microelectrnica moderna

diferentemente. Cuando los efectos de los elementos internos capacitivos, o cuando el circuito exterior
(condensadores de acoplamiento o las impedancias de carga) tienen una componente reactiva, la ganancia
A = A L 0 es un nmero complejo. Tanto el valor de A como el ngulo de fase 0 dependen de la frecuencia
de la excitacin. En lo que resta del texto utilizaremos los smbolos A y 0 citados. La caracterstica de la
respuesta en frecuencia de un amplificador es la representacin grfica de la ganancia y fase en funcin
de la frecuencia. Invariablemente, para representar la respuesta en frecuencia se emplea el diagrama de
Bode. El grfico asinttico de Bode constituye una aproximacin adecuada de esta caracterstica.

Consideraciones sobre fidelidad


Las siguientes consideraciones sugieren un criterio que puede utilizarse para wmparar dos amphfu-
dores en cuanto a su fidelidad al reproducir la seal de entrada. Cualquier onda arbitraria de importancia
en ingeniera puede reducirse a la representacin del espectro de Fourier. Si la onda es peridica se tendr
una serie de senos y cosenos cuyas frecuencias sern todas mltiplos enteros de la frecuencia fundamental,
Esta frecuencia fundamental es la inversa del tiempo que transcurre antes de que la onda se repita a s
misma. Si la onda no es peridica el periodo fundamental se extiende desde menos infinito hasta ms -
infinito. En este caso, la frecuencia fundamental es infinitamente pequea; las frecuencias de los sucesivos i
trminos del espectro difieren de una cantidad infinitesimal en lugar de finita y la serie de Fourier se
convierte en una integral. El cualquier caso, el espectro comprende trminos cuyas frecuencias se
extienden, en el caso general. desde cero a infinito.
Consideremos una seal senoidal de frecuencia angular w representada por Ve, sen (cot + $). Si la
Respuesra en frecuencia de los amplificadores 449

ganancia de tensin del amplificador vale A y la seal sufre un cambio de fase (retraso angular) 8 la salida
ser

AVmsen(wt + 4 - r?) =Amsen[w(~ -i) + +]

As pues, si la amplifcacin A es independiente de la frecuencra y el desplazamwm de fase 8 es


proporcional a la frecuencia (o es cero) el amplificador conservar la formade la seal de entrada, si
bien desplazada en el tiempo (retrasada) en una cuanta Wo.
Las ondas de la Fig. 1l-l muestran la distorsin resultante cuando la amplificacin de los componentes
de distintas frecuencias no es uniforme. Cada una de las tres curvas de la Fig. 1 l-l es la onda de salida de
un amplificador excitado por la tensin 0,l sen co, t + 0,l sen 2 w, t. La curva de trazos corresponde aun
amplificador de A = 10 y 8 = 0 a las dos frecuencias .f, = coi271 y 2f,,. La tensin de salida v0 = 1,0 (sen
w$ + sen 2wot) es una rplica de la seal de entrada.
Lacorvasefala~conon2ocurrecuandoA = 10 conf, y A = 73 con 2f,, y 8 = 0en ambas frecuencias.
La salida es vg = 1,0 sen w,t + 0,75 sen 2 o,t. En la tercera onda vg = 1,O sn wot + I,U sen (2 oot 30) y
es el resultado de la introduccin por parte del amplificador de un desfase de 30 a la frecuencia 2fo
Se aprecia claramente la distor&n debida alas variaciones de A y de 0 con la frecuencia. La situacin a
la que varan tanto A como 0 con la frecuencia, como es el caso de la mayora de amplificadores prcticos,
ser el objeto del Prob.11.1.
Estos comentarios sugieren que la cuanta en que la respuesta en amplitud del amplificador no es
uniforme y, el retraso de tiempo no constante con la frecuencia puede servir como medida anticipada de
la falta de fidelidad. En principio no es necesario especificar las respuestas de amplitud y de retardo, ya
que en casi todos los circuitos prcticos ambas estn relacionadas y especificando una de ellas queda
tambin especificada la otra. Sin embargo, se pueden dar casos particulares en que el indicador de
distorsin ms sensible sea una u otra de las respuestas.

Respuestasen alta frecuencia


Consideremos el circuito de la Fig. 1 l-20. El condensador C,, como se demostrar en subsiguientes
secciones, es el efecto de las capacidades internas del dispositivo amplificador (Cn y Cpxua el BJT, Czs
y C para. el FET). En la Fig. ll-26 puede verse la representacin frecuencia-compleJa (plano s) del
ci&ito de la Fig. 11%.
En la Fig. 1 l-2b, Z = R, 11l/s C, = R/( 1 + sRjCM) y de la relacin del divisor de tensin
Z Ri
v; = __ VS = (11-l)
R, f Z R, t Ri t sC,R,R,
lomo Vo = - gmR,V,, sustwyendo en la Ec. (1 l-l) tendremos
V -BAC&
o = AV.&) = (11-2)
V, R, + R; t sC,R,R,
y dividiendo por R, + K p:
-i?mRdW?~ + K,) AVO
A,(s) = (11-3)
1 t sC,R,R,I(R, t R,) = 1 t SIWH

(t) En105Apndices
CZy CSsecomentalafrecuencia
complejas
El numerador A,., de la Ec. (1 l-3) es la ganancia del circuito a s =jo = 0 (continua) para lo que la
reactancia de C, es infinita (circuito abierto). La frecuencia angular W, es la inversa de la constante de
tiempo del circuito de entrada siendo RqR,/(R , + R,) la resistencia equivalente vista por C,
Paras = jo la magnitud y la fase de A,Jja) = A ,H L @x son:

Obsrvese en la Ec. (1 l-4) que al crecer la frecuencia f de excitacin disminuye A,.,; finalmente A,, -f 0
d medida que J-=X Asismismo Bx representa un mayor desfase en retraso al aumentar f. Conf=f,, A,,
= Avo/fl = 0,707 A,o. Expresado en decibelios esto corresponde a una reduccin de A,, de 3 db del va
Ior de Abo recibiendo fH el nombre dc frecuencia superrur de 3 db. Como la potencia es proporcional al
cuadrado de la tensin, A, = A,/fi corresponde H un nivel de potencia a la frecuencia J = fH igual a la
mitad de la potencia af= 0. Por ello af, se le denomina tambinfwcuencia .su/xvinr de media potencia.

La caracterstica de respuesta en frecuencia dada en la Ec. (1 l- 5) est representada en el dlagranra de


Bode de la Fig. 1 l-3. Las curvas a trazos indican los valores reales y las de trazo continuo corresponden
al diagrama asintnico de Bode. Obsrvese que el eje de frecuencias se refiere afif, y el de ganancia a
A,,,IA,,,. Este circuito funciona como sistema de paso bajo porque como se ve en el dtagrama de Bode las
Respuesta en frecuencia de los amplififadores 451

frecuencias por debajo de f,, se transmiten con una atenuacin mnima; y las superiores a f,, sufren una
atenuacin.
Con los valores tpicos de los parmetros que se dan en las etapas amplificadoras prcticas (C, =
100 pF, R, = RJ = 1 kQ),f,, = 8MHz. Evidentemente, al aumentar (o disminuir) Rz y Rs (o CM),f,, decrece
(0 aumenta).

Respuestaen baja frecuencia


El circuito de la Fig. ll-4 representa una etapa amplificadora en la que l/sCc representa la impedancia
del condensador exterior de acoplamiento (C, en la Fig. 10-l 2 o C, para una etapa FET). De la Fig. 1 l-4

v, = KV,
R, + R, + lisC,
y de Vo = gR,V,

A,,(s) = - =
V,> gr&R, (11-5)
VI R, + R, + l/sCc
La Ec. (11-5) se puede replantear como:

(11-6)

La frecuencia angular wL es la inversa de la constante de tiempo del circuito C (R, + R,) (esto es la
resistencia equivalente vista desde C,) y as = jo,, l/o, C, = Rr + Rr. Obsrvese que A,(s)+ A vocuando
s = jw-f-. Cuando w+- la reactancia de C, tiende a cero, condicin en la que el efecto de los
condensadores de acoplamiento es despreciable. Con s =jw la magnitud y la fase de A, (jo) son:

En la Ec. (11-7) se observa que A, tiende a cero cuando lo hace f, e indica la atenuacin a baja
frecuencia. Este tipo de respuesta es la de un sistema paso alto como se ve en el diagrama de Bode de la
Fig. 1 l-5. .f, es lafrecuencia inferior de 3 db o de m~diaporencia. Con los valores tpicos corrientes (C,
= ~I.IF, R, = R, = 1 kR) wL = 500 rad/s y f, = 80 Hz. Evidentemente al aumentar (o disminuir) C,
disminuye (o aumenta) f,

Respuestatotal
El circuito de la Fig. ll-6 contiene tanto un dispositivo capacitativo C, como un condensador de
452 Microelectrnica moderna

Figura 11-5.Dwgama nomxdizadode Bodede la funcinde ansferenciadel circuito de la Fig. II -4.

acoplamiento C,. Del razonamiento anterior se desprende que la respuesta del circuno queda hutada en
las dos frecuencias, baja (C,) y alta (C,). Sin embargo, f,. y f,, estn muy separadas como se desprende
de los valores tpicos indicados. En consecuencia, las frecuencias en las que C, y C, influyen sobre la
respuesta son completamente dispares. En la Tabla 1 l-l figuran las reactancias de C, y de C, a varias
frecuencias basadas en los valores numricos dados anteriormente

Tabla ll-l. Reactancias de C, y CM a varias frecuencias


Frecuencia angular (radis) 500 5 x 10 5 x 10 5 x 100
Reactancia de C, = 1mF (W) 2K 20 0.20 0.02
Reactancia de C, = 100 pF (W) 50M ?M 5K 500

La resistencia en serie con C, es Rr + R,,= 2 ka. Como puede verse en la Tabla ll-l, con w 100, =
5 X lo3 rad/s, la reactancia de C, es desprenable comparada con 2kR (recurdese que 2000+/200 = 2000)
0 sea que con o 2 5 X lO%ad/s puede prescindirse del efecto de C, Anlogamente, para w Co,/lO=
5 Mrad/s la reactancia de C, es mucho ms grande que R,% 1 / R, = 500 Cl. El efecto de C,w se puede
considerar despreciable para w< 5 Mrad/s. La consecuencia de cuanto queda expuesto es que podemos
subdividir adecuadamente la respuesta total en tres zonas de frecuencia: medias, a/tas y bajas.
Para tener la respuesta total se determina la ganancia del amplificador en cada una de las tres zonas
de frecuencia y se combinan estas respuestas. As, se emplea la banda media para determinar A,!o, el
circuitoequivalentedealtafrecuenciaparaobtenerf,y secalculaf,apartirdelmodelode bajafrecuencia
por el que se introduce C,. Basndose en los valores de 105 parmetros R, = R, I kR, C, = lpF, C, = 100
Respuesta en frecuencia de los amplijicadores 453

Randa media Airufi-ecuerrcia Baja frwumci<r


Ni C, ni CYb,
afectan El efecto de las capa- c, afecta a la
a la respuesta. La ganancia cidades internas (C, ) respuesta y la
es constante y cs importante, pero reactancia de C,
no hay defasc la reactancia de C, es tan grande que
(esto SCvio en e\ despreciablr sus efectos pueden
el capitulo 10). despreciarse.

PF, R, = 2 m y ,T,, = 0,112 e la Fig. 1 l- 7 est trazada la respuesta compuesta el circuito de la Fig. 1 I- 6.
LOS valores de A,,J, y f, se obtienen de las Ecs. (1 l-3) y (1 l-6).

Ancho de banda
El campod~frec~n~i~ comprendido a~:nnefL y f,, cons~tuye el unc,ho de bunch de la etapa amplificadora.
Podemos anticipar en trminos generales que una seal en la que sus componentes de trecuencm
deamplitud apreciableestn todoscomprendidosentref,~yf,pasarjnprlaetapasinexcesivadistorsin.
Sin embargo, este criterio debe aplicarse co precaucin. JXI el diagrama asinttico de Bode de la Fig.
ll-7 vemos que entre f, y f,,, A, es constante. Sin embargo, la caracterstica de fase acusa un adelanto
en f, y un retraso en f,,. En la Fig. 1 I-l ya indicamos que un desplazamiento de fase introduca una
distorsin aun cuando la ganancia se conservase constante.
Muchos amplificadores tienen,f,, f, por lo que el ancho de banda [Ec. (1 l-S)] es aproximadamente
f,. En los circuitos integrados que invariablemente estn directamente acoplados (sin condensadores de
acoplamiento) la respuesta abaja frecuencia se extiende desde continua (61 = 0), y el ancho de banda es
simplementef,,. Por tanto, la respuesta del amplificador slo est limitada en la alta tensin.

11-2. RESPUESTA DE UN AMPLIFICADOR A UN ESCALN

Un criterio alternativo respecto ala fidelidad de un amplificador es su respuesta a una onda de entrada
en particular. De entre todas las ondas disponibles la ms generalmente empleada es la de escaln
de tensin. En funcin de la respuesta de un circuito a un escaln, la respuesta a una onda arbitraria se
puede expresar en forma de la superposicin integral. Otra particularidad que hace recomendable el escaln de
454 Microelectrnica moderna

tensineselhechodequeestaondape~itequepequeasdistorsionessemanifiestenclaramente.Adems,
bajo un punto de vista experimental, se pueden adquirir comercialmente generadores de impulsos (un
escaln corto) y de onda cuadrada (un escaln repetitivo).
Mientras un amplificador pueda ser representado por un polo nico [Ec. (1 l-3)] la corr&.cin entre
su respuesta en frecuencia y la forma de onda de salida viene dada en la Fig. 11-8. Generalmente, an para
circuitos amplificadores ms complicados existe una relacin ctima entre la distorsin del extremo
anterior del escaln y la respuesta en alta frecuencia. Anlogamente, hay una relacin ntima entre la
respuesta en baja frecuencia y la distorsin de la parte plana del escaln. Naturalmente, caba esperar esta
relacin ya que la respuesta en alta frecuencia mide esencialmente la facultad del amplificador para
responder fielmente a variaciones rpidas de la seal, mientras que la respuesta en baja frecuencia mide
la fidelidad del amplificador ante seales de variacin lenta. Una de las cualidades importantes del escaln
es que combina los cambios de tensin ms abruptos con los ms lentos posibles.

Tiempo de subida
Larespuestadelcircuito paso-bajode laFig ll-2a unaentradaenescalnde amplitud y$es exponenctal
con una constante de tiempo l/w,,. Puesto que la tensin del condensadorno puede cambiar mstantneamente,
la salida pate de cero para ir subiendo hasta su valor de equilibrio A ,,(,V, La salida viene dada por

u,, = A,,V, (1 - P) (11-8)

El ttempo necesario para que vQalcance la dcima parte de su valor final es 0. l/w, y el necesatio para
llegara las nueve dcimas partes es de 2.3/w,,. Obsrvese que la constante de tiempo es C, multiplicada
por 1aresistenciaequivalenteR 11 Rr. La diferencia entre estos dos valores se denomina tiempo de subida
trdel circuito, como muestralakg. ll- 8. El tiempo l,es un indicador de cun rpidamente el amplificador
puede responder auna discontinuidad en la tensin de entrada. As tenemos
2.2 2.2 0.35
f, = w, = 27-fN = fH

Obsrvese que el tiempo de subida es inversamente proporcional a la frecuencia superior de 3 dB. Para
un amplificador con paso de banda de 1 MHz, tr = 0,35 w.
La relacin entre t, yfK en la Ec. (1 l-9) es exacta para un circuito de un solo polo. No obstante la Ec.
(1 l-9) es una buena aproxtmacin (entre el 3 y el 4%) para circuitos multipolo.
Consideremos un impulso de anchura . iCul debe ser la frecuencia superior de 3 dB,f,, de un
Respuesta en frecuencia de los amplijhdores 455

amplificador para amplificar una seal sin excesiva distorsin? Elegir& igual o mayor que la inversa del
ancho del impulso T,. Paraf, = l/TD, la onda de salida de la Fig. 1 l-9 es la respuesta al impulso de entrada
indicado.
Amplitud
normalizada

Impulso de entradE

Figura 11-9. Respuesta normalizada del circuito paso-bajo a un impulso.

Pendiente
Si se aplica un escaln de amplitud V, al circuito paso-alto de la Fig. 1 l-4, la salida ser

,, = *<,,t-/X, + K<</ = c,E-/I. + n.rr, (11-10)

Para tiempo t pequeos comparados con la constante de tiempo (R , + R) C, la respuesta viene dada
Por
t
v,, = v,, 1 - (R, + R,)Cc = V,,(l wLr) (Il-ll)
[ 1
En la Fig. 1 l-10 vemos que la salida es inclinada, siendo la pendiente en el instante f,, expresada en
tanto por ciento:
v,, - v,: f,
ps x 100% (11.12)
V,> ix % = (R, + R,)Cc

La misma expresin es vlida para la inclinacin de cada semi-ciclo de una onda cuadrada simtrica
de Vo de valor pico a pico Y periodo T, supuesto que tomemos t, = T/2. Si f = l/Tes la frecu&& de la
onda cuadrada, podemos expresar P en la forma
T WL dl
P= x 100 = 2 x 100% (11.13)
XR, + RJC, O = 2f(R, + R,) f
456 Microelectrnica moderna

Obsrvese que la pendiente es directam+e proporcional a la frecuencia inferior de 3 dB. Si se desea


pasar una onda cuadrada de 50 Hz con menos del 10% de pendiente,& no debe superar los 1,6 Hz

Pruebas con onda cuadrada


Existe un proceso experimental (denominado prueba con onda cuadrada) consistente en observar con
un osciloscopio la salida de un amplificador excitado por un generador de onda cuadrada. Es posible
mejorar la respuesta. de un amplificador aadindole al circuito ciertos elementos que deben ser ajustados
con precisin. Es muy conveniente poder ajustar estos elementos al mismo tiempo que se observan los
efectos de tal ajuste en la fonna de onda de salida del amplificador. Otra forma de actuar es tomando nota
de los datos despus de cada ajuste y a partir de ellos trazar las curvas de respuesta en amplitud y en fase.
Adems del mayor tiempo empleado con este ltimo procedimiento existe el problema de no resultar
evidente cul de las respuestas en amplitud y en fase corresponde ala mxima fidelidad. Por otra pate,
la respuesta aun escaln facilita inmediatamente una informacin valiosa.
Mediante una seleccin cuidadosa de dos frecuencias de onda cuadrada es posible examinar indivi-
dualmente las distorsiones en altas y bajas frecuencias. Consideremos por ejemplo un amplificador con
una constante de tiempo de 0,l p. en alta frecuencia y de 100 ms en baja frecuencia. Una onda cuadrada
de semiperiodo igual a varias decenas de microsegundos, en un osciloscopio apropiado de barrido rpido,
acusar el redondeo en el extremo anterior de la onda sin acusar la pendiente. En el otro extremo, una onda
cuadrada de semiperiodo de aproximadamente 10 ms, con un barrido lento sealar la pendiente, pero
no la distorsin del mismo extremo anterior.
De cuanto antecede no se debe sacar la conclusin de que las respuestas de amplitud y de fase
transitorias y permanentes carecen de importancia en el estudio de los amplificadores. Las caractersticas
en frecuencia se emplean por los siguientes motivos: En primer lugar, se conoce mucho ms en cuanto al
anlisis y sntesis de circuitos en el campo de las frecuencias que en el de los tiempos, y por tal motivo el
diseo del amplificador se hace frecuentemente a base de la respuesta en frecuencia. En segundo lugar,
muchas veces es ms fcil llegar ala comprensin cualitativa de un circuito partiendo del estudio de la
respuesta en rgimen permanente en casos en que los clculos de los transitorios sean extremadamente
dificultosos. La compensacin de un amplificador frente a oscilaciones indeseadas (Cap. 13) se consigue
en el campo de la frecuencia. Finalmente, aveces se requiere un amplificador cuyas caractersticas estn
especificadas basadas en la frecuencia, especialmente cuando se trata de amplificar seales senoidales.
En las prximas secciones se trata de la respuesta en alta frecuencia de las etapas amplificadoras BJT
y FET. Nos referiremos primeramente al comportamiento en alta frecuencia por ser sta la principal
limitacin en los amplificadores integrados. Los resultados a los que se.llega son aplicables tambin a las
etapas de componentes discretos, y a continuacin se tratar de las caractetisticas de respuesta a baja
frecuencia

1 11-3. GANANCIA DE CORRIENTE DE CORTOCIRCUITO EN EMISOR


COMN
Consideremos un amplificador de una sola etapa en v&x comn excitado por una fuente de corriente
1 siendo R = 0 (cortocircuito). El circuito de la Fig. I l-l 1 es el modelo de pequea seal de esta etapa
&n el tmns~stor sustituido por el equivalente hbrido-n de la Fig. 3-32. Obsrvese que en la Fig. 1 l-l 1 se
supone rb = 0. La corriente de salida es 1, = I< de forma que la ganancia de corriente de la etapa A, = IdI t
es p del transistor. En la Fig. ll-l 1 es evidente que p vara con la frecuencia debido alas capacidades C8
y C,. Segn la Fig. ll-l 1 la ley de Kirchhoff requiere que
Combinando las Ecs. (1 l-14) y (1 l-15), y despejando Idlh tendremos, despus de ordenar trminos

(11-16)
1 + SVJC, + C,)

EnlaEc. (ll- 16) hemos empleado& rn = p,. y wz y top pueden ser realmente identificados. El siguiente
ejemplo. que emplea los valores de los parmetros normales de un transistor integrado, mostrar las
variaciones de p con la frecuencia.

Ejemplo Il -1

(a) Esbozar la magnitud de p como funcin de la frecuencia, empleando el diagrama asinttico de


Bode. (b) Determinar aproximadamente la frecuenciaf, para la que 1 B(jw-) 1 = 1. Los parmetros del
transistor son gm =0,0X2, r, = 2 ka, CR = 19.5 pF y Cu = 0,5 pF.

(a)SegnlaEc.(ll-16)

w~= & = 0.05


p,, = R,,J,, = 0.05 x 2000 = 100 = 10 rad/s
C, 5 x IO-
1 1
wp = r,(C, + C,) = 2 x 1ox(19,5 + o,5) x 1o-,z = 2.5 x Orads
0 sea,
lOO(1 SilO)
Pb) =
1 + s/(2.5 x 10)

Para lo que el diagrama de Bode es el de la Fig. 1 l-12.


(b) En la Fig. ll-12 memos que el cruce con 0 dB, correspondiente a p = 1, tiene lugar en w, = 2.5 x 109
radis, o bien& = w/2n = 395 MHz.
458 Microelectrnica moderna

0, dB
t

El parmetrof,
En el ejemplo 1 l-1 el empleo de la caracterstica asinttica da unos resultados de gran precisin en la
frecuencia de ganancia unidad debido a la gran separacin existente entre wp y w,. Para construir un
amplificador de ganancia de corriente mayor que un, es evidente que la frecuencia de funcionamiento
debe ser menor que fT En consecuencia para w 5 o , la Ec. (1 l-16) se puede aproximar con la funcin
un solo polo

/3(s)= CL (11.17)
1 + sllJ+

La aproximacin contenida en la Ec. (1 l-17) es equivalente a decir que la corriente I, en C, es una


componente despreciable de 1, (Iv gm V,) El diagrama asintnico de Bode de esta funcin es idntico al dela
Fig. 1 l-12 para el campo de frecuencia w<o,
Para determinarf,, frecuencia a la que la ganancia de corriente de cortocircuito en emisor comn es
la unidad, se emplea la Ec (1 l- 17). Por tanto

lP(jw,)l = I = pc
UI + (wiw,)
que con 83, 9 1, da
WI = P<,qi f, = A,ffi (11.18)

Sustituyendo wp de IaEc. (1 l-16) en la (1 l-18) tendremos

f, = 27r r_(C,Po+ g,,, (11.19)


l C,) 2n(C, + C,)
Obsrvese que para Cr C,,fi ,= gm/2 rCx. El parmetrof,, al igual que otrus parmetros del WI.
depende de las condiciones de functonamiento del dispositivo. Normalmente,f, vara con la corriente
de colector de reposo como se ve en la Fig. 1 l-13.
Puesto quef, = pdp se le puede dar a este parmetro una segunda interpretacin: representa el producta
ganancia de corriente de cortocircuito por el ancho de banda. es decir que para la configuracin en emisor
comn con la salida cortocircuitada, f, es el producto de la ganancia de corriente a baJa frecuencia
multiplicada por la frecuencia superior de 3 dB. Es de observar que en cierto sentido se puede sacrificar
la ganancia a favor del ancho de banda y viceversa. As pu&, si se dispone de dos transistores de igual&,
el que de los dos tenga menor Potendr un ancho de banda mayor.

Figura 11.13. Variacin de f segn la corriente de poluizaci6n Ica de un transistor integrado tpico

En la prcticaf, es la que se emplea para determinar por medicin el valor de la capaadad C n, La


trasconductancia gm se determina de la corriente de polarizacin Ica [Ec. (10.34)]. & se halla por
mediciones (Prob. 1 I-8) o viene dada en las especificaciones de los fabricantes obteniendose C,mediante
mediciones independientes. Normalmente los fabricantes especifican la capacidad de salida en base
comn C,, = Cp:
La frecuenaaf, representa tambin un lmite superior de frecuencia en la que es vlido el modelo
hbrido-x del BJT.? Para frecuencias de excitacin ms all de f,, el circuito equivalente hbrido-x no
describe fielmente el comportamiento observado. Como sea que los transistores se emplean raramente
conf> j? (salvo en los circuitos microondas) un estudio de los modelos utilizados estara fuera del objeto
de este hbro. Obsrvese que en el ejemplo 1 I-1 el cero tiene lugar a una frecuencia mayor que& por lo
que la precisin de la frecuencia del cero (2,/2x) es cuestionable.
El resultado de la Ec. (1 l-18) no es privativo de la evaluacin de la ganancia de corriente del BJT
Muchos circuitos electrnicos se representan frecuentemente por funciones de un solo polo sobre el campo
til de frecuencias de trabajo. En cualquier sistema de un solo polo, con gran ganancia, la jrecuencia dr
pvzncia unidad es el producto de la pnancia con frecuencia cero por la frecurncia de 3 dB.

11-4. LA FUNCIN GANANCIA GENERALIZADA

Antes de proceder a obtener la caractetistica de la respuesta en frecuencia A,(s) de los amplificadores


de una o de varias etapas permtasenos hacer algunas observaciones generales relativas a la forma de A (s).
La respuesta en alta frecuencia del amplificador de la Fig. 11-2 queda determinada por una constante
de tiempo nica C, Rs R/(Rs + R ,J En realidad un amplificador multietapa contiene por lo menos dos
condensadores y quizs un tercero si la etapa excita una carga capacitiva. En estas circunstancias la funcin
de transferencia a alta frecuencia viene dada por una ecuacin de la forma
A,,(l + siz,) (1 + s/z~) ... (1 + siz,,,)
(11.20)
AM(s) = (1 + sip,) (1 + sIp$ . (1 + sip,,)
460 Microelectrnica moderna

En la Ec. (1 l-20), A, es el valor de A,(s) calculado con s = 0 lo que corresponde a la ganancia en


continua o en la banda media. Los valores de s para los que A,(s)+- se denominan polos de la funcin
de transferencia mientras que los valores de s que hacen A,(s) = 0 constituyen los ceros de la misma
funcin. Suponiendo n > m la funcin de transferencia de la Ec. (1 l-20) tiene n polos en p,, -pp,,... -p
y m ceros finitos - z,, -z2,... zm. Cuando s crece lo suficiente A,(s)-+s Ys = l/.S y cuando s tlende a
infinito A,(s) tlende a 0. Se dlce que A,,(s) tiene n-m ceros al infinito. Observamos que para la ganancia
de corriente de cortocircuito de la Ec. (11-16) A,(s) tiene un polo en - op y un cero finito en +wz. La
funcin de transferencia de la Ec. (11-3) muestra que la etapa de la Fig. 1 l-2 nene un polo en -wH y ningn
cero finito. Sin embargo, debe tener un cero en el infinito [ya que s +m, A,(s) +O]. Obsrvese que la
frecuencia de un polo (cero) tiene la magnitudp$ (2)/2x, es decii,f, = wH /2n.

Determinacin del nmero de polos y de ceros


El nmero de polos en una funcin de transferencia es igual al nmero de elementos almacenadores
de energa independientes en la red. En los amplificadores electrnicos los elementos de almacenaje son
casi exclusivamente condensadores. Un condensador es independiente si se le puede asignar una tensin
arbitraria independiente de todas las tensiones de los dems condensadores. Por ejemplo, dos condensa-
dores en paralelo 110son independientes pues la tensin a travs del primero debe ser la misma que a travs
del segundo. Asimismo, dos condensadores en serie no son independientes porqUe la carga almacenada
Q es la misma en cada componente, y la tensin a travs de un condensador C es Q/C. Adems, si un
lazo de la red puede recorrerse pasando slo a travs de condensadores, no todos estos valores C son
independientes (ya que la suma de las tensiones alrededor de un circuito cerrado debe ser nula).
El nmero de ceros en una funcin de transferencia viene determinado conociendo el nmero de polos
y el comportamiento de la red cuando s tienda a infinito. En la Ec. (1 l-20) A,(s) tiende a cero cuando s
tiende a infinito porque hay n-m polos ms que ceros. En general, si A,(s) + l/s cuando s +m, entonces
el nmero de ceros finitos es menor que el de polos.
El comportamiento de una red cuandos +== se deduce usualmente mediante inspeccin, ya que la
tensin a travs de un condensador en nula cuandos +m. Por ejemplo, en la Fig. ll -2
A-v 1
H - --- cuando s+m
VS s
Por tanto, el nmero de ceros es uno menos que el de polos. Puesto que el circuito contiene un solo
condensador, A, debe contener un polo y (segn la argumentacin anterior), ningn cero finito. Esta
conclusin queda confirmada en la Ec. (1 l-3).

La aproximacin del polo dominante


El diagrama de Bode de Ah(s) de la Ec. (1 l-20) representa la caracterstica de la respuesta en fkqc~encia
del amplificador. La frecuencia superior de 3 dBf,, se obtiene del diagrama de Bode. Obsrvese que para
trazar la caracterstica de la respuesta en frecuencia se necesita conocer la situacin de todos los polos y
ceros; es decir, se deben conocer zl, z*... z,, y p,, p2<.,p 4
Si la frecuencia del polo ms bajo f,, = P, 271en A:(s) es mucho ms pequea que las frecuencias de
todos los dems polos y ceros, de todas formas la frecuencia superior de 3 dB f,, de A,(s) es aproximada-
mente f,,. As con frecuencias de excitacin dentro del ancho de banda de un amplificador, A,,(s) acta
simplemente como un sistema de un solo polo con funcin de transferenciaAd( 1-s/p,). Esta aproximacin
se conoce como qmximacin de polo dominante. Obsrvese que cuanto ms separados estn los dems
polos y ceros de p, tanto mayor ser la precisin de esta aproximacin.
A veces la respuesta en alta frecuencia de un amplificador no tiene ningfin cero finito, es decir, que
A,(s) slo contiene polos. En esta situacin un amplificador con tres polos reales5 tiene una funcin de
transferencia
AG
(1 l-21)
AdS) = (1 + Sir>,) (1 + s/p*) (1 + Sir>,)

Alternativamente, efectuando los productos indicados, la Ec. (11-21) puede escribirse

AO
AH(s) = (11-22)
1 + 0,s t a2s2 f a,sx

donde \
1 1
.,=1+-t-
PI Pz P3 l
1 1 1
az=-t -+- (1 l-23)
PlP2 PlP3 P2p3
1
a, = __
PIP2P3 1
Consideremos la situacin en la que p,p2<p3 o sea en la que p, es el polo dominante. Entonces:

(11-24)
1 (12
cl3 0 p, I -
PIPP3 Pi 03
J

La tmportancta de la Ec. (1 l-24) radica en que podemos tqar aproxmmdamente la situactn de los
polos conociendo los coeficientes a,, a2 y uj en A,(s). Adems la aproximacin del polo dominante nos
da el valor de la frecuencia de 3 dB f,, como

(1 l-25)

La forma de la Ec. (1 l-24), px = n, Ua, es aplicable a una funcin de transferencia de n polos reales.
Sin embargo, en relacin ala respuesta del amplificador slo estamos interesados porp, y p2 (a, y uJ. En
ptimer lugar,p, determina el valor aproximado de f,, yen segundo lugar, la separacin entrep, y p, indica
el grado en que es vlida la aproximacin del polo dominante. En la mayor parte de las funaones de
transferencia que se encuentran, p4p, = a :/a, > 8 da f, dentro del 10% y p, dentro del 20% de su valor real.
462 Microelectrnica moderna

A medida que p,/p, aumenta, el error entre los valores reales y los aproximados va disminuyendo.
Obsrvese que en la aproximacin del polo dominante, los valores f,, y p, siempre son menores que los
correspondientes valores reales.
De mayor importancia que la conveniencia numrica aportada por las Ecs. (1 l-24) y (1 l-25) es el
hecho de que los coeficientes a,, aI, y as sucesivamente se pueden deternkar por las constantes de tiempo
del circuito tal como se. describe en la Sec. 11-9. Esto permite al diseador del circuito relacionar la
respuesta global con los componentes en particular (etapas) que producen tal respuesta.
Se deben tener en cuenta las tres siguientes limitaciones del mtodo de polo dominante:
l-solamente es vlido para funciones de transferencia con polos reales.
2-Cualquier cero (o todos) de la funcin de transferencia debe estar por lo menos dos octavas sobre
el polo dominante.
3- La representacin de la funcin de transferencia por un solo polo dominante no da resultados
precisos en cuanto ala caractetistica de fase.

11-5. RESPUESTA EN ALTA FRECUENCIA DE UNA ETAPA EN EMISOR


COMUN
En la Fig. 1 l- 14 se representa el circuito equivalente empleado para evaluar el comportamiento en alta
frecuencia de la etapa bsica en emisor comn de la Fig. 10-21. En la Fig. 1 l-14 aparecen tambin los
valores numricos tpicos de los parmetros del transistor y de los componentes del circuito. Como el
circuito tiene dos condensadores independientes, la funcin de transferencia tiene dos polos. Cuando s
tiende a infinito B y C quedan en cortocircuito (haciendo V,, = Vx) cayendo la salida a cero como lis a
consecuencia del condensador C,. Segn lo visto en la seccin anterior debe haber un cero menos que el
nmero de polos, y por tanto es de esperar una funcin de transferencia de dos polos y un cero.

El cero lo podemos hallar observando la Fig. 1 l-14. Si con s = z,, Vo = 0 no existir corriente en RL6.
As, la corriente sC, Vn en C debe ser igual ala corriente de la fuente gobernada g, Vx. Por tanto. el cero
viene dado por sC, VR= gm fin o sea s = gm Cv = z ,.
Obsrvese que este valor es el mismo hallado para el cero de la ganancia de corriente en conoclrcuito
de la Ec. (1 l-16). Tal como era de esperar, este cero aparece en el subsiguiente clculo de la funcin de
transferencia mediante el anlisis nodal (Apend. C-2).
Respuesta en frecuencia de los amplificadores 463

La funcin de transferencia
Las ecuaciones nodales de las tensiones, tomando V, y Vo como variables son:
V.
V, $ + 1 + SC, + SC, - sc,v,, = ; (11-26)
( , f-v >

v, (8, - SC,) + v,, (,c, + &) = 0 s (1 l-27)

Resolviendo las Ecs. (1 l-26) y (1 l-27) despejando V,,/V, y replanteando el resultado en la forma de la
Ec. (1 l-22) tendremos

A&) = + = [-P,,R,KR, + r,)l (1 - sC,km)


1 + s[RC, + R(l + g,,,RX, + R&I + s2RU,RLC,
(1 l-28)

donde Rj/ = r,R, = r,I/R, (1 l-29)


r, + R,

El trmino - &R,/(R, t rJ del numerador de la Ec. (1 l-28) se identifica como ganancia A, en


continua (banda media) feTa etapa [Ec. (lo-40)], Observando Ia Kg. Tl-14, Ta resistencia equivaTente
Ro,, se puede admitir como la resistencia con frecuencia nula vista por C, siendo Cp = 0. Como veremos
ms adelante(Sec. 11-9) laresistenciaequivalenteRor(l + IgmRL) + R ~quem~ltiphcaC~ene1 coeficiente
s de la Ec. (11-B) es la resistencia vista por C; con Cx = 0. Por tanto, como ya se adelant en la anterior
seccin, el coeficientes est relacionado con las constantes de tiempo del circuito.
Vamos a ver si la funcin de transferencia de la forma A ,,ds)=A & 1 - s/q)/( 1+ a,s + 49) tiene
un polo domvmante.ValXndonos de los valores numrkos dados, CI, = 9,43 x m% y a2 = 1,53 x 10 s.
LaEc. (11-24) nos dap, = l/a, = 10,6 x 10 rad/s y p* = a,/a, = 6,16 x lo9 rad/s. La separacin esp,/p,
= 58 de forma que -p, es el polo dominante. El valor de zI = gJC p = 10 rad/s est ms alejado de p, que
p1 Por tanto, -pI es el polo dominante y segnla& (1 l-25) el ancho dela bandatotalesf, = p,/2% = 16,9
MHz. Ladetemunacln de los polos de A,(s) resolviendo la ecuacin cuadrtica de la Ec. (1 l-28) dap,
= 10,7 x 10 rad/s y p2 = 6,06 x lo9 rad/s. El clculo de f,, de la funcin de transferencia da .f, = 17,l
MHz, resultado que demuestra la validez del mtodo de polo dominante.

Equivalente hbrido-n unilateral


La gran separacin entre p, y los dos puntos p2 y z. es una situacin propia de las etapas en emisor
comn. Siendo as, una funcin de transferencia [Ec. (1 l-30)] que contenga un solo polo dominante
constituye una aproximacin muy buena de la respuesta en frecuencia.
AYO AVO
AvH(s) = 1 +Awsip1 =~=1 + 0,s (11-30)
1 + s/2TrffH
Aplicando el teorema de Miller se puede obtener un circuito equivalente con la funcin de transferencia
indicada en la Ec. (1 l-30). Procediendo como en el Apndice C-4 se llega al circuito de la Fig. 11-15,
llamada 4 veces hbrido-n unilateral con K = VJV, Suponiendo despreciable la corriente en C, (Sec.
ll-3), K =-gmRL. El circuito de la Fig. ll-15 tiene dos constantes de tiempo independientes, una
relacionada con la capacidad de entrada C,W= C,, t C,(l + g,RJ y la otra con la de salida (representada
464 .Microelecfrnica moderna

con la linea de trazos) Cu ( 1 + &R,)/g ,R, : CVcuando g ,R, >> 1. La constante de tiempo de la entrada es
C, multiplicado por la resistencia equivalente vista por Cu; esta resistencia equivalente es R, 11
Rx y est sealada porRt en la Ec. (1 l-29). Obsrvese que C,,, RAcorresponde a los dos primeros trminos
del coeficiente s en la Ec. (1 l-28). La constante de tiempo de salida para g),,R, 1 es Cp R,, el tercer trmino
en el coeficiente s de la Ec. (1 l-28). As el circuito de la Fig. 1 l-15 txne el mismo coeficiente a, que el
obtenido en la Ec. (1 l-28) y por tanto nos da el mismo polo dominante.
Surge una nueva simplificacin cuando se investigan los valores numricos tpicos de las dos
constantes de tiempo. Para unos valores dados:

RO,C,v, = +j+ [19.5 + OS(1 + 0.05 x 6OO)I = 9.13 ns

R,C, = 0.6 x 0.5 = 0.30 ns


Evidentemente R: C, R, c, y es prctica comn en los clculos manuales prescindir de los efectos
de la constante de tiempo de sabda R, Cy. En el circuito de la Fig. 1 l-15, a, de la Ec. (1 I-30) resulta ser
A
UI = ROG, = R + r [Cn + C,(l + g,,,R,)I (11.31)
3 w
Aplmndo valores numricos,f, = I/zn a, = 17,4 MHz, valor suficientemente aproximado (dentro del
2%)paraunclculomanual. Si enelcoeficientea, se hubieraincluidoR, C,,,el valordef,habraresultado
idntico al hallado antes. Si se requiere ms precisin se emplean simuladk (SPICE, Microcap II, etc.).

Figura 11-15. Circuito equivalentehbrido unilateral obtenido empleando el teorema de Miller.

En el circuito equivalente de la Fig. 1 I-15 no existe comunicacin entre entrada y salida (donde C,
est en la Fig. 1 l-14). En consecuencia el modelo hbrido-n unilateral no puede emplearse para calcular
la impedancia I, de salida en alta frecuencia. En este caso hay que emplear el circuito de la Fig. ll- 14

Impedancia de entrada de Miller


Laimpedanciadeentradaz, (s) delcircuitode 1aFig. ll -15 es simplemente lacombinacinenparalelo
de rz y C M En la deduccin de esta figura se ha supuesto que la corriente Iu en Cp es despreciable
comparada con x, vF y que K = -g,R, es constante. Algunas veces los valores de los componentes son
tales que esta suposiciones introducen errores en % de varios puntos en Z, (s) y en consecuencia tambin en f,,.
Sinoseprescindede 1, delxnmcdificarse K = g,R,/( 1 + s R,.C,,) y Z,. Laimpedanciaresultanteesla combina-
cin en paralelo de r,, Cx, Rr y Cr, surgiendo RI y Cx de la aplicacin del teorema de Miller a C,. Con la
frecuencia s = @I los valores de R, y de Cz son:
1 Sm&
R,= 1+- c, = c, 1 + (11-32)
gm ( wzR:C2 P 1 + d R2Cz
L P>
La deduccin de la Ec. (1 l-32) y del valor de f,, resultantes se dejan para el lector en los problemas
ll-12y 11-13.

11-6. PRODUCTO GANANCIA-ANCHO DE BANDA

Mediante la funcin de transferencia de un solo polo de la Ec. (1 l-30) se deduce que el producto de la
ganancia de tensin por el ancho de banda es

(1 l-33)

Las cantidades f, y A, que caracterizan la etapa del transistor dependen de R, y de /7X.En la Fig.
Il-16 puede verse la forma de esa dependencia as como el orden de magnitud de tales cantidades. Aqu
f, se ha representado como funcin de R, para varios valores de Rs. La cmva ms alta de f,, de la Fig.
1 l-16 para Rs = 0 corresponde al caso de fuente de tensin ideal. Observemos que para cualquier valor
de R, el ancho de banda es ms alto al ser ms bajo Rs. El producto ganancia de tensin por ancho de
banda aumenta al aumentar R, y decrece al aumentar Rs. Aun cuando conozcamos el valor del producto
para unas fx y R, en particular, no lo podemos emplear para determinar la mejora del ancho de banda
correspondiente aun sacrificio de la ganancia. Si variamos la ganancia modificando R,, Rs o ambas, en
general el producto ya no ser el mismo que haba sido.

Figura ll-l.Ancho de bandaf,en funcin de R,can la resistenciade fuenteR,~camo parmetro, de un amplificadoren emisor
comn de una sola etapa. Para calcular 1, se empleala Ec.(1 l-31) y los valores num6ricos dados en la Fig. II -14.
466 Microelectrnica moderna

11-7. ETAPA EN FUENTE COMN A ALTA FRECUENCIA

El anlisis a alta frecuencia de la etapa en fuente comn es paralelo al del emisor comn visto en la
seccin anterior. Obsrvese la similitud entre el modelo en alta frecuencia de la etapa en fuente comn de
la Fig. ll-17 con la Fig. ll-14 para la etapa en emisor comn. Si en la Fig. ll-14 rh = 0 y r* tiende a
infinito, la nica diferencia entre los dos circuitos es la existencia de una tercera capacitancia C, en la
salida de la Fig. 11.17. Si bien existen tres capacitancias, stas no son independientes porque forman un
lazo (Sec. 1 l-4). As, la funcin de transferencia A,(s) = V,,l VTtiene slo dos polos y por analoga con la
Fig. ll-14 un cero finito.
Las ecuaciones nodales para el circuito de la Fig. ll-17 son:

1
0 = V/,?(firn
- sCxJ + v,, R, + Gd + SC/, (1 I-346)

Resolviendo las Ecs. (1 l-34) y despejando A,, = -gmR, tendremos, despus de algunas
manipulaciones algebraicas:

A,,(S)
V,, =
= 7
Ad 1 - SC,&,)
(11.35)
1 + a,s t chs*

u, = R,C,, + [(I + g,.R,d + RJ Cw/ + R,.C<,s (1 l-36)


u2 = R,R,(C,.,C,, + C,,C,,, t C.,,>C,,,)
Nuevamente se observa que a, comprende la suma de las constantes de tiempo; cada una de las
resistencias equivalenka es igual a la resistencia a frecuencia cero (los condensadores estn en circuito
abierto) vistas en cada uno de los terminales del condensador. El coeficiente a, puede considerarse como
el producto de las constantes de tiempo como se describe en la Sec. 1 l-9.
Veamos ahora la Ec. (11-35) para determinar si es aplicable la condicin de polo dominante.
Empleando los valores tpicos de los parmetros dados en la Fig. ll-17 se obtiene a partir de las Ecs. (11
36)y(ll-24)
a, = 0.3 x 3 + [(l + 2 x 16) + 161 x 1 t 16 x 1.5
= 0.9 + 49 + 24 = 73.9 ns
02 = 0.3 x 16[3 x 1 t 3 x 1.5 + 1.5 x 11
= 43.2 (s)~
Respuesta en frecuencia de los amplifkadores 467

y de la ecuacin (1 l-24) obtendremos


1 1
= 13.5 x 106rad/s
p = a, = 73.9 x 10-q
aI 73.9 x 10-P
= 1.71 x lo9 radis
= a, = 43.2 x lo-*

La separacin entre p, y pI es de 126: 1. El cero est en s = gJCtd = 2 x lOu rad/s. Estos valores indican
quep, es el polo dominante. De esta forma la funcin de transferencia se puede aproximar mediante la
funcin de un solo polo de la forma de la Ec. (1 l-30) conf, = q, /2x = 1/2?ta, = 2.15 MHz. La solucin
exacta de la Ec. (1 l-35) pone de manifiesto que los valores def, y p, obtenidos con la aproximacin de
polo dominante se desva menos del 1 por ciento.
Para llegar al circuito unilateral aproximado de la Fig. ll - 18 podemos valemos del teorema de Miller
con K = VJVJs = gmR,. Obsrvese que aun cuando CfS es la menor de las tres capacitancias, es la que
tiene un efecto ms pronunciado sob~ a, y por tanto sobre f,. Esto es debido al efecto Miller que esencialmente
multiplicaC8~ oor la ganancia de la etapa. Como se ve en la Fig. 1 l-IX, la impedancia de entrada de la
etapa es (aproxnnadamente) puramente capacitiva, y es:

Ci = C,, + C,Al + g,Rd (11-37)

Obsrxse que la impedancia de salida (Fig. 1 l-17) contiene componentes resistivas y capacitivas
(Prob.ll-12y 11-13).

11-8. SEGUIDORES DE EMISOR Y DE FUENTE A ALTA FRECUENCIA


En esta seccin examinaremos la respuesta en alta frecuencia de los seguidores de emisor y de fuente
(etapas en colector y en drenaje comn). Trataremos primero la etapa en colector comn y seguidamente,
por analoga, describiremos la de drenaje comn. Puesto que los seguidores de emisor y de fuente actan
frecuentemente como separadores, es decir, con ganancia (casi) unidad, gran impedancia de entrada, y
baja impedancia de salida, consideraremos cada una de estas cantidades a alta frecuencia.

Ganancia de tensin
En la Fig. ll-19 se representa un modelo en alta frecuencia del seguidor de emisor con una carga
resistiva RE. Debido a su baja impedancia de salida, muchas veces se usa el seguidor de emisor para
alimentar cargas capacitivas (cuyo smbolo se seala a trazos). Consideremos el condensador de carga
conjuntamente con el estudio de la impedancia de salida a alta frecuencia.
La funcin de transferencia del seguidpr de emisor tiene dos polos (dos condensadores independientes)
y un cero finito. A medida que s tiende a infinito la impedancia 1 SC, tiende a cero (cortocircuito); por
tanto V, tiende a cero como l/s debido al cortocircuito en la entrada.
La funcin de transferencia se deduce de las ecuaciones nodales empleando V, Y V, como variables
Estas ecuaciones son:

",(i + SC, + ;j v,, (kj = gf (1 l-38)

%(;j + v,,(i + &j = &dv, - V,,) (11-39)


En la Ec. (1 l-39) se hace uso de V, = V,-V,. Resolviendo simultneamente las Ecs. (1 l-38) y (1 l-39)
y sustituyendo :n = rJ(l + srxC ,) se obtiene, despus de algunas manipulaciones algebraicas:

v,, CA f OR, I + sC,r-,/(I + /JJ


A, ,,(.sl = 7 = (1 l-40)
R, + r, + ((9,. + Ib?, I + 0,s + <i$

,AR, + R,)C, R, I,-, * (1 + ~,JR~.lC, (11.41)


= R, + r_ * (1 + P,,)R,. + R, + I, + (1 + /3,,)RI

(1 I-42)
= R, + rr * (1 + fl,,)R,

Vemos nuevamente que aI es la suma de las constantes de tiempo y que u2 puede interpretarse como
el producto de tales constantes. El cero z, en s = g,,,/Cn en la Ec. (1 l-40) se puede hallar tambin por la
Fig. ll 19. Con V = CInn hay corriente alguna en R, y por tanto la corriente en zx debe ser igual y opuesta
a g,vz. 0 sea
(1 + .sr,C7i1_ =
x,,, L,
r7r
de donde ;, =. (0, + L),~, Cr = g!,/Cn. Empleando los valores de los parmetros indicados en la Fig
1 l-19 (las resistencias tienen los tmsmos valores usados para hallar el comportamiento abaja frecuencia
en la Tabla 10-4) tendremos que
Cl, = 0.566 ns fi, = 0.0573 x Io- ti s
fi,,,
Y - = 5.12 x lOrad/s
CT
Respuesta en frecuencia de los amplificadores 469

La frecuencia angular aproximada del polo dominante es:


1 I
PI = WH = a, = ()566 x 10-9 = 1.77 x 10 radis

fn = E = 281 MHz

Anloganlente
01 0.566
~ x 10 = 9.87 x 10 radis
.lb = a, = 0.0573
y tambin f, = p,/2n = 1.57 GHz.
La relacipJp, es igual a 5,5x y la aproximacin del polo dominante no da resultados particularmente
ajustados. Resolviendo los polos mediante la Ec. (1 l-40) tendremos p, = 2,30x lo9 rad/s y p2 = 7,57 x IO9
rad/s. Con estos valores, f, = 339 MHz.
vemos que las frecuencias f, de tos polos y del cero so todas ellas del orden de magnitud de .fV. Ya
que estos valores esta en el lmne de frecuencia para el que es vlido el circuito equivalente, 10s valores
numricos so cuestionables. Sin embargo todos ellos muestran las magnitudesaproximadasobtenidas de
clculos simulados.
Comparando f, de la etapa en colector comn co f,, = 16,9 MHz en emisor comn en la Sec. 11-6,
vemos que la etapa en colector comn tiene un ancho de banda considerablemente mayor que la de emisor
comn. En realidad, una etapa en emisor comn Rc = 1,5 ka excrtada por una mente R, = 0,6 ka y
empleando el mismo transistor tiene f, = 4,37 MHz. As llegamos a la conclusin de que cuando una.
etapa en colector comn est excitada (o excita) por otra en emisor comn, el valor def,,de la cascada es
simplemente la de la etapa en emisor comn.

La impedancia de salida Z.
Las impedancias en alta frecuencia Z, y Z, se obtienen del equivalente de Thvenin de la etapa. La
rensin en circuito abierto medida a travs de RE es simplemente V, = A, V,. La corriente 1, es V,/RE que
rilando R, = 0 la corriente de cortocircuito I,, viene dada por

l = (Po + llV.5 1 + sr,C,Kl + PJ


\ (11-43)
R, + r, 1 + sR,r,(C, + C,)IR, + rn)
La impedancia de Thvenin Z = V,,/l j es
RE [(R, + r,)/(l + &,)l 1 + sr,R,>Cc, + C,VUC+ Iv,) (1 l-44)
= RE + [(R, + r,)i(l + Pt,)] 1 + a,s + a2s*

vmiendo dadas aI y a2 como en la EC. (1 I-41).


Observemos en la Fig. ll-19 que Z, = Z, IIRt y si RE tiende a infinito, Z, = Z,. Por tanto, de la EC.
( 1 l-44) se tiene
R, 1 + sr,JR, (C, + CJKR, + r,)
(ll-451
, = I + p,, [I + sr,c,,(l + &,)l(I + -?>C,)
Podemos identificar (R, + r.)/(l + Pa) como resistencia de salida a baja frecuencia R, (Tabla 10-3).
Teniendo Z, la forma
(1 + siz,)
(11-46)
z = Ro (1 + sip,) (1 + SIP>)
470 Microelectrnica moderna

1.33 x 108 3.33 x (Escala hg.)

Figura 11-20. .4proximacin asinttica de la magnitud de la impedancia de salida Z. del seguidor de emisor de la Fig. 1I-19.

Con los valores indicados en la Fig. ll 19, z, = 1,33x 10 rad/s,p, = 3,33 x lo9 rad/s Yp> = 5, 17 x 10
rad/s. Basndonos en estos valores trazamos la grfica de loglz, (jo)1 en funcin de log w, de la Fig. 1 l-20.
Obsrvese que para o < p,. / Z@.)) 1 aumenta al hacerlo la frecuencia. Esta es la fomu de actuar una
impedancia inductiva dentro de este campo de frecuencias. Cuando se emplea el seguidor de emisor para
alimentar cargas capacitivas (Ci en la Fig. 11-19) a alta frecuencia, el circuito puede funcionar como
circuito resonante. En sistemas excitados por impulsos, tales como los de la familia lgica de emisor
acoplado ECL de alta velocidad (Sec. 6.13), la combinacin de la impedancia de salida inductiva Y la
capacitancia de la carga puede dar un rizado excesivo en la onda de salida. Normalmente los diseadores
Ya previenen una amortiguacin suficiente (componente resistivo de Z,) para reducir al mnimo estos
efectos.

La impedancia de entrada Zj
La impedancia de entrada ZI de un seguidor de ern~~r es, tal como se ve en ta Fig. 11-19, la
combinacin en paralelo de Cp Y Zr,. La deduccin de los resultados para Z,,, (s) Y Z, (s) dados en las
Ecs. (11-47) Y (1 l-48) se deja para el lector (Prob. 1 l-23)

(11.47)

1 + S(l/W,)
Z,(s) = PI&
1 + s(l/w,, + &,R,~,J + s2(B&C&d

Vase que en las Ecs. (1 l-47) Y (1 l-48) se ha puesto que 0, 1, ppRL rx Y WY= T,,/Cx.
En el caso de que no se suponga rh igual acero, mediante las aproximaciones dadas por la Ec. ( 1l-48)
Y siendo &RR, rb, Za (s) se convierte en

1 + s( l/WT + r,C,) + s+&/WT


Z,(s) = P<& (11-49)
1 + S(l/W, + BJWJ + 2 (B,&C,lw,)
Kespuesru en frecuencia de los amplijhdores 411

Figura 11.21. Diagrama asinttm de Bude de la impedancia de emada Tl del seguidor de emisor de la Fig. ll-19 y Ec. (1 I-50).
Nota: Las frrecuencias de polos y ceros se obtienen valindose de la aproximacin de polo dominante.

~0 10s adores de los parmetros dadoh en la Fig. ll-19 y con rh = 5OQ la Ec. (1 l-49) se convierte
en
11 + sI(4.44 x IO)1 [ 1 + s/(45 x 1O)l ka
(1 l-50)
() = [l + si(l.05 x IO)] [1 + si(6.33 x lo)]

La variacin de la magnitud de esta funcin con la frecuencia (para 6x10 radis) queda representada
en el diagrama asinttico de Bode de la Fig. 11.21. Obsrvese que la impedancia decrece con la frecuencia
y que este decrecimiento tiene lugar a frecuencias por debajo de laf, de la etapa. Efectivamente, a f, =
300 MHz (w,! = 1,9 0 x 1O9rad/s) Z, es menor de 1kR. El diseador debe tener en cuenta esta disminucin
de Z, si el circuito debe presentar un aislamiento adecuado.
Con frecuenciasf, la impedancia Z,, puede aproximarse como
P.& Y
1 + s/wp
(11.51)
zac =
1 + S/W@ o B = P,,R,:
La admnancia de la Ec. (1 l-5 1) representa la combinacin en paralelo de la capdcttancia y resistencia
dadas en IaEc. (1 I-52)
I
RH< = /~,.RL CR< = _ (11.52)
REU,
tsta capacnancta es bien peyueh (0,133 pF para los valores numricos empleados). Al estar C,, en
paralelo con C, la capacitancia dentro del campo de frecuencias de funcionamiento utilizadas es simple-
mente C + I//?, 0,. Jxte valor es considerablemente inferior que el de la etapa en emisor comn [(C, =
Cr + C < 1 + g,R,)]. As pues, el seguidor de emisor no carga capacitivamente la etapa anterior lo que es una
cuesti: de importancia en sistemas de gran velocidad y alta frecuencia. (Frecuentemente, 10s aparatos de
laboratorio emplean seguidores de emisor corno etapa de entrada a fin de minimizar los efectos del aparato
sobre las mediciones.)
412 Microekctrnica moderna

La pequea capacitan& de entrada puede tambin aproximarse mediante el teorema de Miller para
que el efecto de C, en la entrada sea C, (1-K). Como K es muy prximo a la unidad en un seguidor de
emisor, este trmino es aproximadamente nulo quedando C, en la entrada.

El seguidor de fuente

La Fig. 1 l-22 representa el modelo de seguidor de fuente vlido para altas frecuencias. Observemos
que este circuito es similar al circuito equivalente del seguidor de emisor de la Fig. 1 l-19 si planteamos
las siguientes identidades: rz + m, C, = Cu, CSr= Cx y R, = rd / 1 R, = Rr Solamente la capacitan& C, no
puede ser identificada y debern incluirse sus efectos sobre los coeficientes a, y a2. Obsrvese que SLel
seguidor de fuente excita una carga capacitiva C, se puede aadir C, a la capacidad de carga.
Haciendo tales identificaciones y aadiendo los efectos de Cd3podemos emplear los resultados del
seguidor de emisor para la etapa en colector comn. A continuaclon se dan los resultados de A,,,(s),f,, Z,
Y z,
(Se deja para el lector la deduccin de tales resultados en los Prob. 1 l-24 y 1 l-25)
A,,(l - g,,, sic,\)
AVE,(S) = 1 + a,s + a$

Figura 11-22. Clrcuitoequivalentede alta frecuencia del seguidor de fuente

Figura 11.23. (a) r<edcon ,rescondensadores.(b) dhared, conC, y C,encircuitoabierto. Lares~stenc~aR~, , de frecuenciaccr
sedefineporesta configuracin.
Respuesta en frecuencia de los amplijkzdores 473

Lafrecuenciasuperiorde3 dBf,,es (aproximadamente) l/Zna, quecong,,R, 1 yR,>Rsseconvierte


en:
I
fH = (11-55)
27i[R,C,d + lk?(C,.~+ Cd,)1
(1 + g,,,RL) [l + sRL(Cdr T C,,) / (1 + g,,Rdl
(11-56)
sC,,(l + SR, Cd

1 1 + sRs(C,c, + C,,,)
(1 l-57)
za(s) = ; (1 + sC,,ig,,) (1 + sR,C,J)

11-9. MTODO DE LA CONSTANTE DE TIEMPO PARA HALLAR


LA RESPUESTA
La fomu de las Ea. (11-2X), (1 l-35), (1 l-40) y (1 l-53) en las que el coeficiente a, es la suma de las
constantes de tiempo y a2 su producto no es exclusiva de las etapas en emisor, fuente, colector y drenaje
comn. Tambin pueden expresarse siempre en esta forma los coeficientes de la caractetistica polinomial
de cualquier sistema lineal que contengaresistencias, condensadores y fuentes gobernadas. En esta seccin
describiremos un procedimiento parahallarestos coeficientes por determinacin directa de las resistencias
equivalentes necesarias para calcular las constantes de tiempo.

El coeficiente a,
Consideremos la red de la Fig. ll-23a en la que la parte de circuito N en el interior del rectngulo
contiene slo resistencias y fuentes gobernadas. Con tres condensadores independientes indicados, la
funcin de transferencia tiene tres polos, con lo que su denominador se expresa como en la Ec. (1 l-22).
Se puede escribir el coeficiente a, como

a, = R?,C, f RY& t R!&C? (11.58)

endondeR,,, RU2,y R,, son las resistencias a frecuencia cero vistas desde C,, C, y C, respectivamente.
Obsrvese que con frecuencia cero (en continua) los condensadores estn en circuito abierto y los
productos RC de la Ec. (1 l-58) se denominan a veces constantes de tiempo en cortocircuito.
Para justificar la forma de a, en la Ec. (1 l-58) podemos valemos de la siguiente argumentacin.
Consideremos C, = C, = 0 (circuito abierto) con lo que el circuito slo contiene C, como en la Fig. ll -23h.
La funcin de transferencia del circuito de esta ltima figura tiene un solo polo cuya frecuencia angular
es simplemente la inversa de la constante de tiempo T del circuito. Pero en este caso T es igual a C,
multiplicado por la resistencia equivalente a travs de sus terminales, es decir Ro,, como est indicado en
la Fig. ll -23b. Obsrvese que dejando C, = C, = 0 en la Ec. (ll 58) se llega al mismo resultado. El mismo
argumento se puede aplicar si consideramos C,=C, = 0 y C, = C, = 0. En estas condiciones las constantes
de tiempo son R,, C, y RO,, C, respectivamente.
La forma de la Ec. (1 l-58) se puede extender a un sistema conteniendo M condensadores como en la
Ec. (11.59)

a, = gR$C, (11-59)
/=,
474 Microelectrnica moderna

siendo Ro,,la resistencia a frecuencia nula vista desde C,. Alternativamente podemos contemplara, como
suma de las constantes de tiempo en circuito abierto, siendo RO,, C, la constante de tiempo del circuito
cuando todas las dems capacitancias estn en circuito abierto. En los dos ejemplos siguientes veremos la
aplicacin de este mtodo.

Ejemplo 11-2

Determinar el coeficiente a, en la funcin de transferencia de la etapa en emisor comn de la Fig.


11-14.

Solucin

Con dos condensadores la Ec. (1 l-59) os da:

a, = RO,& + R'C
F il
Para calcular la resistencia Rf a frecuencia cero vista desde C,, los condensadores est en circuito y
se suprime la fuente de tensin independiente cortocircuitndola como en la Fig. 1 l -24n. De la observacin
de esta figura se desprende que

Al circuito de la Fig. 1 l-24h se le provee de una fuente de prueba I, y se calcula la tensin V, para que
RF = V,l,.En esta misma figura, despus de haber identificado Rzcomo se ha indicado, la ley de Kirchhoff
da:
V, = MO, + RLU, + gmu,)
y susnmyendo v, = R4/ tenemos

RO,= : = Ro, + RL(l + gmRo,)= R0,(1+ g,RL) + RL


por tanto
a, = RO,C,+ [Ro,<1
+ g,,,R,d+ RLI C,

Figura 11.24. Circuito empleado para calcular las resistencias de frecuencia cero (circuito abierto): (a) RI y, Ch)R, para la etapa
en emisor comn.
que es la misma expresin que la de la Ec. (1 l-28).

Ejemplo 11-3

(a) Determinar el coeficiente a, de la funcin de transferencia de la etapa amplificadora cuyo circuito


equivalente sea el de la Fig. 1 l-25. (b) Con los valores numricos indicados buscar la frecuencia superior
de 3 dB aproximadaf,,. (c) Comparar este valor con el obtenido en la Sec. 1 l-7 para la etapa en fuente
comn. (Nota: Esta etapa es un amplificador en fuente comn con resistencia de fuente Rs. Los parmetros
del dispositivo y los componentes del circuito tienen los mismos valores ya empleados en la Sec. 1 l-7.)

(a) El circuito tiene tres condensadores: por tanto, valindonos de la Ec. (1 l-59) tendremos:

a, = R;,C,, t RE&, + RX,,

Para calcular las tres constantes de tiempo deben hallarse Ross,pSd y R,. Suptimiendo v, y poniendo
las capacidades en circuito abierto podemos identificarRaSr como se ve en la Fig. 1 l-26a. Como existe la
misma corriente en R,, rd y pV,$ estos elementos se pueden transformar en sus fuentes de corriente [KV,,
/ (rd + RD)] - resistencia equivalente (R, + rd) en paralelo. Esta combinacin est en paralelo con Rs y se
puede reconvertir a su equivalente de Thvenin como se aprecia en la Fig. Il-26b. La fuente de tensin
equivalente pV8 y la resistencia eb serie Rs, son

p = IRA
RA = Rs 11(RD + TA
rd + RD
La aplicacin de una fuente de pmeba y, y el clculo de la corriente Z,como se indica en la Fig ll-26b
nos da Rnxs= V/l,. Con VR,.= Vt la ley de Kwchhoff nos lleva a

de donde
176 Microelectrnica moderna

(b)

Figura 11-26. (a) Lxcuitoempleado parahallarRdgs. (b) El circuito en (a) con lapane drenaje-fuente (Rs, pLveq.ra y q) sustituida
por un equivalente de fkvenin bVp,-R,

La resistenciaRop, puede calcularse a partir del circuito de la Fig. 11-27~. Aplicando la ley de Kirchhoff
en D tenemos
1, = I, - I2 (1)

V,, - I,R, + I,R., = I,R, f R,U, - 1,) (2)

Aplicando la ley de Kirchhoff al lazo de la derecha

IZRD = I,(R.\ + rc,) + /.LV#,

Combinando de (1) a (3) y despejando 1, nos da

y la tensin V, es, aplicando la ley de Kirchhoff al lazo exterior:

V, = I,R, t I>RU

Substituyendo (4) en (5) y despejando V/It tenemos

Rid = ; = R, + &>[rc~+ PR, f (1 + PI R,l


RI> + r<i + R,(l f P)
La tercera resistenciaR,, se obtiene por medio de la Fig. 1 l-27& Obsrvese que no hay corriente en
RTde forma que V,, aparece como se indica a travs de Rf. La ley de Kirchhoff exige que I, = I, + I,, siendo

I, =
v, + ILv*> I, =
v, v,,
Y
rd RI>
La tensin de control VaSes 12/Rq.Combinando estas ecuaciones y despejando I, obtendremos

R$, zz - = r,(R, f Rd
1, RD + rc, + (1 + PL)R.7
, =

(b) El clculo numrico de a, es el siguiente

5 p = g,>,rd = 2 x 80 = 160
R, = RS ii CR, + rJ = 2 II(20 + aO)= 1.96kR
PRA 160 x 1.96
p = = 3.14
J.~If RI, = 80 + 20

Y
0.3 + 1.96
RE, = = 0.546 k0
1 + 3.14
RO = o,3 + 20[80 + 160 x 0.3 + (1 + 160)21
WI = 21.6 ka
20 + 80+ 2(l + 160)
80(2 + 20)
R$x = = 4.17 kR
20 + 80 + (1 + 160)2
por tanto

a, = 0.546 x 3 + 21.6 x 1 + 4.17 x 1.5 = 29.5 t~c

Y
I
= .40 MHr
Zn x 29.5 x IO-

(c) Observamos que el valor def, hallado en la parte (b) es mayor que el correspondiente (2,15 MHz)
alaetapaenfuentecomnsimple. En laSec.lO-15 vimos que larealimentacinsuministradaporR,,reduce
la ganancia. Aqu vemos que al mismo tiempo aumenta el ancho de banda. En el Cap. 12 se tratara de los
efectos de la realimentacin.
478 Microelectrcnica moderna

El coeficiente a2
La situacin del polo no dominante ms prximo, y por tanto la separacin entre los polos dominante
y no dominante viene determinada por a2. Como se. ve, por ejemplo, en las Ecs. (1 l-28) y (1 l-36), a
comprende el producto de las constantes de tiempo. Tal como se ve en la Ec. (1 l-36) todos los pares de
capacitancias posibles forman las constantes de tiempo en a,. Por tanto, en el circuito de la Fig. 1 l-23, u2
se expresa

CI> = R:,C,R:& + R1,CR


I 33C i + R&Ri>C> (11.60)

siendo RI,, la resistencia a frecuencia cero vista por C, cuando se cortocircuita C(. En la Ec. (1 l- 60),
R,, es la resistencia vista por C, cuando C, est en cortocircuito y C, en circuito abierto como est
representado en la Fig. 1 l-28. Anlogamente R,, es la resistencia vista por C, con C, en cortocircuito y
C, abierto: R2,, se obtiene cortocircuitando C, y abriendo C,. La notacin racionalizada sigue el siguiente
criterio: el subndice seala los terminales entre los que se mide la resistencia, y el exponente indica el
condensaor co~~u-cuirado. Todas las capacitancias sin ningn subndice ni exponente estn abiertas. As,
cada trmino de la Ec. (11-m es el producto de una constante de tiempo en circuito abierto por otra en
cortocircuito.
La forma general de cada trmino de a, es:

R:.C,R;C, = RTR.C
.u i SI t (11-61)

La Ec. (11.61) indica que para cualquier par de condensadores C, y C, podemos hallar la constante de
tiempo en circuito abierto para uno de ellos y la constante de tiempo en cortocircuito del otro. Obsrvese
que el condensador cortocircuitado para determinar Rj (RJ,,)es aquel para el que se calcula la constante
en circuito abierto. Por tanto, el valor de a2 no vana si se sustituye Ro22C$,,C, por R,C,R,,C,
Frecuentemente la eleccin de cul de las formas de la Ec. (1 l-til) emplear conduce al anlisis ms
conveniente del circuito.
Mientras que existen cuatro parmetros en cada uno de los trminos de la Ec. (1 l-60) slo hay que
calcular las resistencias en cortocircuitos RJ,zya que las en circuito abierto Rorzya son conocidas por el
clculo de a,. El siguiente ejemplo muestra la forma de proceder para el clculo de la constante de tiempo
en cortocircuito.

Ejemplo II -4

Determinar el coeficiente a, para el circuito de la Fig. 1 l-14


Para el sistema de dos condensadores

u2 = RO,C,R,C, = R;C,R$C,

Las resistencias en circuito abierto RO,y RE son conocidas (Ejemplo 11-2). As pues, debemos calcular
ya sea RL ya sea Rt. Para mayor claridad calcularemos ambas, usando la Fig. 1 l-29a para RE y la Fig.
1l-29h para Ri.
En la Fig. 11-29~ cottocircuitar C, hace que Y%= 0. En consecuencia Rn est en cortocircuito y la fuente
de corriente R,, v, en circuito abierto (! = 0). Por tanto, Ri y

resultado obtenido en la Ec. (1 l-28)


En la Fig. ll-29b cortocircuitando Cn se sitan Ron,R, y la fuente de corriente &, v,, en paralelo. La
tensin a travs de la fuente dependiente es IJ?y la comente a travs de ella es g,n vx y por tanto esto es
una resistencia vJgm v, = l/g,,,. As pues, RI es sunplemente la combiiacin en paralelo de Rip L y l/&,, o sea
R%
RE = R: /I RL /i ; =
RN + g,nRJ + RL
En consecuencia, empleando el resultado para R; del Ejemplo ll-2 obtendremos
RVL C, = RO,C,RLCI<
a2 = [R(l + g,nR~) + RLI ce x R0,(1 + g,RJ + RL
que corno era de esperar nos da el mismo resultado anterior.

El procedimiento que queda descrito no constituye una aproximacin, sino que da los valores euzcfos
de los coeficientes a, y a2. Vemos que empleando el mtodo de las constantes de tiempo se obtienen los
mismos resultados que se obtendran usando la regla de Cramer o la eliminacin gaussiana para resolver,
simultneamente, las ecuaciones nodales del circkto. Para un circuito con dos nudos como es la etapa en
emisor comn, este procedimiento no aporta ninguna ventaja real ya que la funcin de transferencia tiene
slo dos polos y se puede deducir fcilmente. Sin embargo, cuando se trata de amplificadores con cuatro
o ms nudos esta tcnica resulta conveniente ya que a, (y aJ re ohtienen directamente. Por el contrario,

~igra 11.29. circuitos


(0)
de la etapa en emisor comn empleados para calcular(a) RP y ChI Rb
(b)
480 Microelecrmnica moderna

el empleo del anlisis nodal exige hallar el polinomio completo (todos los n coeficientes). Adems, tal
como se demostrar en sucesivas secciones, el cerrar y abrir condensadores tiende a desacoplar partes del
circuito (etapas). Cada constante de tiempo est relacionada con una parte del amplificador y el impacto
sobref, de los valores de los elementos de esta parte del circuito es evidente. En consecuencia el diseador
del circuito puede valorar mejor los resultados de cambiar los valores de los componentes. Cuando se
emplea conjuntamente con simuladores de clculo, el diseador tiene la ventaja de percibir el comporta-
miento del circuito y los datos numricos exactos.

11-10. RESPUESTA EN FRECUENCIA DE ETAPAS EN CASCADA

Los amplificadores se disean para que proporcionen ganancia dentro de un margen de frecuencias
especificado. En la Sec. lo- 16 se vio que conectando etapas en cascada, la ganancia total, igual al producto
de las ganancias de las etapas individuales, aumentaba considerablemente. En esta Seccin examinaremos
los efectos de unas etapas en cascada sobre la respuesta en frecuencia del amplificador completo.
Demostraremos que el producto ganancia-ancho de banda aumenta en comparacin con el de una etapa
sola. Por tanto, dado un valor de& la ganancia del amplificador en cascada es mayor que la conseguida
por una etapa sola que tenga igualf,

CascadasEmisor Comn-Emisor Comn (Ce-Ce) y fuente comn-fuente comn


(Cs-Cs) en alta frecuencia
La Fig. 1 l-30a representa un par de etapas en emisor comn conectadas en cascada, siendo su circuito
equivalente a alta frecuencia el de la Fig. 1 l-30b. Obsrvese que no estn representados los componentes
de polarizacin ni los condensadores de acoplamiento y de paso, cuando se emplean, ya que estos
elementos no influyen en el comportamiento a alta frecuencia.
Como las dos etapas estn en cascada, podemos esperar una funcin de transferencia de cuatro polos
y dos ceros, es decir, dos polos y un cero de cada etapa. Sin embargo no podemos expresar la funcin de
transferencia como producto de ganancias individuales a alta frecuencia A,,(s) y A,,(s) ya que las etapas
estn acopladas entre s mediante C,, y C,,. As, tal como se ve en la Fig. 1 l-30b, cambiando el valor de
R,, se afecta la entrada de la etapa 2 (efecto Miller). Como la entrada a la etapa 2 es parte de la carga de
la etapa 1, sta, a su vez, repercute en la entrada de dicha etapa 1 por efecto Miller. Si considersemos la
etapa 1 (o la 2) separadamente, eliminaramos este acoplamiento y el resultado obtenido sera errneo,
Podemos tener la funcin de transferencia completa escribiendo las cuatro ecuaciones nodales del
circuito y resolviendo el sistema de ecuaciones resultante. Este proceso adems de serengo~~oso dificulta
relacionar el comportamiento individual de las etapas con la respuesta total. En lugar de ello, emplearemos
los razonamientos anteriores sobre el amplificador de una etapa para aproximamos ala respuesta en alta
frecuencia. Basndonos en este anlisis podemos suponer razonablemente que los ceros de la funcin de
transferencia se producen auna frecuencia suficientemente alta para poder ser despreciados. Como lo que
primero que nos interesa es el clculo de la frecuencia superior de 3 de,&, consideramos que es aplicable
la condicin de polo dominante, y todo lo que hace falta es calcular el coeficiente a,. Esta aproximacin
es justificable porque muchos amplificadores prcticos estn diseadospara que tengan un polo domi-
Respuesta en frecuencia de los amplificadores 481

Etapa , Ema 2

(b)

nante. Adems, como veremos en el siguiente desarrollo, el coeficiente a, de la cascada est relacionado
con el coeficiente a, de las etapas individuales, factor esencial en el diseo del amplificador. Basndonos
en el clculo de a, podemos determinar a continuacin el coeficiente a2 (si es necesario) y examinar la
validez de la aproximacin del polo dominante. En el Ejemplo ll-5 se realiza este clculo.
El circuito de la Fig. ll-3 1 se emplea para evaluar la resistencia en circuito abierto necesaria en la
expresin de a, [Ec. (1 l-59)]. Para evitar que la notacin resulte demasiado engorrosa son convenientes
las siguientes igualaciones: C, = C,,,C, = C,,, C, = Czi y C, = Cu como en la Fig. 1 l-30h. Una de las
ventajas de los condensadores en circuito abierto (Fig. ll-3 1) es que se desacoplan las etapas. As pues,
de los resultados obtenidos en el anlisis del amplificador en emisor comn de una sola etapa, las Ro,, y
R,, de la primera etapa se pueden expresar
R8l = R:, = R, // r,, RO,, = R% =R:,(l + ~,>,,RLI) + R,, (11-62)

En 1aEc. (1 l-62) laresistencia~,, identificadaen IaFig. 1l-31, es lacargaefectivaenlaprimeraetapa,


es decir R,, en paralelo con la reststencia de entrada R, = rzI de la segunda etapa.
La resistencia de fuente de la segunda etapa es la resistencia de salida R,, = R,, de la primera (Sec.
IO- ll) y en forma similar que para la primera etapa tendremos
RI, = R:, = Rc, 11 r_z R;z = R!L = R:dI + ~,.&zl + Rcz (11-63)

Obsrvese que co h = 0, R,, =R nZ.Empleando las Ecs. ( 1 l-62) y (1 l-63) juntamente con la ( 1 l-59)
se obtiene
482 Microelectronica moderna

(ci, = R?,C, + [R:,(l + K,,IR,.,) + RLII C, + RPKs + [R:,(l + g,,,zR<-,lC, (1 l-64)

Podemos identificar los dos primeros trminos de la Ec. (1 l-64) como coeficiente a, de la primera
etapa cargada (llammosle a, ,). El ltimo par de trminos de la misma ecuacin es el coeficiente a, de la
segunda etapa (llammosle a,J.
Empleando la aproximacin del polo dominante, la frecuencia superior de 3 dB,.f,, de la cascada es
1 1
fH = k = 2m,, f 2m,2 = 1lf.w + Ilf,,z
(11-65)

Evidentemente, la Ec. (1 l-65) relacionaf,, con la frecuencia superior de 3 dB,fH, yf,,, de las etapas
individuales. Tambin vemos que& es menor quef,, y f;,2 y por tanto llegamos a la conclusin que la
conexin en cascada reduce el ancho de banda.
La reduccin del ancho de banda es un proceso aditivo como se desprende de la Ec. (1 l-65) mientras
que la ganancia en la banda media se multiplica. Con nmeros mayores que la unidad. su producto aumenta
ms rpidamente que su s~ma~. Esto nos lleva a la conclusin de que el producto ganancia-ancho de banda
de la cascada aumenta por encima de lo que lo hace una etapa individual. Consideremos dos etapas en
cascada caracterizadas por las frecuencias de banda media y superior de 3 dB, de valores 100 y 0,l MHz
y 10 y 1 MHr respectivamente. Cada una tiene un producto ganancia-accho de banda de 10 MHz. La
cascadatieneunagananciaglobalde 100 x 10 = 1000ydelaEc. (1 l-65)$, = l/[(l/O,l) + (l/l)] = 0,91 MHz.
El producto ganancia- ancho de banda de la cascada es Y 10 MHz claramente superior que el de cualquiera
de las etapas.
Por analoga los resultados dados por la Ec. (1 l-65) son aplicables a un par de etapas en fuente comn
en cascada. Los valores de a,, y a,2 los da la Ec. (1 l-36) de la Sec. 11-7.
El resultado de la Ec. (1 I-65) se puede extender de la siguiente forma a un amplificador de N etapas
en cascada: El coeficiente a, de la cascada es la suma de los coeficientes a, de las etapas individuales. La
resistencia de carga efectiva de cualquiera de las etapas es su resistencia de colector (o drenaje) en paralelo
con la resistencia de entrada a la etapa siguiente. La resistencia seal-fuente de la etapa es la resistencia
de salida de la etapa anterior. En la primera etapa se utiliza la resistencia seal-fuente.

Ejemplo ll -5

Los parmetros utilizados en la cascada CE-CE de la Fig. 1 l-30 son los siguientes:
Respuesta en frecuencia de los amplificadores 483

R, = 600 n, R,., = 1.5 ka, Rcz = 600 0, r,, = 1.2 k0, g,r,, = 0.1 (1, C,, =
24.5 pF, C,, = 0.5 pF, r-1 = 2.4 ka, p,,,? = 0.05 02, C,, = 19.5 pF, y
Cy = 0.5 pF.

(a) Determinare1 valor aproximado deh, y la situacin aproximadadel polo dominante, (b) Determinar
la situacin aproximada del polo no dominante ms cercano y comentar la validez de la aproximacin del
polo dominante.

Solucin

(a) Para halhuf, calcularemos primero las resistencias de las Ea. (1 I-63) y (1 l-64). 0 sea

R:, = 600 /I 1200 = 0.40 kfl


RLI = Rr, ll 1,~ = 1.50 112.4 = 0.923 k0
Y
R& = 0.40(1 + 100 x 0.923) + 0.923 = 38.2 ka
R), = 1.5 /I 2.4 = 0.923 kcl
R$b = 0.923(1 + 50 x 0.6) + 0.6 = 29.2 kR
La Ec. (1 l-64) da
a, = 0.40 x 24.5 + 0.5 x 38.2 + 0.923 x 19.5 + 0.5 x 29.2 = 61.5 ns
y de la Ec. (1 l-65), 1 l-65),
1
fH = = 2.59 MHz
271 x 61.5 x 10m9

El polo dominante est situado en p,, siendo


1 1
= 1.63 x 10 rad/s
= u, = 61.5 x 10 9
Es interesante determinar los valores de,& y j;,? del amplificador. Los dos primeros trminos para el
clculo de u, nos dan a,, y los dos ltimos nos dan o,~. Estos son:
a,, = 28.9 ns CI,? = 32.6 ns
de donde
1 1
.fHi = 5.51 MHr
2no,, 27 x 28.9 x IO-

Y
I
fH2 = j& = = 4.88 MHL
2~ x 32.6 x 10

Con estos valores se aprecia la merma defH debida a conectar las etapas en cascada (a meni>\ del 60%
de f,,,, que es el menor de los dos). Sin embargo las ganancias a frecuencia media de las dos etapas son
100 y -18,5 respectivamente lo que da una ganancia total de 1850. Resulta evidente que la ganancia se
ha incrementado en un porcentaje notablemente mayor que el porcentaje de disminucin defA.
484 Microelectrnica moderna

(b) Para hallar la frecuencia angular pz del segundo polo deberemos calcular el coeficiente a2. Con
cuatro condensadores hay seis productos de constantes de tiempo en circuito abierto y en cortocircuito;
as a2 puede expresarse

Fig. 11.32. Circuitosequivalentesempleadosparacalcular,(aj RI,,, (b)R3My (c)R2,

La relacin entre la anterior ecuacin y el circuito de la Fig. 1 l-306 indica que slo se debern calcular
dos trminos no identificados anteriormente. En la Fig. 1 l-30b vemos con C, en circuito abierto, la parte
de circuito que contiene C, est desacoplada de la segunda etapa (Ci y C,). Las resistencias vistas desde
C, y C, son por tanto independientes de que C, este abierto o cerrado. As, R,, = Rosi y R, = R,, y los
trminos segundo y tercero de la expresin de a2 pueden escribirse

Ta resistencia R,, se calcula a partir del circuito de la Fig. Il -320. Con C, y C, abiertos, R,, = R,, es
simplemente el valor de R,, para la primera etapa cargada. Anlogamente cortocircuitando C, se separa
completamente la primera etapa del clculo de R,, que como se ve en la Fig. ll -32b es R,, y del valor
de R, para la segunda etapa. As, el par de trminos que contienen RI,, y R-', son precisamente los
coeficientes a2 de las etapas individuales.
El restante par de resistencias de cortocircuito se determinan cortocircuitando C,. Se observa en la Fig.
ll-3 1 que cortocircuitando C, se hace que la tensin a travs de la fuente gm, vxI sea v,,. Esta relacin v-
i representa una resistencia v.,/g,, vxI = l/gm , como se aprecia en el circuito de la Fig. 1l- 32~. Como se
ve en esta ltima figura Vg,, es mucho ms pequeo tanto de R,, como de Ro, I por lo que la combinacin
7: paralelo de estas resistencias vale aproximadamente l/gm,. Para calcular Ro,, y Ro, se emplea la Fig
1 l- 32~ salvo que la resistencia de fuente efectiva es l/& en lugar de R,, (vase Fig. 11.31). 0 sea

R:i = r,> I/ Ro 11 & 11 RY, Y Rh = R:,(l + g,,,,Rczf + RTZ

La combinacin de todos los trminos de los tres ptiafos anteriores nos da

Las resistencias R',, y R', son


R:I = 2.4 111.5 I/ 0.01 II 0.4 = 0.01 kR
Rf, = O.Ol(l + 50 x 0.6) + 0.6 = 0.91 k0
Empleando estos valores y los anteriormente determinados en la parte (a), tendremos
a2 = 0.40 x 24.5(0.923 x 0.5 + 32.6) + 0.923 x 19.5 x 0.6 X 0.5
+ 38.2 x 0.5(0.010 x 19.5 + 0.91 x 0.5) = 342 x IO+' s

La frecuencia angular del polo es


Q! 61.5 x lo-
p2 = a, = 342 x 10-R = 1.80 x 10s radis
Y
f = 0 = 1.80 x lo*
* = 28.6 MHz
271 271
Como los polos estn separados por un factor mayor de 10, podemos adminr que la ap:onnnac~on del
polo dominante es vlida. Esto se comprueba con computacin simulada que dap, = :, !<)xlO rad/s, p2 =
16.1~10~ rad/s y los correspondientes valores f, = 2,85 MHz y t = 25.6 MHz. El error en el clc*llo de
polo dominante es de cerca del 10% y el valor exacto de f,, es %,71 MHz. As pues, f, = 1/2 mI da una
aproximacin muy ajustada del verdadero valor. Obsrvese adems que f, = 112 ma, es menor que el
valor real.
Una observacin notable: Las etapas 1 y 2 actuando corno amplificadores de una sola etapa ten+an
frecuencias de polo de 5,5 1 y 4,88 MHz respectivamente. Sin embargo a consecuencia del acoplami&o
entre las etapas cuando se sitan en cascada, las dos frecuencias de polos correspondientes de la cascada
son aproximadamente 2,59 y 28,6 MHz. Por tanto, uno de los polos se ha acercado al origen y el otro se
ha apartado. Muchas veces se emplea esta particularidad para compensar amplificadores operacmnales.

ll-ll. EL AMPLIFICADOR CASCODO (CE-CB)

El amplificador cascodo (Fig. 10-35) comprende una etapa en emisor comn en cascada con una etapa
486 Microelectrnica moderna

en base comn. En la Sec. lo-17 ya vimos que la ganancia en la banda media de esta combinacin es
prcticamente la misma que la de una etapa en emisor comn con la misma resistencia de carga R,, que
laetapaen basecomn. Aquveremosquelarespuestaenfrecuenciadel conjuntoesmayorquelaobtenida
por la etapa en emisor comn correspondiente. Para ello calcularemos el coeficiente a, del amplificador
cascodo y lo compararemos con a, de una etapa en emisor comn con una carga R,.
El circuito equivalente de alta frecuencia del amplificador cascodo est representado en la Fig. 11-33~
y en la Fig. ll-33h lo est el empleado para calcular las resistencias en circuito abierto. Siguiendo el
procedimiento sealado amerionnente en esta misma seccin, el coeficiente a, de la cascada CE-CB es la
suma de los a, de la etapa en emisor comn cargada a,, y de la etapa en base comn a,*. Para la etapa en
emisor comn

en donde R Ox,vienedadaen 1aEc. (11-62) comoR, I/ rn,. LaresistenciaR,, es igual aR,, 11 R,, siendoR,*
la resistencia de entrada de la etapa en base comun. Tal como se describi en la Sec. 10-Z y tal como figura
en la Tabla 10-4 la resistencia de entrada R, = r,J( I+~o) de una etapa en hase comn es extremadamente
baja y por tanto tambin lo es R,, = R ,*. La Jk (1 l-66) es aplicable tambin ala etapa en emisor comn
corwpondiente con R, como carga. Como R,, R,, vanos que el efecto multiplicador de Miller de Cp, en el
amplificadorcascodo queda muy reducido respecto al de la etapa en emisor comn. Esta reduccin
de la influencia de C,, es lo que mejora la respuesta en frecuencia del amplificador CE-CB.
f, de la etapa en base comn es mayor que en la etapa de emisor comn. Como se deduce del Prob.
1 l-2&, el coeficiente de una etapa en base comn puede aproximarse por
Con los valores paranr&tricos normales, el valor de q2 en la Ec. (1 l-67), y por tato cl de ,fi12, es
comparable al de un seguidor de emisor, y su efecto sobre la respuesta global es mnimo

Ejemplo 11-6

Un amplificador cascodo tiene R,, = RL2 = l,5 kR y R, = 300 R. Los transistores so idnticos y
tienen rn = 2 kQ g,,, = 0,05, p, = 100, C,, = 19.5 pF, Cn = 0.5 pF y o, = 2.5 x 10 rad/s. (a) determinar
f, del circuito, (b) determinar f, de una etapa en emisor comn teniendo R, = 1,5 kR excitada por una
fuente con Rt = 300 Q empleando el transistor del que se han dado sus parmetros ms arriba. Comparar
los resultados con los obtenidos en la parte (a).

Solucin

(a) Segn la Ec. (1 l-62) tenemos para la etapa cn emisor comn

R! = 0.30 11 2.0 = 0.261 ki1

De la Tabla 10.3 tenemos para el amplificador en base comn

2.0
R,, = ~ = 0.0198 kil
I + 100

Y
K,, = R<, 11R,z = 1.5 /i 0.019X = 0.0195 kS1
Por tanto
ci,, = 0.261 x 19.5 + 0.5[0.261(1 + 50 x 0.0195) + 0.0195] = 5.36 ns

Para la etapa en base comn la Ec. (1 l-67) da

1112-- L2,5 + 0.5 x 1.5 = 1.15~

0 sea
u = o,, + u,~ = 5.36 + 1.15 = 6.51 ns
488 Microelectrnica moderna

Y
1 = 24.4 MHz
271 x 6.51 x 10m9

(b) Para la etapa en emisor comn equivalente

a, = 0.261 x 19.5 + 0.5[0.261(1 + 50 x 1.5) + 1.51 = 15.8 ns

1
271 x 15.8 x O-9 = lo MHz

Evidentemente el amplificador cascodo tiene un valor de f Hmavor que la etapa en emisor comn. Si
la resistencia de carga R, se acrecienta (por ej. a 5 W2) la mejora def, es an ms fuerte (18,7 MHz para
el cascodo y 3,82 MHz para la etapa en emisor comn),

11-12. EL AMPLIFICADOR OPERACIONAL A ALTA FRECUENCIA

Los amplificadores operacionales prcticos, son frecuentemente la cascada de un amplificador dife-


rencial, etapa en emisor comn y seguidor de emisor (Sec. 10-18) diseados de forma que su respuesta
en alta frecuencia est caracterizada por un solo polo dominante. As, su ganancia Av es
.L
A,(s) = (1 l-68)
1 + SIW,
siendo A, la ganancia (en la banda media) y CI+,la frecuenaa angular del polo dommante. El producto
eanancia-ancho de banda del Amp-Op es A, oh (Sec. ll -3). Seguidamente determinaremos la frecuencia
superior de 5 dB de las etapas bsicas inversora y no inversora. Para fijar la atencin sobre el efecto del
polo dominante trataremos el amplificador operacional como ideal en todos los aspectos excepto en que
la ganancia viene dada por la Ec. (ll- 68).

La etapa no inversora
La Fig. ll-34 representa el modelo de la etapa no inversora en la que la fuente gobernada muestra la
variacin de frecuencia. La ganancia A, de esta etapa es la dada por la Ec. (10.10) que con R, = 0 y A,
dado en la Ec. (1 l-68) resulta
.L,(R, + R,Y( + s/od
A,,(s) =
R,[l + A,,i(l + siw,,)l + RZ
Simplificando fracciones, y reagrupando trminos se puede escribir

.L(R, + Hx)
AVIAS)=
RA + Am,) + RZ 1 + {s(RI +
Respuesta en frecuencia de los amplijkadores 489

de donde
A,AR, + Rd wH = 4R1(1 + &<J + RzI
A (11-71)
VO = R,(l + A,,) + R> RI + RI

El produw ganancia-ancho de banda de la etapa A, w,, igual que A,. w, y puede observac en el
diagrama de Bode de la Fig. 1 l-35. Normalmente los fabricantes ya especifican el valor de ese producto
(como frecuencia de 3 dB de ganancia unidad). En consecuencia, en un Amp-Op con un producto
ganancia-ancho de banda de 1 MHz, una etapa no inversora con una ganancia 20 tiene un ancho de banda
f, = l/ZO MHz = SOkHz.

Como la ganancia A, viene fijada por la relacin de resistencias RJR,, tanto la ganancia como el ancho
de banda de un Amp-Op dado quedan especificados una vez seleccionada dicha relacin.
Siendo A, grande (=l@) se pueden aproximarA, y f,, resultando

A (11.72)
Obsrvese en la Ec. (1 l-72) la relacin explcita def, con el producto ganancia-ancho de banda y la
ganancia en la banda media de la etapa. Los Amp-Op tpicos tienen A,, = l(Y y un producto de unos pocos
megahertz. Por tanto, podemos afirmar que la frecuencia& del polo dominante es ms bien baja
(normalmente entre 5 y 100 Hz).

La etapa inversora

El anlisis de la etapa inversora es como el de la etapa no inversora que acabamos de describir. Lo>
resultados (Prob. 1 l-46) vienen dados en las Ecs. (1 l-73) y (1 l-74)

L
A,,(s) = 1 + sio,
-.LP, 1
R,U + A,,,) + R2 1 + (s(R, + R&J,,[R,(I + A,,,) + RJ} (11.73)
490 Microelectrnica moderna

Obsrvese que el valor de o+, en la Ec. (1 l-73) es idntico al de la etapa no inversora. As pues, para
anos valores dados de R, y R, las etapas mversora y no inversora en Amp-Op idnticos tienen el mismo
ancho de banda.
Para Ave>> 1, la Ec. ( 1 l-73) se reduce a

R2 A,,,f II
A YO = -- (11-74)
R, f = 1 + IA&

Obsrvese que el producto ganancia-ancho de banda de la etapa inversora no es igual aA,,f,. A valores
iguales de A, la etapa no inversora tiene un ancho de banda ms amplio. La razn de esta diferencia
quedar aclarada al estudiar la respuesta en frecuencia de amplificadores realimentados (Cap. 13).

11-13. EL EFECTO DE LOS CONDENSADORES DE ACOPLAMIENTO Y


DE PASO
En las ocho Secciones precedentes se ha tratado de la respuesta en alta frecuencia de los amplificadores.
rn~i todos los circuitos llamados de componentes discretos emplean condensadores de acoplamiento y de
paso (bypass). (Algunos amplificadores integrados tambin emplean condensadores de acoplamiento.)
Anteriormente ya se ha demostrado que estas capacidades afectan a los amplificadores a baja frecuencia
y es en este campo de frecuencia en el que estamos interesados en esta Seccin. En la Fig. ll-36 vemos
el circuito equivalente de una etapa en emisor comn conteniendo un condensador de paso C, y otro de
acoplamiento C,. Obsrvese que ste es el modelo de la etapa amplificadora de la Fig. lo-12 para la que
C, de la Fig. ll-36 es C,,. Aqu no tomamos en consideracin C,, ya que representa el condensador de
acoplamiento entre la salida de esta etapa y la entrada de la siguiente. Por tanto sus efectos se incluyen en
el anlisis en baja frecuencia de la segunda etapa.
Cada uno de los dos condensadores C, y C, influyen sobre el funcionamiento a baja frecuencia. En
primer lugar supongamos que C, cumpla perfectamente su misin de paso respecto a R,, es decir, que C,
acta corno un cortocircmto (alternativamente, se supone C, infinito). Para este circuito tenemos un
condensador C, y por tanto la funcin de transferencia tiene un polo en la inversa de la constante de tiempo
del circuito. La ganancia es cero con continua (s = jo = 0) ya que C, est en circuito abierto haciendo I,
Vx y por tanto Vo = 0. La resistencia equivalente RE, en el lazo de base es R, + rr. Obsrvese que estamos
empleando la notacin de la Seccin anterior ya que RE, es la resistencia vista por C, cuando C, est
cortocircuitado
Como
Ib = V,/(R: + l/sCs), V, = r,,lb y V,, = -g,,,V,Rc.

la funcin de transferencia puede expresarse

(11.75)

siendo
1 1
.f c=m= (11-76)
27@, + rAC,
A,, se obuene en la Ec. (1 I-75) para s = jw+-, es decir, a frecuencias en las que C, acta como un
,ortocircuito proporcionando un acoplamiento ideal. El diagrama de Bode de la Ec. (ll 75) tiene la forma
vista en la Fig. 1 l-5.
Respuesta en frecuencia de los amplijkadores 491

Figura 11.36. Kcprcaentacun en baja frecuencia de una efa~a en emisor comn de componentes discretos incluvendo loa conden-
sadores de aco@,iento y de paso.

Veamos ahora cules son los efectos de C,~cuando Cb,supone un acoplamiento ideal. Como se ve en
la Fig. 1 l-36 el circuito tiene un condensador C, y la funaon de transferencia tiene un solo polo en l/Cpn,
siendo RB, la resistencia vista por C, con C, en cortocircuito. Podemos esperar un cero en la funcin de
transferencta. Este cero tiene lugar en s = z, con lo que Z,+- (circuito abierto) haciendo I,,, Vn y V, = 0.
La impedancia Z, es R, 11 l/sC, = RJ( 1 + SR, C,) que se hace infinito cuando SC, R, = -1. As,
1
51 = z = WE (11-77)

La resistencia equivalente es idntica a la resistencia de salida R,, de un seguidor de emisor (vase Fig.
10-23). Por tanto, la funcin de transferencia es

A,o (R:IRL) (1 + dwt) , I I-7X)


Iv,(s) =
1 + .sRfCh

R,.(R, + r,M 1 + I-i.,)


R; ze (11.79)
RI + CR, + rJ(l + P,,

Elpolo tienelugarens = -p, = -l/R, C,ycomRBE R L (recurdesequeR, delsegudordeemxores baja)


la frecuenciap, del polo es mucho mayor que wL. As pues, para frecuencias prximas ap, el diagrama
de Bode de la EC. (1 l-78) es el que aparece en la Fig. 11-5. La frecuencia inferior de 3 dB f,, es
I
J1.1.= 5 = (1 I-80)
?K,. R,.(R, + r,V( I + Po)
IIR, + CR,+ r,M I + &>1

La respuesta completa en baja frecuencia


Tanto C, como C, afectan a la respuesta en baja frecuencia. Si consideramos simultneamente ambos
condensadores esperamos una funcin de transferencia con dos polos y dos ceros. La funcin de
transferencia resultante (Prob. 1 I-5 1) es

AL<, (~lw,,J (1 + sK,.C,.) _ Ai<,(.\l~,,)tI 7 .\l;,)


AL,.(J) =
1 + <,,s + a2s (1 + slp,) (1 + .\/Jh)
492 Microelectrnica moderna

siendo
a, = RZ,C, + R!&CB = RFCE + [R, + r, + (1 + &,)R,]C,
(1 l-82)
02 = RC
E EREC
B B = R!$,<RfC, = R,C,&R, f r,)C,

20 dB/Dcada

,,
I *f,ktz
ff P Ii> Pm Escala tog.
Figura 11-37.Diagramaasintticode Bodede la respuestaen bajafrecuenciadel circuitode la Fig. 11.32.

La Fig. ll-37 representa la forma del diagrama asinttico de Bode de la Ec. (ll-8 1) suponiendo
z,<p,<p,. Nuevamente se observa que la banda media tiene lugar cono elevado. As, la frecuencia inferior
de 3 dB est relacionada con el polo ms alejado del origen, es decir con la mayor frecuencia de polo @J.
Valindonos de la aproximacin de p de la Ec. (1 l-24) tendremos
0, REC, + R:CB
p2 = a, = pc RC
t I. x H
que despus de sustituir R,, R, y RE, y dividiendo nos da
1 1
(11-83)
* = (R, + r,)Ct, + C,R,(R, + r,) / (1 + p,,)
RF. + (R, + r,) / (1 + Po>
comparando las Ecs. (1 l-76) y (1 l-80) con los trminos de la Ec. (1 l-83) resulta
1 I
P2=*#+ ~ = 2dfLB + fLd = 27ifL (1 l-84)
RECL
,dondef,, y f,,son lasfrecuenciasde3dB asociadasconC, y C,respectivamente [Ea. (I 1.76)~ (1 l-80)].
La frecuenciaf, es (aproximadamete) la inferior de 3 dB del cxcuito.
El resultado de la Ec( 1l-84) se puede extender a circuitos con ms de dos condensadores como indica
la Ec. (1 l-85):

2TrfL = PN = (1 l-85)

La resistencia R& se define como la resistencia vista por C, cuando todos los dems condensadores
estn en cortocircuito. As, la frecuencia inferior de 3 dB puede hallarse aproximadamente sumando
simplemente las frecuencias inferiores de 3 dB atribuidas a cada uno de los condensadores del circuito.

Ejemplo 11-7

Una etapa amplificadora de un transistor tiene R, = R, = 1.5 ka, R, = 600 R y los parmetros del
Respuesta en frecuencia de los amplificadores 493

transistor P, = 100 Y r,, = 1,O kQ. (a) Determinar los valores de CR y C, necesarios para tener f, = 50 Hz
Supngase que ambos condensadores contribuyen por igual enf,. (b) Con el resultado anterior determina
el cero introducido por CE.

Solucin

(a) Para. unafl global igual a 50 Hz.fu =f, = 25 Hz. Mediante las Ecs. (1 I-76) y (1 l- 80) se uene
1
frs = 25 = CB = 3.98 pF
271(0.6 + l.O)C,
fLE = 25
1
2&&.5(0.6 + I.O)/ (1 + 100)1/[1.5 + (0.6 + l.O)/(l + lOO)]}
0 C, = 406 ~LF
(b) DelaEc.. (ll-66), fE = wJ2w = I/27rR&, = 1/(27r x 1.5 x 0.406) =
0.261 Hz.
Los valores hallados en el Ejemplo ll-7 para las capacidades corresponden a la situacin tpica
existente en la prctica en la que el valor de C, necesario es mucho mayor que el de C,. Adems, el cero
introducido por C, tiene lugar a frecuencia tan baja, comparada con la deseada def,, que su efecto sobre
la respuesta en baja frecuencia es prcticamente despreciable. Tanto el tamao como el coste de los
condensadores aumentan al aumentar su capacidad. En consecuencia, al disear un amplificador se
acostumbra a elegir C, para satisfacer el valor especificado def,. Entonces se elige C, para quef, tenga
lugar a una frecuencia mucho ms baja quef,. Una buena norma emprica consiste en elegirf&/lO. Con
los valores del Ejemplo ll-7 esto nos da C, = 203 FF y C, 2 19.9 pF, haciendo as la capacidad total
alrededor de la mitad de la hallada en el Ejemplo ll -7

Etapas en cascadaa baja frecuencia

La frecuencia inferior de 3 dB,f, de un amplificador en cascada se obtiene fcilmente por extensin


del mtodo descrito en la Sec. ll 13 para el amplificador de etapa nica. Se puede expresarf, en la forma
original dada en la Ec. (1 l-85) y reproducida algo alterada en la Ec. (1 l-86):

(1 I-86)

Siendo R& la constante de tiempo del circuito cuando todos los dems condensadores estn en
cortocircuito. Segn la Ec. (1 l-86) resulta evidente que la frecuencia inferior de 3 dB total f, est
relacionada con las frecuencias inferiores de 3 dB de las etapas individuales. Obsrvese que la norma
emprica dada inmediat&ente despus del Ejemplo ll-7 es aplicable tambin a etapas en cascada a baja
frecuencia.

Resumen
La determinacin de la ganancia y de las frecuencias superior e inferior de 3 dB de los amplificadores
en cascada puede resumirse de la siguiente forma:
494 Microelectrnica moderna

1. La ganancia en la banda media es el producto de las ganancias de las etapas individuales.


2. La frecuencia superior de 3 dB,f,,, es la suma de las inversas de las frecuencias superiores de 3 dB,
f,,, de las etapas individuales. El valor de cada&, es la inversa de la suma de las constantes de tiempo en
circuito abierto de la etapa.
3. La frecuencia inferior de 3 dB,f,, es la suma de las frecuencias inferiores de 3 dBf,, de las etapas
individuales. Cada valor def,, es la suma de las inversas de las constantes de tiempo en cortocircuito de
la etapa.
Los valores def yfL se esbozan utilizando la aproximacin del polo dominante. lo que generalmente
concuerda bien con los valores medidos. Esto resulta muy til en los clculos normales realizados en las
primeras fases del diseo.

REFERENCIAS

Gray, P.R., y R.G. Meya: Analysis and Design of Analog Integrated Circuits, 2 ed., John Wiley and Sons,
Nueva York, 1984.
Ghausi, M.S.: Electronic Devices and Circuits: Discrete and Integrated, Holt, Rinehart and Winston,
Inc., Nueva York, 1985.
Moschytz, G.S.: Linear Integrated Networkv Fundamentals, Van Nostrand, Reinhold Company, Nueva
York, 1974.
Cochrun, B.L., y A. Grabe]: On the Determinadon of the Transfer Function of Electronic Circuits, IEEE
Trans. CircuitTheory, val. CT-20, pp. 16-20, Enero 1973.
Grebene, A.B.: Bipolar and MOS Analog Integrated Circuit Design, John Wiley and Sons, Nueva York,
1984.
Sedra, AS., y K.C. Smith: Microelectronic Circuits, Holt, Rinehart and Winston, Inc., Nueva York, 1981.
Schilling, D., y C. Belove: Electronic Circuits-Discrete and Integrated, McCiraw-Hill Book Company,
Nueva York, 1979.
Soclof, S.: Analog Integrated Circuits, Prentice.Hall, Englewood Cliffs, NJ., 1985.

TEMAS DE REPASO

ll-l. Definir la caracterstica de la respuesta en frecuencia de un amplificador.


11-Z. Esbozar la respuestaen alta frecuencia de una funcin de transferencia de un solo polo.
11.3. Definir fH,frecuencia superior de media potencia.
11.4. Repetir el tema ll-2 para un sistema de paso-alto de un solo polo.
11-s. Definir el ancho de banda.
11.6. (a) Esbozar la respuestaa un escaln de un sistema paso-bajo con una sola constante de tiempo
(b) Definir el tiempo de subida t<,
11-7. (a) Defmir la inclinacin o pendvente.
(b) ;Qu relacin hay entre la pendiente y f,
11-8. (a) Defmirf
(b) Defmir BT
(c) Escribir una ecuacin que relacione f, con fT
11.9. (a) Escribir la funcin de transferencia de un amplificador con tres polos y dos ceros finitos.
(b) iBajo que condiciones tendr este amplificador un polo dominante?
11.10. Los tres polos de up. amplificador todo-polo estan muy separados
(a) Escribir una expresin para la localizacin aproximada de los polos en funcin de los coeticientes de la
funcin de transferencia.
(b) Cul es el valor aproximado de f,?
ll-ll. Dibujar el circuito equivalente hbrido-n de una etapa en emisor comn.
11-n. En una etapa en emisor comn, emplese el teorema de Miller para hallar la capacidad de entrada de una
etapa en emisor comn.
11-13. Definir el producto ganancia-ancho de banda (tensin).
11-14. Dibujar el circuito equivalente de una etapa en fuente comn, vbdo a altas frecuenctas.
11-15. Mediante el teorema de Miller obtener un modelo unilateral de una etapa en fuente comn a altas frecuencias
11-16. (a) Defmir la constante de tiempo en circuito abierto.
(b) Escribii una ecuacin para el coeficiente a,, en funcin de la constante de tiempo en circuito abierto
para un circuito con cuatro condensadores.
u-17. iQu se entiende por resistencia a frecuencia cero R,,?
11.18. Expresar cada trmino del coeficiente oSexplicando su significado.
11-19. (a) Aproximar las dos primeras frecuencias de polo en funcin de los coeficientes a, y az
(b) iCul es, aproximadamente el ancho de banda de 3 dB?
U-20. j,En qu condiciones es wilida la aproximacin del tema ll- 19?
11-21. Explicar brevemente cmo calcular RolI y R,,
11-22. (a) iCu&l es mayor: wHparauna etapa en emisor comn o wH para una etapa en colector comn? Explquese.
(b) Una etapa en emisor comn est en cascada con otra en colector comn: Cuat es la frecuencia de 3 dB
global?
11-23. iCmo afectan los condensadores de acoplamiento y de paso a la respuesta en frecuencia de una etapa
amplificadora?
ll-24 Escribi una expresin mediante la que se pueda aproximar la frecuencia inferior de 3 dB. Identifica cada
trmino.
11-25. (a) Por qu la cascadade etapas aumenta el producto ganancia-ancho de banda de un amplificador?
(b) La respuesta al apartado (a) jes siempre cierta?
11-26. Comentar las ventajas del amplificador cascodo.
11.27. Relacionar la ganancia en la banda media A, y la frecuencia a media ptenciaf, de una etapa de Amp-Op
no inversora con la ganancia en continua A,. y el ancho de banda f, del Amp-Op.
11-28. Repetir el Tema 1l-27 para una etapa inversora.
Amplificadores
realimentados

La realimentacin es uno de los procesos fundamentales en la naturaleza. Es el mecanismo de


coordinacin entre el ojo y la mano empleado para volver esta pgina, para controlar y mantener constante
la velocidad cuando se conduce un automvil, para conservar constante la temperatura del cuerpo y para
el control natural de poblacin en los ecosistemas. Por realimentacin entendemos el proceso mediante
el que una parte de la salida se reentra a la entrada para que participe en el sistema de excitacin. Esta
accin, convenientemente aplicada, tiende a hacer que el sistema se regule automticamente.
En los captulos anteriores hemos visto casos en los que se aplica la realimentacin a circuitos
electrnicos. Se ha empleado por ejemplo para hacer que el punto de trabajo de un transistor resulte
insensible a las variaciones de p, y a las de temperatura (Sec. 10-7). En una etapa en emisor comn
conteniendo una resistencia de emisor (Sec. 10-14) la realimentacin facilitada por R, ayuda a mantener
sensiblementeconstantelagananciaalvxiar~,Elanchode bandadelaetapadel amplificadoroperacional
(Amp-Op) de la Sec. ll-12 qued demostrado que es mayor que el ancho de banda del Amp-Op,
atribuyndose el aumento alas resistencias de realimentacin R, (y R,). La baja resistencia de entrada y
alta de salida del seguidor de emisor (o de fuente) son debidas al efecto de realimentacin.
Los ejemplos citados ponen de manifiesto algunas de las ventajas que se pueden derivar del oso
apropiado de la realimentacin, es decir: el control de los niveles de impedancia y aumento del ancho de
banda, adems de hacer al circuito relativamente insensible alas variaciones debidas ala fabricacin y a
los cambios ambientales. Esto ltimo tiene una gran importancia en la electrnica moderna porque permite
gobernar el funcionamiento del circuito sin recurrir a componentes de precisin caros (o reduciendo su
nmero).
Los ejemplos citados lo son de realimentacin negativa; o sea, que la seal de realimentacin de salida
ala entrada est desfasada 180 respecto ala excitacin aplicada. As, la seal de entrada al amplificador
es proporcional a la diferencia entre las seales de excitacin y de salida. Tal como veremos en las
subsiguientes secciones, este mecanismo diferenciador es fundamental para establecer los beneficios de
la realimentacin negativa.
Sin embargo las ventajas de la realimentacin van acompaadas de los correspondientes inconvenien-
tes. Para conseguir la desensibilidad en la etapa en emisor comn con resistencia de emisor y aumentar el
ancho de banda en la etapa del Amp-Op se debe reducir la ganancia por debajo de su valor antes de
introducir la realimentacin. Puesto que la magnitud y la fase de la ganancia varan con la frecuencia es
posible introducir un desfase suficiente que produzca una realimentacin positiva. En estas condiciones
el amplificador puede perder la estabilidad y engendrar una seal de salida independiente de la entrada (e
incluso sin seal de entrada) o dicho de otra forma, puede oscilar. Aun cuando se emplea la realimentacin
positiva para establecer los dos estados estables de un biestable (PLIP-PLOP) (Sec. 8-l) y para construir
circuitos oscilantes, las oscilaciones no deseadas pueden inutilizar un amplificador. Adems, frecuente-
mente los circuitos electrnicos contienen caminos para la realimentacin indeseados pero inevitables.
Las seales que retrocedenporestos caminos pueden deteriorare1 funcionamientonormal. El efecto Mille
multiplicador de Cu (o CJ en amplificadores a transistores con la correspondiente reduccin de la
498 Microelectrnica moderna

frecuencia superior de 3 dB constituye un ejemplo de realimentacin inevitable (y a veces indeseable). A


veces se observan efectos semejantes causados por elementos parsitos (tales corno la capacidad entre los
trminales de entrada y de salida de un encapsulado integrado).
En este captulo se estudiarn los efectos de la realimentacin sobre la ganancia del amplificador,
distorsin, niveles de impedancia y sensibilidad frente a las variaciones de los parmetros. En particular
examinaremos el funcionamiento de los cuatro amplificadores realimentados bsicos de un solo lazo,
terminando el captulo con una breve introduccin a los amplificadores realimentados de mltiples lazos.
En el Captulo 13 trataremos de la estabilidad y de la respuesta en frecuencia de los amplificadores
realimentados.

12-1. CLASIFICACIN Y REPRESENTACIN DE LOS


AMPLIFICADORES
Antes de proseguir con el concepto de realimentacin conviene clasificar los amplificadores prcticos
basndonos en las fuentes gobernadas para cuya aproximacin se han diseado. Las cuatro grandes
categoras de esta clasificacin se corresponden con los cuatro tipos de fuentes gobernadas ideales. Cada
una de las dos fuentes de tensin y las dos de intensidad, dependientes ya sea de la tensin o de la cotiente,
tiene una impedancia de entrada cero o infmita y una impedancia de salida tambin cero o infinita. En
consecuencia las impedancias de fuente y de carga no afectan a la relacin entrada-salida de estas fuentes
ideales. No obstante, los amplificadores prcticos tienen impedancias de entrada y de salida ftitas, no
nulas. Para clasificar los amplificadores debe tenerse en cuenta la magnitud de los niveles de impedancia
del amplificador en relacin a las impedancias de carga y de fuente.

El amplificador de tensin
En la Fig. 12-l se representa el esquema de un amplificador de una etapa o de varias etapas en cascada.
Obsrvese la similitud entre este circuito y el de un Amp-Op de la Seccin 10-21. La parte correspondiente
ala salida (zona sombreada de 1aFig. 12- 1) representa el equivalente de Thvenin del amplificador, siendo
R, la resistencia de entrada del mismo. La resistencia R, es la carga y R, es la resistencia de la ientc VS.
Si la resistencia de entrada es mucho mayor que R,, entonces V,=Vs. Anzlogamente, si R, / Ro / , Vo =A,,
V, = A,Vs. El amplificador da una tensin de salida proporcional a la de entrada y el factor de propo,--
nalidad es independiente de la magnitud de las resistencias defuente y de carga. A un circuito como ste

Figura 12-l. Circuito equivalente de un amplificador de tensin


Amp1ijicadore.s realimentados 499

se le denomina amplificador de tensin o convertidor de tensin-tensin funcionando como fuente de


tensin gobernada por tensin. El smbolo A, de la Fig. 12-l representa VJV, cuando R, tiende a infinito,
y es por tanto la ,qananria en circuito abierto.

El amplificador de corriente
Una fuente de corriente ideal gobernada por corriente es unilateral. tiene una resktencia de entrada
R igual a ccm y una resistencia de salida RC>infinita. El ompi$icador de mi-riente o convertidor- de
&r-i~,~ie- cor-r-ient~aprctico de la Fig. 12-2 se aproxima al funcionamiento ideal cuando 1R, 1 <<R,, de
forma que I= 1 y cuando R, << j R,>1 h atiendo I0 = A I,=A, IS. 0 sea que la corriente de salida es
proporcionai a ia entrada independiente de R, o R,. Obkrvese que con R, = 0. A,-lo// , representa la
gunmcia de WI-r-ienre EYIwr-tociwuiro. El circuito de la Fig. 12-2 es anlogo al modelo BJT ms simple
basado en las ecuaciones de Ebers-Moll en las que 1, = p I,,.

El convertidor Tensin-Corriente o Amplificador de Transconductancia


El convertidor tensin-corriente o amplificador de transconductancia est basado en la fuente ideal de
corriente gobernada por tensin, Obsrvese la semejanza entre este tipo de amplificador, representado en
la Fig. 12-3 y el modelo hbrido-n unilateral del BJT. Para aproximarse a las caractersticas ideales, en
el convertidor tensin- corriente prctico R, << 1R, 1 y R, << 1R,>/ Estas condiciones hacen que V!=V, e
I,>= G,,,V ,= G,,,V, de forma que G ,,,, el factor de proporcionalidad, el independiente de las resistencias de
carga y de fuente. El parmetro G,,,s/~,~ ,, siendo R, = 0, es la conductancia de transferencia en
cortocircuito (o simplemente la r~a>is~or~dn<~runcia).Obsrvese la scmeianra de G,,, del amplificador
completo con la definicin de ,q,,,para el transistor.

El convertidor Corriente-Tensin o Amplificador de Transimpedancia


El cuarto tipo de amplificadorrepresentado en la Fig. 12-4 se aproxima al funcionamiento de una fuente
ideal de tensin gobernada por corriente. Por ser la tensin de salida proporcional ala corriente de entrada,
a esta categora de amplificadores se les denomina de transimpedanciao convertidores corriente-tensin.
El amplificador prctico debe tener 1R, 1 << R, y IR,, / << R, para aproximarse al ideal, o sea, I, = I< y
Ll,,=Z,,/,=Z,,/S. Al parmetro Z,,,= VJI, tendiendo R, a infinito, se le denomina impprdamk de trunsfercwcia
en cortocircuito o simplemente transimpedancia. La Tabla 12-l resume las caractetisticas de los cuatro
tipos de amplificadores.
ioo Microrlectr6nica moderna

Tabla 12-1. Caractersticas del amplificador bsico

12-2. EL CONCEPTO DE REALIMENTACIN


En la Sec. 12-1 se han descrito las caractersticas de los cuatro tipos bsicos de amplificador. La
realimentacin puede hacer que las caractasticas del amplificador prctico se aproximen a las del ideal.
De cada amplificador tomaremos una muestra2 de la seal de salida, a travs de una red apropiada, y la
reenviaremos a la entrada. En la entrada, la seal de realimentacin se combina con la fuente de seal
exterior mediante una red sumadora o mezcladora. Esta seal combinada se aplica a la entrada del
Amplifcadores realimentados so1

amplificador prctico como se ve en la Fig. 12-S. Incorporados a la topologa del amplificador.bsico de


un solo lazo existen los cinco elementos que forman el sistema de realimentacin, que son: las seales de
entrada y de salida, el muestreo de la salida, la comparacin y el procesado de la seal cotejada por parte
del amplificador bsico.

La fuente de seal (entrada)


El bloque de la Fig. 12.5 representa la seal que debe ser amplificada. La fuente de seal puede estar
modelada como fuente de tensin V, en serie con Rs o como fuente de corriente I$ en paralelo con R$.

La seal de salida
La salida puede ser, o bien la tensin a travs de la resistencia de carga R, (O impedancia Z,) o la
corriente en ella. La seal de salida es la que se pretende que sea independiente de la carga e insensible a
las variaciones de parmetros en el amplificador bsico.

La red de muestreo
La funcin de la red de muestreo es la de proveer una medicin de la seal de salida, es decir, dar una
seal que sea proporcional a la salida. En la Fig. 12-6 pueden verse dos redes de muestreo. En la Fig.
12-Q se muestra la tensin de salida conectando la salida de la red de realimentacin en paralelo con la
carga. Esta configuracin se denomina en paralelo o shunr. La corriente de salida se muestrea como en
la Fig. 12-6h en la que la salida de la red de realimentacin va conectada en serie con la carga.

Los dos circuitos de la Fig. 12-6 tienen iguales seales de salida y de muestreo. Aun cuando esta
situacin es prevalente, no es indispensable para el funcionamiento correcto. Todo lo que se necesita es
que la seal muestreada sea directamente proporcional a la de salida.
502 Microelectrnica moderna

La red de Comparacin o Sumador?.


En la Fig. 12-7 se han representado dos circuitos muy corrientes empleados para comparar o sumar
las seales de entrada y de realimentacin. El circuito de la Fig. 12.7~ tiene conexin serie y se emplea
para comparar la seal de tensin b, y la de realimentacin V,. La seal de entrada del amplificador V, es
proporcional a ladiferencia V, V,resultante de lacomparacin. Para comparar, frecuentemente se emplea

un amplificador diferencial (Sec. 10-19) yaque su tensinde salidaes proporcional aladiferenciaexistente


entre las seales en las dos entradas. La Fig. 12.7h corresponde a una conexin en paralelo, en la que se
comparan las corrientes de fuente I, y de realimentacin I,. Obsrvese que la <xrriente /, de entrada del
amplificador es proporcional a la diferencia I, I,.
Amplifcadores realimentados 503

La red de realimentacin
Este bloque de la Figura 12-5 es normalmente una red pasiva que puede contener resistencias,
condensadores e inductancias, aunque lo ms frecuente es que sea puramente resistiva. En varias de las
clases de circuitos integrados estudiados en la Cuata Parte, la red de alimentacin la forman condensa-
dores o combinaciones de resistencias y capacidades. Una de las funciones de la red de realimentacin es
la de convertir la muestra de la seal de salida auna forma apropiada para la comparacin. Consideremos
por ejemplo un amplificador realimentado en el que la salida es una tensin y las corrientes se comparan
en la entrada. La transmisin desde la salida hasta la entrada de la red de realimentacin debe convertir la
tensin de salida en una corriente, en una proporcin deseada, en la entrada.

El amplificador bsico
El amplificador bsico de la Fig. 12-5 es una de las cuatro configuraciones dadas en las Figs. 12-1 a
12-4.
Este circuito amplifica la seal diferencia resultante de la comparacin. En un sistema realimentado,
este es el proceso responsable de la desensibilidad y del control de la salida.
Consideremos el amplificador realimentado de la Fig. 12-8 en el que el amplificador bsico es el
amplificador de corriente de la Fig. 12.2. Supongamos que A, aumente debido quizs al aumento de p,
en uno de los transistores que comprende A,. El crecimiento de A, tiende a incrementar la corriente de
carga I y por tanto la de realimentacin 1,. Despreciando la corriente en R, la corriente de control I, = 1% I I
meng& Con una excitacin reducida. la salida del amplificador tiende a disminuir, lo que compensa e!
efecto del incremento de A Esta accin es la base de la I-ealivwnra~~i~~n~jiurivo. Como la red sumadora
da una seal diferencia, la kntrada al amplificador vara en sentido opuesto a la variacin en la salida. El
resultado neto es una sea 1 de salida constante independiente de las variaciones de A,. En la prxima
Seccin haremos un estudio cuantitativo de la desensibilidad proporcionada por los amplificadores
realimentados.
.\mpllhxdo, ,h,,C,,dc COIrlcvIc

Topologas del amplificador realimentado


Existen cuatro tipos de amplificadores bsicos, cada uno de ellos con camctersticiw aproximadas a las
504 Microelectrnica moderna

de una fuente gobernada ideal. Tal como es de esperar hay cuatro topologas bsicas de amplificador
realimentado de un solo lazo, teniendo cada una de ellas la estructura de la Fig. 12-S. Las cuatro
configuraciones de realimentacin son: paralelo-paralelo (o simplemente paralela), paralelo-serie, serie-
paralelo, y serie-serie (o simplemente serie). Estas designaciones corresponden a las conexiones de entrada
y de salida respectivamente entre la red de realimentacin y el amplificador bsico. Por ejemplo, en el
amplificador paralelo-serie las entradas de la red de realimentacin y del amplificador estn conectadas
en paralelo y las salidas lo estn en serie. Por lo tanto, se comparan corrientes y se muestrea la de salida.
Otra forma de nomenclatura se basa en la entidad muestreada y en las conexiones de entrada usadas. As,
la topologa corriente~parulelocorresponde auna conexin paralelo-serie. De igual forma las topologas
corriente-serie, tensin-serie y tensin-paralelo equivalen a las serie-serie, paralelo-serie y paralelo-pa-
ralelo respectivamente. Emplearemos las designaciones iniciales yaque son las ms usadas en la literatura.
Los niveles de impedancia decrecen cuando las redes estn conectadas en paralelo y aumentan cuando lo
estn en serie. As es de esperar que la configuracin paralelo-serie tenga una impedancia de entrada baja
y una impedancia de salida alta. Estos niveles de impedancia corresponden al amplificador de corriente
de la Fig. 12-2 y Tabla 12-l. En la Seccin 12-6 trataremos detalladamente de las caractasticas y
propiedades de las cuatro topologas citadas.

12-3. EL AMPLIFICADOR REALIMENTADO IDEAL

Las cuatro topologas de amplificador realimentado tienen varias caractersticas comunes y rodas ellas
estn representadas por la configuracin de la Fig. 12-5. En esta Seccin examinaremos los efectos de la
realimentacin sobre el conjunto de propiedades del amplificador (ganancia, estabilidad, distorsin, etc).
Los niveles de impedancia en el amplificador realimentado sern comentados en la Seccin 12.5.
Como primer paso hacia un mtodo de anlisis que ponga de relieve las ventajas de la realimentacin
consideremos la representacin del amplificadorrealimentado ideal de la Fig. 12-9. El amplificador bsico
de la Fig. 12-9~ puede ser uno cualquiera de los cuatro reseados en la Tabla 12-l conectado en una de
las cuatro topologas de realimentacin descritas en la anterior Seccin. La seal de entrada X,, la de salida
X,,, la de realimentacin X,, y la de diferencia (comparacin) X, representan, cada una de ellas, ya sea una
tensin o una corriente. Estas seales y las funciones de transferencia A y p las podemos ver resumidas
en la Tabla 12-2 para las distintas topologas. El smbolo formado por el anillo representa la red sumadora
cuya salida es la suma algebraica de todas las entradas

x, = x, + x, (12-l)

La seal X, representando la salida de la red sumadora, es la entrada 2 del amplificador. Se introduce


cl trminofl por conveniencia; en subsiguientes Secciones resultar con;eniente distinguir entre la seal

Tabla 12-2. Seales y relaciones de transferencia en amplificadores realimentados

Seal 0 Topokqa realimmmcirin

Relacin Pm-al- para1 Paral- serie Serie- serie Serie-plZlYZl

x.. Tensin Coniente Coniente Tensin


x.. x,. x, corriente COi~t~ Tensin Tensin
A V,il. /JI, LIV. V..JV.

P I, :v,, I,/I. v, ll.. I! f v..


Amplzficadores realimentados 505

de comparacin y la de entrada al amplificador. Si la seal de realimentacin X, est desfasada 180


respecto a la de entradaX, como es el caso en sistemas con realimentacin negativa, entonces X, es una
seal diferencia. Es decir, que X, disminuye al crecer 1X, 1
La transmisin inversa de la red de realimentacin p est definida por

P$! ( 12.2)
<>
La relacin de tmnsferencm p frecuentemente es un nmero real, pero en general es funcin de la
frecuencia. (No debe confundirse este smbolo con el empleado para la ganancia de corriente de
cortocircuito en emisor comn.)

La ganancia del amplificador A es

La ganancia con realimentacin A, se obtiene sustituyendo Iab Ecs. t 12-I) y ( 12.2) en la 112.31. Y es

(12.4)

La ganancia A en las Ecs. (12-3) y (12-4) representa la funcin de transferencia sin realimentacin. Si
p = 0, eliminndose la seal de realimentacin, no existir realimentacin y la F.c. (12.4) se reduce a la
(12-3). Frecuentemente a A se le denomina ~ananciu u Iozo ahierro (p = 0) y se designa A,, Cuando p f 0
existe un lazo de realimentacin y A, es la ,qanancia a lazo cerrado.

Si IA, 1<l A 1 larealimentacinseIlUmane,~utivu: Si 1 A, / z 1 A / e\ poitna 3 (reRener-otivu). Vemos


que en el caso de realimentacin negativa, 11 -Ab 1>l. [Ec. (12.4)].
506 Microelectrnica moderna

Relacin de retorno o ganancia del lazo


La seal tz de la Fig. 12-90 se multiplica por A al pasar a travs del amplificador, y por p en la
transmisin a travs de la red de realimentacin. Esta trayectoria parte de la entrada del amplificador
recorriendo el lazo formado por el amplificador y la red de realimentacin. El producto -AB es la ganancia
del lazo o relacin de reformo T. La Ec. (12.4) puede escribirse en funcin de A,, y T de la siguiente forma

Para realimentacin negativa, A p = T> 0.


Podemos interpretar fsicamente la relacin de retorno considerando la seal de entrada X, = 0 y el
rrayecto entre X, y 2, abierto. Si ahora se aplica una serial 9, a la entrada del amplificador tendremos X,
=Xi=A posea

1. = -AP = - xi (12.6)
x .\, 0

La relacin de retorno resulta ser la negativa de la relacin entre la seal de reahmentacin y la entrada
al amplificador. A veces a F = l-AB = 1 + T se le denomina diferencia de retorno. Si consideramos una
realimentacin negativa, tanto F corno T son mayores de cero (nmeros positivos).
El grfico del itinerario de la seal en la Fig. 12-9h describe la misma relacin dada en las Ecs. (12-2)
y (12-3). La transmitanciail representa el amplificador de la Fig. 12.9a y la rama p expresa la transmisin
inversa a travs de la red de realimentacin. El clculo de A, = XJX$ por reduccin nos da la Ec. (12-4).
Con X, = 0 se distingue bien el lazo formado por A y por p. En adelante emplearemos la representacin
grfica de recorridos del sistema para facilitar el concepto de circuitos prcticos.

En la representacin del amplificador realimentado ideal de la Fig. 12-9 van implcitas tres condiciones
que conducen a la frmula para A, en las Ecs. (12-4) y (12.5):

1. La seal de entrada se transmite a la salida a travs del amplificador A y no por la red de


realimentacin p. As. si se desactiva el amplificador haciendo A = 0 (por ejemplo reduciendo a cero R,,,
del transistor) la seal de salida debe hacerse cero. Este supuesto es equivalente a decir que la red de
realimentacin es unilateral.
2. La seal de realimentacin se transmite desde la salida hasta la entrada slo a travs de la red de
realimentacin. Es decir, el amplijicador A es unilateral y slo transmife desde la entrada a la salida.
3. La relacin de transfcrcncia p cs independiente de las resistencias de fuente y de carga R, y R, (Fig.
12-X).

Los dispositivos prcticos slo cumplen aproximadamente estas condiciones. Por ejemplo, la red de
realimentacin, normalmente consta de elementos pasivos (R, C, L) y por tanto transmiten una seal desde
la entrada hasta la salida. Anlogamente, tanto A como p estn afectados por las resistencias de carga y
de fuente. Estas desviaciones respecto al ideal se pueden incluir en un anlisis aproximado que resulta
vlido para la mayor parte de circuitos prcticos. Recordemos las aproximaciones hechas en cada una de
las configuraciones de amplificadores estudiadas. Adems, en la Sec. 12.8 formularemos un procedimien-
to ms general de anlisis de amplificadores realimentados ideales
Amplificadores rea1imenado.r 507

12-4. PROPIEDADES DE AMPLIFICADORES CON REALIMENTACIN


NEGATIVA
Puesto que la realimentacin negativa reduce la ganancia, por qu se emplea? La respuesta es por las
vtias ventajas que se logran a expensas de perder ganancia. Seguidamente veremos algunas de estas
ventaias.

La relacin de transferencia del amplificador A, cambia con Iah variaciones debidas u las tolerancias,
sustituciones, temperatura, envejecimiento y otras variables en las caractersticas del transistor y de otros
componentesdelcircuito. La funcin sensibilidadS:(relacinentreel cambio fi-accional enG y el cambio
fracciona1 enx), tal como se defme en la Ec. (12-7) es una forma adecuada para expresar el efecto que las
variaciones de x tienen sobre el funcionamiento del sistema G

Cuando A x/x << 1, A G/G es dG/dr y la Ec. (12-7) se convielte en

(IL-X)

Un valor de s\ 1 signiica que G es insensible a las variaciones de .x ya que A GiG A II\. Por el
contrario, si 9; 1 significa que G es muy sensible a los cambios de x. Un valor dc .S:.aproximadamente
igual a la unidad refleja el hecho de que G es directamente proporcional a x y que por tanto los cambios
fraccionales de G y de x son virtualmente iguales. Esta situacin existe para las variaciones de la relacin
de retorno T respecto a los cambios de ganancia en el amplificador interno (Ao,). As, $ indica tambin
la sensibilidad de A, respecto a las variaciones de la ganancia en el amplificador bsico. Esto se demuestra
escribiendo la Ec. (12-4) en la fomla
A -p 1 -AP 2
A, =mAP= ( 12-Y)
PI-Afl K lil
siendo K = lifi Si en la Ec. (12-g) T varia en AT, A, variar en AA, lo que x puede expresar
K(T + 17) KT K?rT
LA, =
l+T+AT I + 1. (7 + AT)(l + T)
Buscando MJA, y empleando la Ec. (12-7) tendremos
1
g =
l+T+LT
El resultado de la Ec. (12-l 1) es exactamente el que se obtiene si para calcular S$ se emplea la Ec.
(12-8). La Ec. (12-l 1) demuestra que se puede hacer que la ganancia en lazo cerrado sea insensible a los
cambios de ganancia en el amplificador bsico aumentando el valor de T. Por ejemplo, en un amplificador
con T = 49 una vaiacin en T de AT = + 25 (aproximadamente un aumento del 50% en la ganancia del
amplificador bsico) nos da & = l/(l + 49 + 25) = 1175. Obsrvese que se ha empleado la Ec. (12-10)
debido al valor grande de AT. El correspondiente cambie fracciona1 de A, ~5, segn la Ec. (12-7)

0.0068

o sea que A, vara en aproximadamente un 0.68% De igual forma, una variacin XI.= 25 (un 50% de
prdida de ganancia) da S c = 1125 decrccicndoil un 2% Un nuevo incrcmcnto de reduce la variacin
de A, Estos valores muestran la eficacia de la realikntacin negativa. La ganancia en lazo cerrado A, se
puede gobernar con precisin aun cuando vare sustancialmente la ganancia del amplificador interno. La
insensibilidad (o estabilidad) de la ganancia en lazo cerrado ante las variaciones de la de lazo abierto
resultante del incremento de T puede verse en la Ec. (12-9). Cuando T >> 1, T/( 1 + n = 1 y

Puesto que p es la funcin de transferencia de la red de realimenracin pasiva corriente, A, LJ.\


esencialmente independiente de la ganancia del amplificador bsico, dependiendo s61o de la relacin de
los componentes pasivos. Esta es la situacin que se encuentra en las etapas invasoras y no inversoras
del Amp-Op (Sec. 10.21) en las que la ganancia era proporcional ala relacin de resistencias RJR,. Aun
cuando lo expuesto es exacto nicamente para variaciones pequeas de T, la Ec. (12-11) nos da una
estimacin de la mejora en la sensibilidad; o sca que el cambio porcentual de A, es igual al cambib
porcentual de 7 dividido por (1 + r). Con lo\ valores numricos dados antes, el cambio de A, es del I %,
para un cambio del 50% en 1.. Obsrvese que mientras la Ec. (12-l 1) indica que M,/A, es igual para
cambios positivos o negativos, ste no es el caso para grandes vaiaciones de T.

Distorsin no lineal
En este Captulo y en los 10 y 1 I se ha supuesto que la etapa amplificadora actuaba linealmente, es
decir, en las condiciones de pequea seal. Sin embargo, si se aplica una seal grande, la caracterstica
del amplificador acusa su no linealidad y la onda de salida resulta distorsionada (Fig. 10.2b). Con el
siguiente razonamiento expondremos el efecto de la realimentacin sobre la distorsin no lineal.
El amplificador de tensin de la Fig. 12-10 es ideal en todos los aspectos excepto en que su campo
dinmico es limitado. Es decir que las amplitudes de la seal de entrada que pueden adaptarse a un
funcionamiento lineal son limitadas. Esto puede verse en la caractetistica de transferencia de tensin de
Amplificadores realimentados 509

Figura 12-11. Caracterstica de transferencia de ,ensin de, amplificador de la Fig. 12. I 0.

la Fig. 12-l 1 que representa la relacin entre la seal de salida v,,y la de entrada Y,.El origen de coordenadas
de la figura representa el punto de trabajo, y la pendiente la ganancia de tensin. La lnea de trazos de la
Fig. 12-l 1 es la prolongacin de la parte lineal de la caracterstica de transferencia (0 C 1 v, 1s 40 mV)
e indica una ganancia de tensin A, = 100. En la regin no lineal de la caracterstica A, < 1OOllegando a
valor cao cuando / Y, 1 > 60 mV. (E n un amplificador BJT, el segmento horizontal de la Fig. 12-l 1 en
el que Av = 0 corresponde al corte o a saturacin.) De la caracterstica de transferencia resulta evidente
que las seales de entrada 1 v, 1 > 40 mV darn una onda de salida distorsionada.
La caracterfstica de transferencia de tensin de la Fig. 12-11 puede expresarse analticamente de la
siguiente forma.
Ic,,1 = 100 lLi,l; 0 +,l 5 40 mV
~1-,,11 :p (lo,1 0.04) -2500 (Ic, 0.04); 40 - c, 5 60 mV (IL-I_0
L,, IL.,/ > 60 mV 1
En la Tabla 12-2 se identifican algunos puntos representativos de la caractastica de transferencia.

Tabla 12.2. Valores / v,, / en funcin de 1v, 1 s&n Ec. (U-13)


;c,,i. 1 1.o 2.0 4. 4.44 4.75 4.94 5.
lc,l = c,l. mV 1 20 40 45 50 55 6

El amplificador realimentado de la Fig. 12.12 utiliza el amplificador descrito por la Ec. (12-13) y
Fig. 12-l 1. La red de realimentacin est diseada para que V, = 0,09 vg.La caracterstica de transferencia
v& del amplificador realimentado se construye calculando los valores de 1<correspondientes a los valores
de vIi y v, de la Tabla 12.2. La ley de KUchboff aplicada a la Fig. 12-12 nos da v, = v, + 1~~
= L,+ 0. 09v,,
Sustituyendo valores resultan los datos de la Tabla 12-3 de donde se deduce la caracterstica de
transferencia de la Fig. 12-I 3 (trazo continuo).

Tabla 12.3. Valores de 1vg 1 en funcin de 1vg 1 de la Fig. 12.13

c.0 CV) 1.0 2.0 4.0 4.44 4.75 4.94 5.0


Ic,~ (mV1 1 200 loo 444 478 500 510
Ic,: <mV) 10 20 40 45 50 55 60
5 10 Microelectrnica moderna

En la Fig. 12-13 se observa que la caracterstica de transferencia es prcticamente lineal en toda la


gama de tensiones de entrada. Por tanto, con I, < 500 mV no es de esperar que se produzcan distorriones
no Imeales.
Tambin se encuentra cn la Fig. 12-13 la caracterstica de transferencia vista en la Fig. 12-l 1. A la
vista de estas curvas resulta evidente que el amplificador realimentado tiene menos ganancia (AF = 10).
Sin embargo, conectando en cascada dos de estas etapas de amplificador realimentado la ganancia es
nuevamente 100, y con 1v, / < 50 mV la distorsin introducida es mnima.

R\ C<Nilpiil,,:n
Li.2t<ll\imlc\ +JllllLildOl hlUC~,Ix,
dc ICli\l

+i, +

4+
i Ii,

En la argumentacin anterior hemos supuesto que el amplificador interno era ideal, limitad slo por
la amplitud de la scal que pueda procesar. No obstante, en los amplificadores prcticos continan siendo
vlidos los rasgos esenciales citados. Concretamente, la caracterstica de transferencia del amplificador
realimentado se apmxima a ser lineal mucho ms que la del amplificador bsico.
Supongamos que la seal aplicada al amplificador de la Fig. 12-10 es una senoide cuya amplitud x
extiende hasta ligeramente por debajo del lmite de lincalidad (por ejemplo, una senoide de 50 mV de
amplitud para la caracterstica de la Fig. 12-i 1). La relativamente poca distorsin consiste simplemente
en un segundo armbnic generado en el dispositiv.EnlaEc.( 12- 13; coni 1 1140 mV la tensin de salida
v0depende de v,, y recordando que sen2 Wt = 0,5 0,s cs 2 wt la salida Contiene el segundo armnico.
La tensin de distorsin puede considerarse como una fuente de seal exterior v,aplicada a la salida ael
amplificador. Ahora se introduce una realimentacin negativa y la amplitud de la fuente de seal aumenta
(preamplificacin) en la misma cuanta en que se reduce la ganancia. As pues, la tensin de distorsin
vg?introducida en la salida del amplificador bsico tiene el mismo valor que en el amplificador no
realimentad. El grfico del recorrido de la seal en este sistema puede verse en la Fig. 12.140 y su
diagrama de bloques en la 12.14h.
Por superposicin se obtiene:

Observenws que la distorsin a la salida queda dividida por (1 + 7j. Como en general 7. es funcin
de la frecuencia debe calcularse ala frecuencia del segundo armnico. ObsCrvese que la redurcin de la
distorsin en (1 + r> corresponde u la linealizacin de la caracterstica de transferencia en la Fig. 12-13.
La seal aplicada al amplificador realimentado puede ser una seal exterior o ser la salida de un
amplificador anterior a la etapa o etapas realimentadas. Para multiplicar por / I + ./ la entrada al
amplificador realimentado es necesario, o bien aumentar la ganancia nominal de las etapas
preamplificadoras o bien aadir una etapa ms. Para aprovechar todas las ventajas de la realimentacin
al reducir la distorsin no lineal, estas etapas preamplificadoras no deben introducir ninguna distorsin
adicional debida a la mayor salida que se les exige. Como slo se introducen armnicos apreciables
cuando el recorrido de la salida es grande, la mayor parte de la distorsin aparece en la ltima etapa. Las
etapas preamplificadoras tienen menos importancia en cuanto a la generacin de armnicos.

Figura 12-13. Caracterfsticade transferenciadel amplificadorrealimentado de laFig. 12.12.

En la deduccin de la Ec. (12-14) se ha supuesto que puede despreciarse la pequea distorsin


adicional que puede surgir del componente de segundo armnico reenviado de la salida a la entrada. Este
supuesto conduce aun pequeo error. Adems hay que tener en cuenta que los resultados dados por la
Ec. (12-14) slo son aplicables en caso de pequea distorsin. Para la deduccin se ha empleado el
principio de superposicin, por lo que es necesario que el dispositivo trabaje aproximadamente lineal.

Reduccin del ruido


Empleando el mismo razonanuenro que en el caso de la disrorsmn no lineal se puede decir que el ruido
introducido en la salida de un amplificador queda dividido por (1 + 7) si se utiliza la realimentacin. El
mido que se introduce en la entrada equivale a una segunda seal que no queda afectada por la
realimentacin. Si (1 + 7) es mucho mayor que la unidad podra parecer que esto supone una considerable

Vi, (b)
Figurrt2.14.Representacibndelascdalded~storenv,aplicadaaunamplificadorrealimentado, sobre: (ajelgrficodcrecamdo
de la sehl, y (b) el diagrama de bloquea.
5 12 Microelectrnica moderna

reduccin del ruido de salida. Sin embargo, como se ha visto antes, para una salida dada, la amplificacin
del preamplificador debe multiplicarse por (1 + T) para una ganancia total prefijada. Como el mido
generado es independiente de la amplitud de la seal puede haber tanto mido generado en la etapa
preamplificadora como en la de salida. Adems este mido adicional ser amplificado, al igual que la seal,
por el amplificador realimentado, de forma que el sistema completo puede resultar ms ruidoso que el
amplificador original sin realimentacin. Los preamplificadores especiales de bajo ruido se emplean en
diversas aplicaciones tales como sistemas estreo de alta calidad, para aprovechar los beneficios de la
realimentacin y mejorar la relacin seal/mido. La ganancia adicional necesaria para compensar la que
se pierde debido a la realimentacin negativa puede conseguirse reajustando los parmetros del circuito
mejor que aadiendo una etapa ms con el resultado de una reduccin definida causada por la presencia
de realimentacin. En particular el zumbido introducido en el circuito por un suministro de potencia
deficientemente filtrado se puede rebajar apreciablemente.

12-5. IMPEDANCIA EN AMPLIFICADORES REALIMENTADOS

En este mismo captulo ya hemos indicado que se emplea la realimentacin para aproximar las
caractetisticas de un amplificador prctico alas de uno ideal. Para ello es necesario que las resistencias
(impedancias) de entrada y de salida del amplificador realimentado tengan valores apropiados (Tabla
12-l). Seguidamente examinaremos los efectos de la topologa de una realimentacin en el amplificador
sobre los niveles de impedancia.

Resistenciade entrada
Si la seal de realimentacin retorna a la entrada en serie con la tensin aplicada, la impedancia de
entrada aument~.~ Lo dicho es vlido independientemente de la conexin de salida. Es decir, que el
aumento de la resistencia de entrada tiene lugar en ambas configuraciones: serie-paralelo y serie-serie.

Consideremos el circuito de la Fig. 12.1% que representa el circuito de entrada conectado en serie de
un amplificador realimentado. La ley de Kirchboff aplicada al lazo nos da V, = V, + Vr
La seal de realimentacin es V, = p X,, siendo X0 la seal de salida y X0 = AV,. Combinando estas
frmulas tendremos
V
v, = IR, = &

de donde la resistencia con realimentacin R,, es

R,, GE: = R, (1 A/3) = R, (1 + T) (12.15)

Con V,=, 0 (sin realimentacin y p = 0) la resistencia de entrada es simplemente R?; evidentemente la


realimentaan ha aumentado la resistencia de entrada. Podemos justificar cualitativamente este resultado
de la siguiente forma: Puesto que en un amplificador con realimentacin negativa V, est defasado 180
respecto a VS,V, es menor de lo que scra si no existiera V,. Por tanto / = V, /R, decrece, lo que hace crecer
la relacin v, /I.
Amplz$cadores realimentados 513

Cuando a la entrada de un amplificador con realimentacin negativa se emplea una conexin en


paralelo, la impedancia de entrada disminuye (independiente de la conexin de salida). En la Fig. 12.15b
se remesenta la conexin de entrada en paralelo de un amplificador realimentado. En este circuito
1, = 1, + 1, 1, = w,,; X,, = Al;

Combinando estas ecuaciones y teniendo en cuenta que V = Z, R,, se llega a


V R
R,! _ 7 = 2=x, (12-16)
1 - A/3 lfl
La Ec. (12-16) indica claramente que la resistencia con realimentacin es menor que sin ella cuando
se emplea la realimentacin negativa (T > 0). Tanto la topologa paralelo-serie como la paralelo-paralelo
acusan este descenso de la impedancia de entrada.

Impedancia de salida
Cuando la salida de un amplificador realimentado est conectada en paralelo, la realrmenracin
negativa reduce la resistencia de salida (independientemente de la conexin de entrada). Consideremos
el circuito de la Fig. 12-lh que representa la conexin de salida en paralelo de un amplificador
realimentado. Como estamos considerando un dispositivo ideal, son aplicables los supuestos fundamen-
tales planteados en la Sec.12-3. De esta forma la tensin de salida V, se atribuye al amplificador bsico
AX, y p es independiente de la resistencia de carga. Podemos calcular la resistencia de salida R,, con el
teorema de Thvenin. (Recordemos que la resistencia de Thvenin es la de salida e igual a la relacin entre
la tensin en circuito abierto y la corriente en cortocircuito.)

La tensin en circuito abierto es

Obsrvese que no aparece la seal de entrada Xr,pero est implcita. La corriente de cortocircuito se
obtiene cmtocircuitando los terminales 1 y 2 de la Rg. 12.16a y su valor es:
Con Vo = 0 (cortocircuito) no hay seal de realimentacin; X, = 0 y X, = XS.Hallando la relacin Vdl,,
resulta

La Ec. (12-17) demuestra que la resistencia sin realimentacinR, se reduce al aadirle realimentacin
negativa (T > 0).
La impedancia de salida aumenta cuando un amplificador con realimentacin negativa emplea una
salida en conexin serie (independientemente de la configuracin de entrada). El circuito de la Fig. 12-16b
muestra una salida conectada en serie. En forma similar a la empleada para la salida conectada en paralelo
se llega a

Con los terminales 1 y 2 abiertos no se reenva ninguna seal (X = 0) y X, =X3, siendo la tenstn en
circuito abierto ux = AX, R,. Combinando estas relaciones y hallando V,>jl,, se obtiene

Ror = R,,(l - Ap) = R,,(l + 7) (12.18)

Las Ecs. (12-15) a (12-18) pi~raR,~ y R,, son casos especiales de la frmula de la impedancia de
Blackman [dada en la Ec. (12-21) y deducida en la Sec. 12.91. Estas ecuaciones son aplicables al sistema
de realimentacin ideal y satisfacen los supuestos fundamentales dados en la Sec. 12-3. Los amplificadores
prcticos ~610 se aproximan a este modo de funcionar.
Los valores de R,, R,, A y p (y por tanto T) deben modificarse para incluir las resistencias de fuente y
de carga R, y R,, y la naturaleza no unilateral de la red de realimentacin antes de emplear las Ecs. (12-B)
a(l2-18).

Frmula de la impedancia de Blackman


Las resistencias de entrada y de salida dadas por las Ecs. (12.15) a ( 12-18) pueden hallarse calculando
Amplificadores reatimentados 515

Rr (o RJ y T independientemente. Por ejemplo, en la Ec. (12.15), R,p = Rj cuando T = 0. Como se puede


conseguir que T = 0 haciendo A = 0, es decir, reduciendo a cero la ganancm del amplificador bsico, Rzes
simplemente la resistencia de entrada de la red pasiva resultante. Bode llama a esta situacin sistema
muerto porque A = 0 corresponde a la supresin de la fuente gobernada en el sistema. Llamaremos R,D
ala resistencia de entrada del sistema muerto.
La relacin de retorno Tpuede calcularse mediante la Ec. (12.6) corno se indica en la Sec.12.3. En la
Ec. (12-6) se calcula T haciendo X, = 0 o sea suprimiendo la fuente de seal. En la Fig. 12- 1% la supresin
de VS cortocircuita los terminales de entrada. 0 sea que se mide T con la entrada en cortocircuito y,
empleando la nomenclatura de Bode, se designa este valor con T,,. As, la Ec. (12-15) se puede escribir
tambin

R,, R,,, (1 + 7x1 (12.19)

Anlogamente, hacer X =I$ = 0 en el circuito de la Fig. 12.15b equivale a abrir el circuito de los
terminales de entrada. La relacin de retorno medida se representa T,,,; la Ec. (12-16) se convierte en

x,, = RI,>
(12.20)
I + 7;,<

Siendo nuevamente R,,, la resistencia de entrada con A = 0.


En las Ecs. (12-17) y (12-l@, RoF=Rocuando T = 0 (A = 0) siendo la resistencia de salida del sistema
muerto R,. El valor de T(Fig. 12-1641) se mide cuando los terminales 1 y 2 estn en el circuito abierto
quedando identificado Toi (si los terminales 1 y 2 estn en cortocircuito no hay realimentacin). As pues,
la Ec. (12-17) se reduce ala (12-20) con R,, sustituido por R,. En el cortocircuito de la Fig. 12-16b se
da la situacin inversa en la que la apertura del circuito de los terminales 1 y 2 elimina la realimentacin.
La relacin T = T,, se mide estando los terminales 1 y 2 en cortocircuito. Por tanto, la Ec. (12-l 8) puede
escribirse como en la Ec. (12-19) conR,, sustituido por R,D.

Combinando las ideas contenidas en los prrafos anteriores se justifica la Ec. (12-21), forma. general
de la frmula de la impedancia. de Blackrnan.
1 + Tsc
ZF = Zn , + 7,,, (12.21)
En la Ec. (12.21) tenemos:

1. ZF es la impedancia vista desde un par de terminales A y B de un amplificador realimentado.


2. 2, es el valor de Z? del sistema muerto, es decir, es la impedancia vista desde los terminales A y B
cuando la ganancia del amplificador se hace cero.
3. Ts es la relacin de retorno medida con los terminales A y B en cortocircuito.
4. T,, es la relacin de retorno medida con los terminales A y B en circuito abierto.

ObsrvesequelaEc.(12-21)sereduceala(12-l9)si~,,=Oyala(l2-20)si7,,=0.
La frmula de la impedancia de Blackman es aplicable a todos los amplificadores realimentados y no
slo a la situacin ideal descrita en lac Figs. 12.15 y 12-16. En las cantidades T,,,, T,, y Z, estn englobados
los efectos de carga de la red de realimentacin p en el amplificador bsico A y el efecto de las resistencias
de carga y de fuente Rq y R, respectivamente sobre los valores de A y p. En el anlisis de las cu&
topologas bsicas de un solo lazo de las secciones siguientes incluiremos estos efectos de carga.
516 Microelectrnica moderna

12-6. PROPIEDADES DE LAS TOPOLOGIAS DE AMPLIFICADORES


REALIMENTADOS
En las cuatro secciones precedentes se aescnbieron algunas de las caractensucas generales de los
amplificadores realimentados de un solo lazo. Cada una de las cuatro topologas introducidas en la
Sec. 12-2 se aproxima a uno de los cuatro tipos de amplificador (Sec. 12-l). En esta seccin estudiaremos
caractersticas especficas de las cuatro topologas, y en lo que resta del captulo comentaremos disposi-
tivos de transistores que aproximan estos circuitos.

El amplificador paralelo-paralelo
La Fig. 12- 17 representa la red de doble entrada de un amplificador paralelo-paralelo. La conexin en
paralelo de la salida significa que se muestra la tensin de salida, mientras que la conexin en paralelo de
la entrada supone una comparacin de corrientes. As, la red de realimen?acin comporta una transferencia
de tensin a corriente.
Mediante los teoremas de Tbvenin o de Norton es posible representar el amplificador interno por
cualquiera de los cuatro tipos de amplificadores vistos en la Sec. 12- 1. Obsrvese que Vr = z, 1, y que la
fuente de corriente gv en paralelo con ro se puede convertir en su equivalente de fuente de tensin. La
representacin de la Ftg 12-17 est basada en los parmetros 4.
Es de esperar que las redes en paralelo tengan niveles de impedancia bajos, lo que se demuestra de la
siguiente forma, empleando la frmula de la impedencia de Blackman [Ec. (12-21)I.

Amplificador b&ico

Figura U-17. Topologa de amphficador reahmentado paralelo-paralela (tensin en paralclo)

Cuando los terminales 1-1 de la Fig. 12-17 estn en cortocircuito, 1, y V, son nulos, y por tanto T,, =
0. Si los terminales 1-I estn abiertos 1, = 1, y T,, * 0. La impedancia de entrada resultante Z,r es baja
ya que Z,D est dividida por (1 + T,). Anlogamente, al calcular Z,,, cortocircuitando los terminales 2 y
2se hace Vo = 0 y enconsecuencial, = 1, = O,deformaqueT,, = 0. Abriendoel arcwtode 2.2septknite
Amplijicadores reatimentados 517

que una seal retorne a la entrada y T,, f 0. Nuevamente Z,, es bajo debido a ladivisin de Z,, por (1 + T,).
Los bajos valores de Z,F y Z,, obtenidos hacen que la funcin de transferencia sea independiente de R5 y
R,. Como se indica en la Tabla 12-l el amplificador paralelo-paralelo forma un convertidor coniente-ten-
sin o amplificador de transimpedancia. En la Tabla 12-4, al final de esta seccin, se relacionan las
propiedades de los cuatro amplificadores realimentados.

El amplificador serie-serie
La Fig. 12.18 corresponde ala conexin serie-serie en la que se muestra la corriente de salida Iv La
conexin serie de entrada requiere una comparacin de tensiones. (En un circuito en serie, la corriente es
la misma en todos los elementos.)
Para detenniarZ,F debemos calcular T,, y T,,. La apertura de los terminales de 1-1 hace que 1, y y,
sea ambas nulas. Abriendo el circuito de una conexin en serie se tiene T, = 0. Cortocircuitando l-l ,
lz z 0 y por tanto T,, t 0. Segn la Ec. (12.21), Z,D queda mulriplicado por (1 + 7,,) en virtud de la
realimentacin. Por analoga Z,, es grande al ser T,, = 0 en una conexin serie, y r,, f 0. Teniendo
impedancias de entrada y de salida altas, el amplificador serie-serie se comporta como convertidor
tensin-corriente o amplificador de transconductancia (Tabla 12-l).

El amplificador paralelo-serie
Esta configuracin est representada en la Fig. 12-19. Basndonos en los comentarios anteriores este
amplificador tiene una impedancia de entrada baja y una impedancia de salida alta. La corriente de salida
I, es esencialmente independiente de la resistencia de carga R, existiendo en la entrada una comparacin
de corrientes. Segn la Tabla 12-4 la topologa paralelo-serie es la de un amplificador de corriente.
Red de realimentacin
I
+ +
Conversl~
f 0
*
4 +

r~~~~~- i

El amplificador serie-paralelo
La topologa de la Fig. 12-20 es la de un amplificador serie-paralelo. Volviendo sobre los mismos
comentarios tenemos que la conexin de entrada en serie supone una impedancia de entrada alta y una

Tabla 12-4 Propiedades de las estructuras del ampliticador realimentado

Clasificacin Seal
Topologa Amplificador comparada

Paralelo- Convertidor coniente Tensin Baja Baja


ParaMO corriente-tensin
Paralelo-Serie CmTiente COiete Coniente Baja Alta
SWidhie Convertidor Tensin Coiente Alta Alta
tensin corriente
Serie-Paralelo Tensin Tensin Tensin Alta Baja
Amplificadores realimenrados 519

comparacin de tensiones. Anlogamente, la baja impedancia de salida y el muestreo de tensiones


caracterizan la salida en paralelo. As, esta configuracin se aproxima a la de un amplificador de tensin.

12-7. ANLISIS APROXIMADO DE UN AMPLIFICADOR


REALIMENTADO
Los amplificadores realimentados prcticos se disean normalmente para aproximarse a las camcte-
rsticas de una de las cuatro topologas bsicas. Por tanto, es conveniente analizar estos circuitos de forma
similaralaempleadaparael amplificador ideal yaestudiado. Elmtodo de anlisis se basaenlos siguientes
supuestos:

1. El amplificador bsico es unilateral, pero su ganancia refleja la carga de la red de realimentacin y de


las resistencias de fuente y de carga. La ganancia de este bloque es la del amplificador sin realimen-
tacin: la Ilamaremos A,, lo que la diferencia de la situacin ideal.
2. La red de realimentacin es unilateral (Sec. 12-3). Este supuesto equivale a decir que la transmisin
hacia adelante a travs de la red p es despreciable frente ala que pasa por el amplificador.

El primer paso para el anlisis es la identificacin de la topologa. Se define el lazo de entrada como
una malla conteniendo la tensin de seal aplicada VS,y o bien: (a) la regin base-emisor del transistor
bipolar de entrada, o (b) la regin puerta-fuente del primer FET del amplificador, o (c) la seccin entre
las dos entradas de un amplificador diferencial u operacional. La conexin de entrada se reconoce como
serie si en el circuito de entrada hay un componente W en serie con VSy si W est conectado a la salida
(la parte del sistema que contiene la carga). Si esto es as, la rensin a travs de W es la seal de
realimentacinX,=,V
Si no quedan sattsi echas las condiciones anteriores deberemos tantear la conexin en paralelo. El nudo
de entrada queda definido por: (a) la base del primer BJT, o bien (b) la puerta del primer FET, o (c) el
terminal inversor de un amplificador diferencial u operacional. Para la excitacin externa se emplea una
fuente de corriente de forma que la seal de corriente I< entra en el nudo de entrada. La configuracin
queda identificada como paralela si hay conexin entre el nudo de entrada y el circuito de salida. En esta
conexin, la corriente constituye la seal de realimentacin X = lf
La salida muestreada puede ser de tensin o de corriente. 6 ebe especificarse el nudo de salida del que
se toma la tensin de salida Vo (respecto a tierra). Esta tensin V, aparece a travs de la resistencia
(generalmente representada por R,) siendo !a corriente de salida 1, la de R,. Los ensayos para el tipo de
muestreo son los siguientes:

1. Poner Vo = 0 (es decir, hacer R, = 0 cortocircuitando la salida). Si X, pasa a cero, el sistema original
presenta un muestreo de tensin existiendo una conexin en paralelo.
2. Hacer 1, = 0 (es decir hacer R, infinita abriendo el circuito de salida). Si X, se anula, el muestreo en el
amplificador original es de corriente, existiendo la conexin en serie.

El amplificador sin realimentacin


Es conveniente descomponer el amplificador realimentado en dos bloque>: el amplificador bsico A,,.
y la red de realimentacin p porque conociendo A,, y p podemos calcular las caractersticas importantes
del sistema realimentado. Se puede determinar la configuracin del amplificador bsico sinrealimentacin
pero teniendo en cuenta la carga de la red p observando las siguientes reglas:
Para hallar el circuito de entrada:

1. Hacer Vo = 0 en una conexin de salida en paralelo, o dicho de otra forma, cortocircuitar el nudo de
salida.
2. Hacerlo = 0 en una salida conectada en serie, o dicho de otra forma, abrir el circuito del lazo de salida.

Para hallar el circuito de salida

1. Hacer V, = 0 para comparacin de coniente, es decir, cortocircuitar el nudo de entrada (de forma que
no llegue a la entrada del amplificador ninguna corriente de realimentacin).
2. Hacer 1, = 0 para comparacin (de tensin) en serie. 0 sea, abrir el circuito del lazo de entrada (de
forma que no llegue a la entrada del amplificador ninguna tensin de realimentacin).

Este proceso asegura que la realimentacin quede reducida a cero sin alterar la carga del amplificador
bsico.

Plan general de anlisis


Para hallarA,, R,, y R,, se desarrollan los siguientes pasos:

1. Identificar la topologa como se ha indicado antes. Esta prueba determina si X, es una tensin o una
corriente.
2. Dibujar el circuito amplificador bsico si realimentacin siguiendo las reglas ya citadas.
3. Sustituir cada dispositivo activo por su modelo apropiado.
4. Identificar X, y X0 en el circuito obtenido.
5. Calcular p =x,/x,,.
6. Calcular A,,, aplicando Ia? leyes de Kirchhofi al cmuto cqtnvalentc obtenido.
7. A partir de A,,, y p hallar T y A,.
8. Hallar R,, y R,, del circuito equivalente. Para hallar R,, y R,, a p liar la frmula de la Impedancia de
Bl&l~.

En los dos ejemplos siguientes veremos el proceso de anlisis aproximado.

Ejemplo 12-1

DeterminarA,, 7, R,, y R,, del seguidor de emisor de la Fig. 12-21~.

Solucin

Puesto que la entrada contiene un componente R, que est conectado a la salida (V, est tomado a
travs de R,) la entrada est conectada en serie y se compara tensiones. La tensin de realimentacin V,
se mide a travs de R, como queda indicado. La polaridad empleada es compatible con la red sumadora
de la Fig 12.90 en la que X, =X3 + X, Evidentemente V,es negativa; un incremento de V, hace decrecer V,
como requiere una realimentacin negativa.
La conexin de salida se determina haciendo Vo = 0 (R,=O). Con R, = 0 se elimina la realimentacin
y V, = 0. As, la salida est conectada en paralelo y la topologa del seguidor de emisor es serie-paralela.
Ahora debemos dibujar el amplificador sin realimentacin, y para ello seguiremos los pasos ya citados
Amplificadores realimenrados 521

R, B
- + + -
+ 8 y, - Y. R, v,>
E
vr
o-4

en esta Seccin. Para el circuito de entrada haremos V, = 0 y por tanto aparecer VSdirectamente a travs
de B y E. El circuito de salida se obtiene haciendo I, = 0 (se abre la entrada) y R, figura slo en el
salida. Siguiendo estas normas se llega al circuito de la Fig. 12.2lb del que su circuito equivalente
de la Fig. 12.21~. Para que sea compatible con la Fig. 12-2la, se mide Vo de emisor a colector.
el
lazo
de
es
Se observa en la Fig. 12.21~ que Vi = -Vo y que p = V,/V,, = -1. Adems, en la misma figura V,, = x,
VxR,siendo=R,=R, 11 i;yVn=rn~,/(Rv ir,).
Combinando estas igualdades se obtvzne

Con estos valores y despus de simplificar, tendremos

es
*, =-= Al>1 B,.K -~
I+T R, + 1-r + PoR;
(La relacin g,, = p, se emplea en la determinacin de A,). SI R, r,, corno el caso corriente,
R,=R,yA, =P,,R,(Rs+ I~ + POR,). Comparando este resultado con la entrada A, de la etapa en colector
comn de la Tabla 10-3~ se ve que si p, 1 ambos son iguales. La ligera diferencia entre los dos
resultados es atribuible a haber despreciado la transmisin directa de la red de realimentacin.
Para hallar R, Y R,,p emplearemos la frmula de la impedancia de Blackman [Ec. (12-2l)l. La
observacindelaFig. 12.2lcnosdaR,, - R, = rE. Para calcular ro, deberemos abrir el circuito de entrada,
522 Microelectrnica moderna

lo que se consigue haciendo que Rs tienda a intiito en la expresin de T. Si R8 tiende a infinito, TX = 0 lo


que es compatible con nuestros razonamientos anteriores sobre las entradas conectadas en serie. Hacer
R, = 0 equivale a cortocircuitar la entrada. Por tanto

T SC = TIQ =o = P,,Wr, = g,,,RL.


que con la Ec. (12-21) da
RIF = r, (1 + g,,,R;) = r,, + P,,R;
Nuevamente, con p, 1 este resultado es el mismo que el dado en la Tabla 10-3.
La resistencia de salida del sistema muerto es R,, = ,. Para calcular T,, y -o, de T haremos R, = 0
y R i + - respectivamente. As, Tsc = 0 y T,, = p, rO/(Rr + rn) de donde

ro -= r,,(R> + r,)/B,, R, + r,
R
1 + [P,,r,,lW, + r,)l ro + CR, + r,VP,> Po

para r,> (R5 + r J/p,. Para p, 1, R,, es la entrada dada para la resistencia de salida en la Tabla 10-3~~.

Ejemplo 12-2

Determinar A,, T y R, para la etapa en fuente comun con reslstenaa de fuente de la Fig. 12-220.

El circudo de entrada es anlogo al del seguidor de emisor y por tanto conectado en serie. Haciendo

Figura 12-22. (a) Amplificador en fuente comn con resistencia de fuente. Ch) Diagrama esquemtico. CL.) Circuito equivalente
del amplificador sin realimentacin.
Amplificadores realimentados 523

V, = 0 no se elimina la realimentacin porque I, y en consecuencia V,no se anulan. Cuando I, = 0, V, = 0


y la salida tambin est conectada en serie (el amplificador es del tipo serie-serie).
Para trazar el circuito de entradadel amplificador sin realimentacin se abre el circuito de salida ($ = 0).
La resistencia de realimentacin Rs aparece en serie con Vr como se ve en la Fig. 12-22. Para el cxcuito
de salidaharemos li = 0. Nuevamente aparece Rr en el lazo de salida como indica la Fig. 12-226. El circuito
equivalente de esta figura est representado en la Fig. 12.22~.

En esta ltima figura V,, = -/,,R, y V, = I,,R,; por tanto, p = V, /V) = R\ R,. Puesto que no hay corriente
en el lazo de puerta, Vgs= VS.Aplicando la ley de Kirchhoff al lazo de drenaje tendremos

/,. = ~\,iir,~ + K,, + RI). SiendoV, I,,RrJ. re tiene:

V PR,,
A,,, = 2v _
r,, T R,, + f?,

Siendo la relacin de retorno

Combinando estas ecuaciones y simplificando quebrados:

A,,, ILR,J
Al 1+7 r,, + u,, + (1 t pm,>

que es el mismo resultado dado por la Ec. (10.70).


Observando la Fig. 12-22~ se observa que la resistencia de salida R, con la fuente gobernada
\uprimida, es R< + rd. Las rclaciones de retorno TU y Th,,se obtienen haciendo Ro = m y Rn = 0
rcspectivamcnte. AG Tm = TIxI> + m = 0 y 7;~ = Ti.,, = 0 w Ri, (rd + R,). La resistencia de salida
con realimentacton , R,, = R,,, (1 + r,,) = (R, + r,) [ 1 + pR,J(r li + R,J]. Resolviendo las fracciones y
\implificandc trminos, ie tiene R,,, =r#!+ R,(i+~)=/-,(I+~R,)si~I,yel~esultadoes
idntico al dado por la Ec. (10.74) y la Tabla 10-5. El anl~sis aproximado empleado en
este ejemplo y los valores reales son idnticos porque en esta etapa no hay ningn camino para una
alimentacin directa. Como la puerta est en circuito abierto abajas frecuencias, el hacer /I = 0 hace que
1, y V, sean tambin cero. Por el contrario, en el Ejemplo 12-l poner p del transistor a cero no hace que V,,
= 0 debido a que existe un itinerario formado por V,, Rb, rn y R,,.

12-8. ANLISIS GENERAL DE AMPLIFICADORES REALIMENTADOS

En el Ejemplo 12-l se ha visto que el anlisis aproximado da unos resultados que difieren de los valores
reales debido a que se ha supuesto que la red de realimentacin tiene un solo sentido (de la salida a la
entrada). Antes de dedicamos a los amplificadores realimentados multi-etapa desarrollaremos un proce-
dimiento de anlisis que tiene en cuenta la alimentacin directa en la red p. El anlisis se basa en el
diagrama de bloques de la Fig. 12-5. Sin embargo no se ha hecho ninguna aproximacin conveniente al
bloque amplificador o a la red de realimentacin.
En un amplificador realimentado de un solo lazo existen dos fuentes: la de seal X, y la gobernada (el
524 Microelectrnica moderna

amplificador bsico) cuya variable de gobierno es X. Inicialmente trataremos la fuente gobernada como
fuente independiente, es decir, suponiendo que? es una variable independiente. Esta tcnica es la misma
empleada al plantear una serie de ecuaciones nodales o de malla. La fuente gobernada se trata inicialmente
como independiente escribiendo una ecuacin que relacione la variable de control con las variables nodales
(o de malla). Yaque se ha supuesto que el amplificadorrealimentado se comporta linealmente, es aplicable
la superposicin. Por tanto, toda tensin o corriente del sistema tiene dos componentes, una atribuida a
Xx y la segunda 2,. La salida X, puede expresarse

x = fl,XS + f12.x (12-22)


siendo t,,XS y t,& las componentes de salida debidas a Xx y 2I respectivamente.
La seal comparada Xi se puede escribir tambin en la forma de la Ec. (12.22) es decir, X, =AX, +
Bk. Por sustitucin de los valores de !k obtenidos de la Ec. (12-22),X, se puede expresar

x = fd, + f,,X,, (12.23)

Basndose en las Ecs. (12-22) y (12-23) se puede construir el grfico de recorrido de la seal de la Fig.
12-23. Obsrvese que en la Fig. 12-23 no hay realimentacin ya que ambas fuentes se consideran variables
independientes. La ecuacin reducida es simplemente .ki = X Esta relacin introduce la transmitan&
unidad entre X! y $, representada con trazo discontinuo en la kg. 12.23 que equivale a cerrar el lazo. El
grfico de la Flg. 12-23, aplicable a todo amplificador realimentado de un solo lazo independientemente
de su topologa, es simplemente una consecuencia del principio de superposicin.
Basndose en las ECS.(12-22) y (12-23) los varios parmetros t quedan definidos como

Obsrvese que los parmetros f se defmen en forma anloga a las defmiciones de los varios parmetros
de dos entradas.
En la Ec. (12-24) hacer*$ = 0 equivale a suprimir la fuente gobernada, es decir, hacer que la ganancia
del amplificador bsico sea cero.

Sin embargo, con .% = 0 la seal de salida XO# 0 [Ec. (12.22)] es tl , XS. Para la transm~sln desde la
entrada a la salida a travs de la red de realimentacin, la rama que cuenta es la t Suprimiendo la fuente
gobernada (representada por la rama t,J el sistema resulta ser pasivo, o sea es e?sistema muerto. 0 sea,
poner r,2 = 0 tiene los mismos efectos que hacer !& = 0.
La rama de transmitancia $, representa la componente de Xz engendrada por la fuente de seal Xr y
refleja el hecho de que las fuentes prcticas y las entradas del amplificador tienen impedancias finitas no
nulas. La transmisin desde la salida a la entrada a travs de la red de realimentacin est representada
por la rama tz2

Ganancia (relacin de transferencia) con realimentacin


Llamaremos ganancia con lazo cerrado a la relacin X,/X En la Fig. 12.23 la rama t,, est en paralelo
con el itineraiio que contiene $,, f,, y la rama de reaIiment&n rzz Por tanto
Amplifcadores realimentados 525

Figura 12.23. Grfica del recatido dc la serial con la entrada del amplificador(X), es una vtiable independiente desconectada
de la ~abta de ia red comparadora X,. Puesto que ahora S, X,, y X, dependen de X, y puede deteminarse X, par supcrpasicibn.

Como ya se observ anteriormente, t,, es el nico trmino de la Ec. (12-25) que depende de la ganancia
del bloque del amplificador bsico. Si esta ganancia se reduce a cero, A, se convierte en
A,=& = A,> = f,, (12.26)
x < /,? (1
A, de esta ltima ecuacin es la ganancia del sistema muerto. Obsrvese que A, es Igual a t,,, el
parmetro relacionado con el paso de alimentacin directa a travs de la red de realimentacin. Larelacin
de retorno Tindica la transmisin alrededor del lazo de realimentacin formado por el amplificador f,, y
la red de realimentacin f,,. Si se elimina la rama entre X, y 2, y se suprime la fuente de seal X, empleando
la Ec. (12-6) se tiene

Obsrvese que eliminando la rama entre X, y2, ya no hay sistema de realimentacin, y tal como se ve
en la Fig. 12.23, Tes simplemente la cascada del amplificador bsico y la red de realimentacin.
Cuando la transmitaocia f2 entre la salida del amplificador y el circuito sumador es cero queda
eliminado el paso de la realimentacin. La relacin de transferencia del amplificador no realimentado
resultante, ganancia A,, en circuito abierto es, segn la Ec. (12.25)

A
X,, = t,, + 1,212, = A,, + f,? 12, (12.28)
(IL = z I> 0
Como estamos interesados en construir un amplificador, A,, es generalmente mucho mayor, y A,
menor que la unidad, ya que esta ltima es la relacin de transferencia de una red pasiva (resistiva). As.
4, = f,J 2,
Empleando los trminos definidos en la Ec. (12-26) junto con (12-28) podemos expresarA, como

(12.29)

Una forma alternativa de expresarAi, es

(12.31)
526 Microelectrnica moderna

El parmetro K depende slo de los elementos pasivos que comprenden f,, y t,,

Si 1 A,I I KTI, entonces A,, = KT y

KT
A, =- (12.32)
l+T

Para T 1, A,=K = - l/p e indica que la ganancia en lazo cerrado es esencialmente independiente de
la ganancia del amplificador bsico, dependiendo ~610 de la relacin de componentes pasivos. Esta es la
situacin que ya encontramos en las etapas del Amp-Op de la Sec. lo-21 en la que la ganancia era
proporcional ala relacin de resistencias R,/R,.
Obsrvese que las Ecs. (12-32) y (12.9) son idnticas porque en la (12-32) hemos omitido la
transmisin directa A,
Pcdemos dar un significado adicional aK = - l/p [Ec. (12-7)] examinando la Ek. (12.22). Si la ganancia
del amplificador bsico es infinita (f,2 y por tanto A,, y T se hacen infinitos) y X, permanecefinito, la
entrada al amplificdor serX, = !& = 0. En la Ec. ( 12-22), hacer que 7, = 0 indica que X,,/Xr = ( r,,lt,,> = K
que es la ganancia del amplificador realimentado cuando T tiende a mnfinito. Hacer Xc,= 0 equivale a decir
que la setial de realimentacin &Xo y la componente de la seal de entrada t,,Xx son Iguales en magnitud
y opuestas en fase. Esta situacin ya la encontramos en la Sec. lo-21 al comentar la etapa de Amp-Op
inversor. All se demostr que cuando Av tiende a infmito, VI tiende acero, y la corriente producida por la
fuente de seal Vs/R, se equilibraba con la seal de realimentacin V,/R,

Procesode anlisis
Para un determinado circuito se determinan los parmetros f suponiendo en primer lugar que la fuente
obemada del dispositivo modelo es una fuente independiente; o sea que debemos primero identificar
w.I y luego aplicar las Ecs. (12-24). Veremos este proceso en los dos ejemplos siguientes. Hemos elegido
deliberadamente dos circuitos ya analizados antes, de forma que nos podamos fijar en las tcnicas usadas
para obtener los parmetros t y comparar los resultados con los hallados antes analticamente.

Ejemplo 123

(a) Calcular los parmetros f del seguidor de emisor de la Fig. 12-24a. (b) Valerse de los resultados
as hallados para determinarA,, T, A, y K.

Solucin

(a) En la Fig. 12-24 tenemos el circuito equivalente del seguidor de emisor. Los parmetros XS = VS,
Xz= V, ,X0 = Vo y.Q,= 0,estn identificados en el circuito equivalente. Obstkvese que 0, est relacionado
con la 8, ente gobernada haciendo que gm Vn funcione como fuente de corriente independiente.

Pamdetenninart,,mediantelaEc.(l2-17)sesuprime .ki= 0, y la Fig. K-24 quedareducida al circuito


de la Fig. 12.25~. Empleando en esta ltima figura la relacin del divisor de tensin tendremos:
V RI.
fil = v
< .i,l R, + r, t RE
Amplificadores realimentados 521

Figura 12-24. Modelodepzqueaaenaldel seguidordeemisorempleadoenel Ejemplo 12~3.Nom: Aefectosdel;mlis~ la fuente


gobernada se identifica CODO,qm k, distinguindose de Vx a travs de rx.

Haciendo Vo = 0 como en la Fig. 12-2563


V, r,
fl = jy
< 1.= 0 R, f y,

En el circuito de la Fig. 12.25c, VT= 0 y R, est en paralelo con (R, + r.). As

^ V,~.= Kr R,RL+CR,
f12 v,>
v,
+ r,)
Y, + R,

Para calcular f,, se emplea el circuito de la Fig. 12-25d. Recordemos que tz2representa la transmisin
desde la salida al circuito de comparacin a travs de la red de realimentacin. En consecuencia se trata
Vocomo la variable independiente ya que lo que nos interesa es saber qu fraccin de Vo contribuye en V,,
mis que saber cmo se halla Vo (los parmetros t,, y t,, lo indican). En la Fig. 12-254 el empleo de las
tcnicas de divisor de tensin nos da
528 Microelectrnica moderna

(b) de las ECS.(12.26), (12-27), (12-31) y (12-25) respectivamente:

A,> = f,, = RL
R, t I, t Rp.
~,,s RI CR, + r,) ~
T= -t,,f22 = -
R I +I n tR-%LL 37
recordando que R,,,,, = /3,
l = P.JT
R, f I-, + RF

K = _ 5 = _ J.J(R, i ,,J = l
fl2 -r,l(R, + r,)

A, = $ = : 1 ; = lR,I(R, + I, + Rt.11+ (1) IB., R,I(R. + , + Rdl


1 + IPJGKR, + 1-m+ R,)l
y simplificando
(B., + 1)R,
AF R, + r, t (/3,, + 1) R1

que es el valor dado en la Tabla 10-3.


Alternativamente, se calcula T directamente mediante el circuito de la Fig. 12.17~. Mediante las
tcnicas de divisor de corriente se obtiene

y Vn = Ib?-%.Combinando estas relaciones y empleando la Ec. (12.27) tendremos

T = u- PA,.
v, Y, ,/ Rt + R, + r,

como antes.
Obsrvese que slo f,, depende del parmetro gm (o Po> de la fuente gobernada. Todos los dems
parmetros f dependen de los elementos resistivos del circuto.

Ejemplo 12-4

(a) Determinar la ganancia de tensin Vo /VS de la etapa de Amp-Op no inversor de la Fig. 12.26a
calculando antes A,, A,,., T y K.

El circuito equivalente de la etapa (suponiendo que R, tiende a infinito y R, = 0) para el Amp-Op est
representado en la Fig. 12-26b. En esta misma figura se identifica X5 = V,, X0 = Vo y X, = VF La fuente
gobernada se hace independiente considerando que sus valores Av 0,sean como se muestra.
Amplificadores realimentados 529

i
(4 (b)
Figura 12-26. <aI Etapa no inversora de Amp-Op: (h) Circuito equivalente para el ejemplo 12-4.

En la Fig. 12-266, Vo = Av 0,. Haciendo 0,= 0 se hace Vo = 0 y por tantof,, = A, = 0. Adems, la


supresin de VTnos da

Con VS= 0 la relacin del divisor de tensin da

R,
V, = -At?,
R, + R2
Y de la Ec. (12.27)

T= -!$ AS,
v, i,=,, R, f Rz

T RI
fl* = -G =
RI + R,

Cuando Vo = 0 no hay cada de tensin a travs de R,, y V, = V, y as Ll, = 1

DelaEc.(12-28) A,, =A,+ f,,t,, =O+(-A,)(- l)=A,

K=-!?=_ -1 R, f RZ
=1+$
tz1 R,I(R, + R,) R,
La gananciaA, a lazo cerrado es, segn la Ec. (12-29)

A,. = A,, A
= &(R, + Rd
11-T 1 + A,R,I(R, + RI) R, (1 + A,) + R,
que es el resultado obtenido en la Ec. (IO- 110) con R, = 0. Nuevamente vemos que con valores grandes
de Av, A, =K = 1 + RJR,.
Veu~ob que en cada uno de los ejemplos, con valores grandes de T, A, se hace independiente del
elemento amolificador (8,>.A,, etc.). En consecuenw A, = K y depenk slo de los elementos resistivos
extenores al disposmvo amplificador, y el comportamiento del amplificador realimentado se aproxima
mucho al del amplificador ideal visto en la Sec. 12-1. Anlogamente en la Sec. 12-3 ya se indic que se
requieren valores grandes de T para mejorar el funcionamiento, es decir, reducir la distorsin, aumentar
la estabilidad, etc. As al disear vnplificadores realimentados se eligen los valores de los elementos
530 Microelectrnica moderna

externos para conseguir la ganancia total deseada A, mientras que la ganancia del amplificador interno se
elige para alcanzar la relacin de retorno pretendida.
En los anlisis de estos dos ltimos ejemplos se ha supuesto que los dispositivos amplificadores
operaban a la frecuencia de la banda media, pero este mtodo de anlisis es aplicable a todas las frecuencias
siempre que se utilicen los modelos apropiados. Aqu, los parmetros f son funcin de la frecuencia y de
ellos se deducen los valores de A,(s), T(s), A,,(s), A,(s) y K(s).

12-9. MS SOBRE LA IMPEDANCIA EN AMPLIFICADORES


REALIMENTADOS
En la Seccin 12-5 se estudiaron los efectos de la realimentacin sobre las resistencias de entrada y de
salida, y se introdujo la frmula de la impedancia de Blackman [Ec. (12.21)]. Ahora emplearemos el
anaisis general de la Seccin anterior para deducir tal ecuacin.
Supongamos que queremos determinar la impedancia de entrada de un amplificador realimentado.
Podemos aplicar una tensin V, y medir la corriente Z~,como en la Fig. 12-27~. Entonces la impedancia de
entrada es Z,F =VJZx.0 bien podemos aplicar una comente f y medir la tensin VScomo en la Fig. 12-27b
con lo queZ,p = VJls. Ambos procediiientos deben dar el mismo resultado ya que la impedancia de entrada
es independiente del mtodo empleado para medirla. Calculemos ahora Z,p para cada uno de los circuitos
de la Fig. 12-27.
El circuito de la Fig. 12-27a es un amplificador realimentado, y haciendo X3 = VS,X0 = I, podremos
escribii un par de ecuaciones anlogas alas (12-22) y (12-23) o sea

1% = f!,V, + fl?X,
(12-33)
x, = f2,V, + IJ,

Obsrvese que la Ec. (12-33) es independiente del tipo de fuente gobernada empleada y de que la seal
Xj sea una tensin o una corriente. La funcin de transferencia de este sistema, de la forma dada en la EC.
(12-25) es
1
1=-= 1 Ill + 1,2f?l (12.34)
V, Z,F 1 - 1,? 121
0 alternativamente

Eigura 12-27. Dos posiblescircu~roipara


aplicaruna corrientey medir la tensin.
Amplijkadores realimentados 531

En la Ec(l2-34) podemos identificar - r12fzl como relacin de retorno. Recurdese que T se ha medido
con la fuente suprimida (X, = 0). As la relacin de retorno se mide con los terminales de entrada
cortocircuitados (V, = 0) y es T,,.
El trmino f,, de la Ec. (12-34) es I/Vs cuando la ganancia del amplificador interno se anula (2, = 0).
Por tanto IIr,, es la impedancia de entrada del sistema muerto que se representa por Z,, y
I + 7,,
z -7 (12.36)
- 1 t f,z r:,lr,,
Calculemos ahora Z,F para el circuito de la Fig. 12.276. En este amplificador realimentado X, = 1 y X0
= V, de forma que
v, = r;, 1, + r;,x, x, = r;,/, + fi&b 112.77)

La funcin de transferencia del sistema descrito por la Ec. (12-37) es

Haciendo .k, = 0 se reduce el circuito al sistema muerto: por tanto t, I = Z,D. La relacin de retorno se
Calcula con 1, = 0, es decir, en circuito abierto de forma que T,,r = - f,Jf21 y
I + 1;: tyt;,
Z II> = z,,, (12-39)
1 + Ta,
Igualando las Ecs. (12-22) y (12-23), obtendremos

z,,, = z,,, I1 ++ T,,


Tsc (12.40)

que es la frmula de la impedancia de Blackman.

Ejemplo 12-S

(a) Determinar la resistencia de entradaR,P de una etapa de Amp-Op inversora. Inclyase en el modelo
la resistencia R, de entrada del Amp-Op. (b) Calcular R,F cuando R, + -

(a) El circuito equivalente de la etapa corresponde al de la Fig 12-28. La resistencia de entrada R,D del
sistema muerto determinada haciendo Vi= 0 es

R,D = RI + R,ll&
532 Microelectrnica moderna

Con los terminales de entrada abiertos no existe corriente alguna en R, y en consecuencia


-V, RA
Toc = 7 = R, f RI
Las resistencias R, y Ri estn en paralelo cuando se cortocircuitan los terminales de entrada. Por tanto

Obsrvese que en este amplificador ni T,, ni T,, valen cero. Substituyendo estos valores en la Ec.
( 1Z-40) tendremos

I + [(R,IIR) AKR,llR,) + RJ = R + RA
R,,. = [RI + R,hl R, (1 + A,) + Rz
1 + [R, A,I(R, + Rdl

En la Fig. 12-28 resulta evidente que R,p= RI + R, ; por tanto

RA R, W,i(l + AJI
R,r =
R, (1 + A,) + RZ = R, + [R,i(l + A,.)l
LaresistenciaR_puede reconocerse comoR, II R2/( 1 + A,), siendo la resistenciaRd( 1 + A,) exactamen-
te la reflejada entre los terminales X-X empleando el teorema de Miller.
(b) De la parte anterior, tendiendo R, a infinito:

R,,c = R, + ~
R2 = R, + R,
1 + A,

Obsrvese que con valores grandes de Av (tendiendo a inftito) laresistencia de entrada es simplemente
R, ya que R tiende acero. De todas formas este es el resultado esperado pues cuando Ay + - el terminal
inversor es %tw&nente tierra (Sec. 10-21).

12-10. TRIPLE REALIMENTACIN EN PARALELO

Cada uno de los amplificadores realimentados analizados en las tres secciones precedentes contiene
solamente un elemento activo. En general los amplificadores prcticos tienen dos o ms etapas de forma
que se puedan conseguir simultneamente grandes ganancias con lazo cerrado y grandes relaciones de
retorno. En esta Seccin y en las tres siguientes introduciremos cuatro amplificadores realimentados
multietapa comnmente empleados. Cada amplificador con transistores reales se aproxima a una de las
topologas de realimentacin de un solo lazo. Por ejemplo, las etapas de transistor llevan de por s una
realimentacin suplida por C, (o C,,) y por tanto a altas frecuencias no son propiamente amplificadores
de un solo lazo. Adems cuando se utilizan etapas en emisor (o fuente) comn que contengan R, (o R,),
a manera de etapa del amplificador bsico, incluso a bajas frecuencias hay realimentacin. El tipo de
realimentacin descrito en las frases anteriores se denomina realimentacin local ya que el lazo de
realimentacin rodea una sola etapa. En circuitos multietapa nos encontramos con una realimentacin
global, es decir la realimentacin total alrededor de un cierto nmero de etapas en cascada,
El amplificador de tres etapas de la Fig. 12-29 tiene la estructura paralelo-paralelo de la Fig. 12-17 y
se denomina corrientemente como triple en paralelo. El amplificador interno de tres etapas remarcado en
la Fig. 12.29 puede modelarse segn un amplificador equivalente simple como el de la Fig. 12-30. La
Amplificadores realimentados 533

Red de realimentacv5n

Figura 12-29. Amplificador realimentado bipolar, triple-paralelo.

fuente gobemadaZn/, y la resistencia ro en serie son el equivalente de Thvenin dei bloque amplificador
de la Fig. 12-29. La resistencia r: es la enuada al amplificador de tres etapas en cascada
534 Microelectrnica modernu

siendo Avzy A, las ganancias de tensin de la segunda y tercera etapas respectivamente.


En la Fig. 12-3 1 vemos el amplificador sin realimentacin, en el que se ha eliminado la realimentacin
suplida por R, Obsrvese que estn incluidos los efectos de carga de RF sobre los circuitos de entrado y
de salida.
En la configuracin paralelo-paralelo la red de realimentacin transmite una corriente I a la entrada,
que es proporcional a la tensin de salida Vo. As, cuando Vo = 0 no se reenva ninguna coAente hacia la
entrada y Rp queda conectada desde la entrada a tierra como en la Fig. 12-31. Anlogamente cuando se
suprime I, la eliminacin de la realimentacin hace que Vi= 0 ya que no hay corriente en r,. En
consecuencia, la conexin de R, entre la salida y tierra seala los efectos de carga en la salida de RF.
En el circuito de la Fig. 12-3 1, el clculo de A,, = VJZ I (Prob. 12-26) da
-Z,R: R;
A (12-43)
OL = R: Rt f r,
siendo
R: = R,.IIR, Y R; = RFIIR,
El valor de K= 1/p se puede hallar directamente dejando en cero la seal de compamcln y
despejando X,,/X,. En el circuito de la Fig. 12-30,I; = 0 supone que V, = 0; la ley de Kirchhoff aplicada al
nudo de entrada nos da VdR, = -Z, y K = R, o bien b = l/R,
Cuando A, se supone despreciable, la relacin de retorno es

Por tanto, se emplea la Ec. (12-44) para calcular Ta partir del valor aproximado de A,,. Para el triple
paralelo la sustitucin de IaEc. (12-43) en la (1244) lleva a

T=
5, RL- 5 R R,
(12-45)
RF + R, R; + r, R: + i-, RF + R,

Las impedanciasde entrada y de salida


En un amplificador realimentado en paralelo Zs, = 0 como se ha visto en las Sec. 12-5 y 12-6. Tanto
la impedancia de entrada Z,fi como la salidaz,, quedan reducidas por sus respectivos valores de 1 + To,
Para Z,, el valor de T,, se deduce del valor de T haciendo en la Ec. (12-45) R, + m. Anlogamente,
haciendo R,, 3 - hallaremos el valor de T,, necesario para calcular Z,,. Aplicando la frmula de la
impedancia de Blackman, tendremos:
r<11
RF
W = I + T / R.-z (12-46)

ro 11RF
Z (12-47)
= I + T / R,-r

obtenindose Z,D y Z,, de la Fig. 12- 31.


Amplificadores realimentados 535

Ejemplo 12-6

El hiple en paralelo de la Fig. 12-29 est diseado para ser alimentado por una fuente de 600 n y
excitar una carga de 600 Q. Los valores de los transistores y componentes utilizados son los dados en la
Tabla 12-5. La resistencia de realimentacin R, es de 20 ka. (a) Determinar la ganancia a lazo abierto, la
relacin de retorno, y la ganancia a lazo cerrado, a las frecuencias de la media banda; (b) determinar las
impedancias de entrada y de salida.

Tabla 12-5 Valores de los parmetros del triple de la Fig. 12-29 Y Ejemplo 12.6.
Parmetro Etapo I Etapa 2 Etapa .?

Solucin

(a) Primero debemos caracterizar el amplificador bsico. De las Ecs. (12.41) obtendremos
r, = 0 + 25 = 25 ka r,, = 0.60 ka

Segn lo descrito en la Sec. lo-16


-Po&z -100 x 10
Av> = = - -25.0
Rr, + 1,~ 30 + 10

100 x 0.6
4,, = -PoJb = - -4.8
Ro + rn3 10 + 2.5
Sustituyendo estos valores en 1aEc. (12-42).

2, = 100 x 30 x (-25.0) (-4.8) = 3.60 x IOkfl

La relacin de retorno y la ganancia a lazo abierto se calculan mediante las Ecs. (U-44) y (12-43)
respectivamente.

En estas ecuaciones
R: = R, I/ R, = 0.6 l/ 20 = 0.582k0
Y
RI = Rf 11RL = 20 l/ 0.6 = OSSi k0

-3.6 x 10 x 0.582 0.582


4 OL = = -4040
0.582 + 25 0.582 + 0.6
536 Microelectrnica moderna

-4040
T= ~ = 202
-20

AOL -4040
-19.9kn= -2Oka = -RI
AF= 1 + T. 1 + 202 =

(b) Para determinar Z,F y Z,, emplearemos las Ecs. (12-46) y (12-47). Para Z,D obtenemos

Z,F = 25 1120 = 11.1 kR


La relacin de retorno en circuito abierto T,, se obtiene de T haciendo Ra + m. En esta condicin R,
+ R r y segn la Ec. (12-45)
3.6 x IO5 0.6 20
7 = = 3.94 x 10'
Oc 20 + 0.6 0.582 + 0.6 20 + 25

Por tanto
Il.1 ll.1
zm = 0.00282 ka = 2.82 n
1 + 3.94 x 10) = 3.94 x 10

La impedancia de salida del sistema muerto Z,, es

Zou = r,, ll R, = 0.60 1120 = 0.582 kfl


Cuando RL + =, R; + RI, y R,/(R, + RF-)+ I ; por tanto, de la Ec. (12.43),
1 OS82
Tooc = 3.6 x 10 (1) ___~ = 398
0.60 + 20 + 0.6 0.582 + 25

Y
0.582
zI>F = = 0.00146 kil = 1.46n
1 + 398

Los resultados obtenidos indican claramente que Z,F<~Rs y Z,, R,. As se han satisfecho las
condiciones dadas en la Tabla 12-1, y este amplificador realimentado se aproxima mucho al convertidor
corriente-tensin ideal (amplificador de transimpedancia).

Opciones de diseo
Las Ecs. (12.43), (12.45), (12-46) y (12-47) dan las caractersticas perrinentes del triple en panll&.
Describiremos cualitativamente algunas de las opciones de diseo a elegir. Nos referiremos al funciona-
miento en la banda media ya que la respuesta en frecuencia y la estabilidad del amplificador sern tratadas
en subsiguientes secciones.

Evidentemente, el amplificador debe tener una ganancia A, especificada, es decir, que se conoce la
gama de seales de salida correspondiente a la gama de seales de entrada. Tambin estn especificadas
las resistencias de fuente R7 y de caga R, que el amplificador debe excitar. Para que el amplificador se
Amplijkadores realimentados 531

aproxime a un funcionamiento ideal dentro de lmites especificados, los valores dados de R, y RL


determinan los de Z,F y Z,, respectivamente. Adems, las variaciones de ganancia (desestabilidad) y la
distorsin no lineal constituyen unas exigencias de diseo.

Con ~T~l,lagananciadelamplificadordelaFig.12-29esA,=K= -R,ydeestaformalaganancia


especificada determina la resistencia RF de la red de realimentacin. Cada una de las dems especifica-
ciones del diseo dadas en el prrafo anterior dependen del valor particular de la relacin de retorno T
(Secs. 12-3 a 12-5). El diseador del circuito debe seleccionar el mayor valor de T calculado para
cumplimenta cada una de las especificaciones independientemente. Es decir, se determina un valor de T
que satisfaga las exigencias respecto a la distorsin, otro valor de T (T& que satisfaga la especificacin
de la impedancia de entrada, y as sucesivamente, eligindose el mayor de todos los valores. Obsrvese
que un incremento de T reduce Z,p, Z,,, la cuanta de la distorsin no lineal y la estabilidad. As pues, al
elegir el mayor valor de T se satisfacen todas las dems exigencias del diseo. Como T depende de la
relacin de transferencia del amplificador interno Z, (y RF) el valor de Z, queda prescrito. As, los
requisitos bsicos del diseo se han traducido a especificaciones en las redes individuales del amplifi-
cador realimentado.
Debe tenerse en cuenta que el proceso de diseo, aun cuando es aplicable a todas las topologas, no es
tan sencillo como parece en el prrafo anterior. No se han considerado entre otras cosas la respuesta en
frecuencia y el funcionamiento en continua (estabilizacin de polarizacin). En general, el proceso de
diseo es interactivo: opciones que afectan a las caractetisticas de procesado de seales influyen sobre el
funcionamiento en continua, y viceversa.

c-Ic1 162
- 2 QZ
QI
&i
t 1, 4 fh Q,

zil

12-11. EL PAR PARALELO-SERIE

El amplificador de dos etapas de la Fig. 12-32 es unpurpuralrlo- serie. Es evidenrc que la comparacin
de corriente se realiza en la entrada. Ahora veremos que el muestreo de corriente tiene lugar en la salida
demostrando que la corriente de realimentacin I,es proporcional ala de salida 1,. Suponiendo que p 1,
la coniente de emisor en Q2 es Io. Los caminos a travs de las resistencias RE y R, forman un divisor de
corriente y por tanto Ii es proporcional a 1,. Adems, haciendo 1, = 0 se hace que 1, = 0.
El circuito de la Fig. 12-32 es slo aproximadamente el amplificador paralelo-serie de la Fig 12-19
debido a la realimentacin local de Q2 proporcionada por R,
Para determinar el valor aproximado de A,, = /, // / se emplea el amplificador sin realimentacin de la
Fig. 12-33. Como puede verse en esta figura estn incluidos los efectos de la carga de la red de
realimentacin obteoidos aplicando las reglas citadas en la Sec. 12-7. Observamos tambin que slo se
elimina el circuito de realimentacin global, pues la local debida a la resistencia de emisor en Q2 queda
incluida como parte de A,,. Admitiendo los siguientes supuestos, el anlisis del circuito de la Fig. 12-33
(Prob. 12.30) nos lleva a los resultados de la Ec. (12.48)
538 Microelectrnica moderna

T = P,& -RF
A <>L= * f= -L=-
(12.48)
RE RF B RE

en donae se supone que p, >> l,PR, >> que rn2, y que R,,, R, >> rn,, y R, BR, y que r,,

Resistenciasde entrada y de salida


La impedancia de entrada Z,F se reduce debido a la conexin en paralelo en la entrada. Razonando
como en la Seccin anterior se obtiene
r,1
(12-49)
zH = I + 7 / R.+L

suponiendo que R, Ti,.


Si ro,+ m la impedancia del sistema muerto ser tambin tifmita. Incluyendo ru2 en el modelo (Prob.
12-29) y suponiendo RP RE, ZoD ser

Obsrvese que R,, es la resistencia de fuente efectiva de esta etapa. La Ec. (12-50) indica que la
realimentacin local en serie suministrada por R aumenta la resistencia de salida.
En una conexin en serie T,,, = 0 y T,,. se calcula haciendo R,, = 0 en la expresin de 7. Ue acuerdo
con lar mismas aproximaciones empleadas en la deduccin de la Ec. (1 Z-48) tendremos T,,. = T, y

Cd/ ) (, + h-+
Z 0, = f,,z 1 + (12-51)
i R, + ,~ + R, /

Ejemplo 12-7

Se disea un amplificador paralelo-serie con los siguientes parmetros: R, = 10 kG RE = OSO kQ RF


z 10 &J, R,, = R, = 2,o kR, rn, = 0,5 kn, p,, = p, 2 = p, = 100, y fnz = OSO ka. Las resistencias de.
salidar,, y ro7son suficientemente grandes para poderlas despreciar. Determinar los valores aproximados
deA,,,TyA,
Solucin

Supondremos que R, R E, R, rx,, PR, R,, y que la corriente en Rr es despreciable. Por tanto
emplearemos las Ecs. (12-48) y tendremos
-100 x 2 K-g= - 400
A L = = -400 -20 T=--= 20
0.5 -20
Entonces, A, = 400/(1 + 20) = 19.0
El clculo de estas ecuaciones, pero sin tener en cuenta las suposiciones mencionadas, nos lleva a A,,
= 358, T= 17,1, K = (R,+R,)/R E= -21 y A, = -19,7.
Los valores aproximados basados en los supuestos citados estn razonablemente prximos a los ms
ajustados. Resaltamos el hecho de que al hacer tales suposiciones el diseador puede apreciar rpidamente
el comportamiento del circuito.

12-12. EL PAR SERIE-PARALELO


La Fig. 12-34~~representa una realizacin BJT del par serie-paralelo. La salida conectada en paralelo
es bien evidente. En la entrada, la seal de comparacin Vn, = V, es aproximadamente la diferencia entre
V, y la tensin a travs de RE.
El circuito equivalente del amplificador de dos etapas en cascada de la Fig. 12.34b, es el amplificador
de la Fig. 12-34~1con la red de realiientacin global eliminada de acuerdo con las normas dadas en la
Sec. 12-7. Una de las dos etapas es de emisor comn con resistencia del emisor y la otra de emisor comn
simplemente, por tanto el lazo de realimentacin local de la primera etapa queda incluido en el clculo de
A,,. Naturalmente A,, es el producto de las ganancias de tensin de cada etapa y que segn la Tabla 10-3
es
n +
540 Microelectrnica moderna

(12.52)

R; = Rt 11R, y R,, = Rn 11(R,. + R,)

El valor de p sedetermina siguiendoelprocesodadoen la Sec. 12-7. En 1aFig. 12-34b lamalladivisoria


de tensin da Vf= REVd(RE + RF) y

(12.53)

Suponiendo p, 1 y Bo,R, R, + rn, el valor aproximado de T ser

Impedancias de entrada y de salida


En el amplificador serie-paralelo es de esperar un aumento de la impedancia de entrada Z,p y una
disminucin de la de salida Zar. Suponiendo

La impedancia de entrada viene dada por

&F = Ir,, + RE (1 + Pd1 (1 + T I K,=o) (12.56)

Se deja para el lector (Frob. 12-34) la deduccin de las Ecs. (12-55) y (12-56). Obsrvese sin embargo
que Z,D (as como el valor exacto de Z,,) incluye los efectos del lazo de realimentacin local sobre la
impedancia de entrada de la primera etapa.

Ejemplo 12-8

El amplificador serie-paralelo de 1aFig. 12-34~1est diseado empleando transistores con los siguientes
parmetms:r~, = 5,0kR,P0, 5 125 (p.waQl); n2 = 2,50 k0, Po2= 125 (para Q2). Los elementos del circuito
empleados son: R,, - 9,0 kn, RC2= 3,0 !&, RE = 0,20 ld2 y Rr = 6,0 kn. El amplificador est excitado
por una fuente con una resistencia interna R, = 2,5 162. Determinar A,,, T y A,

Para calcular A,, emplearemos Iob resultados del anlisis aproximado [Ec. (12.52)]
Ampl@cadores realimentados 541

- 125 x 9.0 125 x 2.02


A - = 773
al. 2.5 + 5.0 + (125 + 1) 0.194 9.0 + 2.5
siendo R; = RL // RF = 0.20 /l 6.0 = 0.194 kCl y RL2 = RC 2 11(R, + R,.) =
3.0 11(6.0 + 0.20) = 2.02 kR.
La Ec. (12.53) nos da
0.2 I
Y 7 = -A<& = F = 24.9
@= 0.2 + 6.0 = 31
y basndonos en estos valores
773
A, = = 2Y.8
I + 24.9
Del examen de los resultados del Ejemplo 12-8 surgen dos observaciones: (1) La ganancia A,= 29,8
es tpica de lo que se puede lograr con una etapa nica en emisor comn (Sec. lo-lo), y (2) la estabilidad
proporcionada por T= 24,9 se puede conseguir tambin con una etapa en emisor comn empleando la
realimentacin local dada por RE (Prob. 12-27). Sin embargo, la etapa en emisor comn conteniendo RE
no puede reportar simultneamente la ganancia y la estabilidad corno vamos a demostrar. En el Prob.
12-l 1 vemos que T = PaR,/(R,+ rx+RE) para una etapa en emisor comn con una resistencia de emisor.
Empleando para la primera etapa de la Fig. 12-34~ los valores del Eiemulo 12-8 encontramos que T =
3,25 y 1A, 1 = P,>R,,i Ws + n, + (0, + 1)R J = 34,4. Evidentemente 1A, 1 es comparable con A,, pero lz
relacin de retorno de esta etapa es considerablemente menor que la obtenida para el par serie-paralelo.
Para tener lamisma estabilidad en ambos amplificadores debe aumentarse RE en la etapa en emisor comn.
Sin embargo esto hace decrecer l A, 1. En consecuencia no pueden cumplimentarse simultneamente
ambos requisitos de ganancia y estabilidad con una sola etapa con realimentacin local.
Existe una situacin similar cuando compara el par paralelo-serie del Ejemplo 12-8 con una etapa en
emisor comn con realimentacin local deco!ector a base (Prob. 12-28). De todo ello podemos deducir
que casi siempre la realimentacin global es ms eficaz que la local. As pues, para disear un circuito
es preferible la realimentacin global.

12-13. EL TRIPLE EN SERIE

En la figura 12.3% est representada la versin FET del amplificador seriesee de la Fig. 12-18
denominado corrientemente triple en serie. La corriente de salida es reenviada a travs deR, y contribuye
a la cada de tensin 1$a travs de R!. La comparacin de tensiones tiene lugar cn el lazo de entrada. La
corriente total en R, es /, + I,, lo que Indica que R, fomu parte de la red de realimentacin global y de la
red de realimentacin local de la primera etapa. De igual fomu R, y R, actan como una resistencia en la
fuente de la tercera etapa proveyendo as la realimentacin local de esta etapa.
El circuito equivalente del amplificador sin realimentacin corresponde al de la Fig. 12-356 e incluye
los efectos de carga de la red de realimentacin. Este amplificador de tres etapas en cascada consta de dos
etapas en fuente comn con realimentacin local (etapas 1 y 3) y de un amplificador en fuente comn
(ctapa2). SuponicndoR, R, de formaqueR, Il R, = R, y R, + R,=R, ,A,,,es el productodc las ganancias
de estas etapas, o sea de
Obsrvese que el ltimo trmino de la Ec. (12-57) es la relacin de transferencia tensin-corriente de
la tercera etapa.

Haciendoen IaFig. 12.35b, VEZ,= 0, resulta V, = Z,$ 5 Por tanto, p = V$, = -RR,y larelacinderetomo
puede ser aproximadamente

Impedancias de entrada y de salida


La resistencia de entrada de esta etapa es prcticamente infinita en la banda de frecuencias medias. La
resistencia puerta-fuente del MOSFET es del orden de IO* C2y este valor se multiplica por (1 + ?,,) <bido
ala realimentacin en serie. En consecuencia, en este circuito Zip se considera normalmente como cucuito
abierto. En etapas con transistores discretos la impedancia en entrada es simplemente la resistencia
equivalente de la red de polarizacin de puerta.
La impedancia de salidaZoD del sistemamuerto es la resistencia de salida de una etapa en fuente comn
con resistencia de fuente como se determina en la Tabla 10-5. Suponiendo R, R,, tendremos

Zar = [V,,+ (1 + dRF-1c1 + 7. l R1,1~


0) (12.61)
en donde T,, se obtiene de T haciendo R,,, = 0.

Ejemplo 12-9

En la Tabla 12-6 figuran los parmetros del FET y las resistencias de drenaje empleados en el hiple
en serie de la figura 12-35. Las resistencias de la realimentacin son R, = 10 ka y R, = OJO kn. Hallar
A,,, T y A, del sistema.

Tabla 12-6. Parmetros del FET y valur de los componentes del EJempto 12-Y y rigura 12-35

Parmetro

Solucin

Para calcth A,, y T emplearemos los resultados del anlisis aproximado de las ecuaciones (12.57) 4
(12-58) respectivamente. Observemos que el supuesto de que R, R, es razonable e introduce slo un
pequeo error. En la Ec. (12-57) se emplea el valor deR, siguiente
R,z = R,>? // r,,: = 50 // 100 = 33.3 kU
Luego
-150 x 50
A (- I .5 x 33.3)
i)L = 50 + 50 + (1 + 150) 0.5
130
x = 191.6mU
10 + 130 + (1 + 130) 10

Pueto que p = R, = -0.5 kR tendremos:

La ganancia A, con lazo cerrado es

A,=-= AOL 191.6


= 1.98 m7J
I + T I + 95.x
valor ciertamente muy prximo a -l/fJ ya que T B 1. Cuando se tiene en cuenta la carga de R, en R,, es
decir, se calculan R, 11R, y R, + R,, y se emplean en la Ec. (12.57), el valor de Aor es igual a 187,2 mu.

12-14. ANLISIS GENERAL DE AMPLIFICADORES REALIMENTADOS


MULTI-ETAPA
Los amplificadores realimentados multt-etapa se pueden analizar para incluir la tmnsmisin desde la
544 Microelectrnrca moaerna

entrada a la salida de la red de realimentacin. El mtodo empleado se basa en el anlisis de una sola etapa
visto en la Seccin 12-8. El proceso es el siguiente:
1. Dibujar el circuito equivalente del amplificador.
2. Identificar $. Es conveniente referirlo a una etapa en emisor (o fuente) comn ya que V. (o V,J
se miden respecto a tierra.
3. Aplicar las definiciones de las Ecs. (12-24) y calcular los parmetros t. Estos parmetros sirven
para determinarA,,, T y A,
El siguiente ejemplo muestra este proceder.

Ejemplo 1240

(a) Determinar los parmetros I para el amplificador serie-paralelo de la Fig 12-34~. (b) Empleando
IOS valores de los elementos del Ejemplo 12-8 calcular A,, A,,, T y A,.

Solucin

(a) El circuito equivalente viene dado en la Fig. 12-36 en la que 9, = 0 ni est referida a la ctapa en
emisor comn. Para calcular f,, se emplea la Fig. 12-370. Cuando visto desde los terminales X-X la
primera etapa es un seguidor de emisor, el teorema de Thvenin aplicado a esos terminales lleva al circuito
de la Fig. 12-376. De la Tabla 10-30,

En la Fig. 12-376 la relacin del divisor de tensin nos da


Cd)

Figura 12.31. Cira, :os equwalentes empleados para calcular los parmetms r del Ejemplo 12-10, (a) circuito para f,,, y (h)
equivalente de Thvenin. (c) circuito para t2 ,: (4 circuito para tlZ y T

Para calcular g, Vo = 0 y se.emplea el circuito de la Fig. 12-37~. La parte del circuito comprendida
dentro del recthgulo sombreado es una etapa en emisor comn con resistencia de emisorRE = RE II Rp.
Haciendo R,, = Rn2 I/ R,, la Tabla 10-3~ nos lleva a
V -P<>,R,.,
t21=<= R, + rn, + (Po, + 1) R;

Tanto tI2 como la relacin de retorno T se calculan a partir de la Fig. 12-37d. La carga efectiva en la
etapa en emisor comn es RU = Rcz II (RF + R,). Por tanto
Lacorrientel, = Vo/(R, +,R,J = g,Pr2~nJ(R, + Rd se reparteentreR, yROdel seguidor del emisor.
Haciendo uso de la relacin del divisor de corriente tendremos

Siendo I? = -(pu, + 1) 1, y VR, = 1, ,,, entonces Vn, = r,,IJ p,, + 1). Combinando las relaciones de
Vn,. l<>lf> Vo y Vx, y formando T = - Vn40x*, se tiene

Calculando tx2 = Tlt,, tendremos

(b) Aplicando los valores dados en el Ejemplo 12-8 se llega a:


2.5 + 5.0
R:, = 0.20 11;++,;f = 0.0459 k0, R, = = 0.0595 kil
1 + 125

R LI = 2.5 119.0 = 1.96 k& R,z = 3.0 116.0 = 2.0 ka

R; = 0.20 j/ 6.0 = 0.194 kfl

3.0
AB = f,, = = 0.332
0.0459 + 6.0 + 3.0

125 (1.96) 0.20 50 (2)


T = = 24.8
125 + I 0.20 + 0.0595 6.0 + 0.0459

Empleando R,>,~ = P..Zir,2 = 12512.5 = 50 mU


-125 x I.Yb
Am = f,, + 112 f>i = 0.332 + C-50) (2.0)
2,5 + 5.0 + 126 x o.,94 !
= 767
0 sea Am 767
A,=-= = 29.7
117 I + 24.8

Los valores hallados no difieren ms del 1% de los obtenidos en el ejemplo 12-8 utilizando el anlisis
aproximado.

12-15. AMPLIFICADORES REALIMENTADOS DE MLTIPLE LAZO


La disminucin de sensibilidad provocada por amplificadores realimentados de un lazo nico est
limitada por el valor de la relacin de retorno. Puesto que los dispositivos reales tienen una ganancia finita,
AmpliJicadores realimentados 541

la sensibilidad no puede anularse, es decir, la funcin de transferencia A, no puede hacerse completamente


independiente de la ganancia del amplificador bsico (sin realimentacin). Adems, las variaciones en los
valores de los componentes pasivos que comprende la red de alimentacin motivan tambin variaciones
en Ap. Obsrvese que estas variaciones de los elementos no estn afectados por la cuanta de la
realimentacin. Por ejemplo, en la etapa de Amp-Op no inversor de la Fig. 12-26, para A,, y por tanto
T 1, A, = 1 + RJ R, y la precisin con la que podemos especificarA, es directamente proporcional a la
precisin con la que se puede fabricar la relacin de resistencias.
Los amplificadores con lazo nico fallan cuando se produce un fallo en uno de los elementos
amplificadores. Si la ganancia del amplificador interno se anula, tambin tiende acero la ganancia con
realimentacin (que se convierte en A,). En muchas aplicaciones es importante una proteccin contra
fallos catastrficos, corno puede ser el caso de instrumentacin mdica (eqwpos de cardiologa), naves
espaciales tripuladas, comunicaciones por satlite y cables submarinos (cuya reparacin es costosa, lenta
y extremadamente difcil).

Para superar estas dificultades se emplean frecuentemente amplificadores realimentados de mltiples


lazos. Tal como se contempla en esta Seccin, un amplificadorrealimentado de mltiple lazo es un circuito
multi-etapa que contiene dos o ms lazos de realimentacin globales. Los siguientes comentarios son una
breve introduccin alas propiedades de varias clases de estos amplificadores.

Amplificador cun realimentacin positiva-negativa


El amplificador de tres etapas de la Fig. 12-38 contiene dos lazos de realimentactn. El mterior lo
forman A,, A, y f,, y da una realimentacin positiva ya que la seal reenviada y la seal aplicada (desde
A,) y X, estn en fase. La realimentacin introducida por f, abarcando las tres etapas es negativa ya que la seal
reenviada est desfasada 180 (ala frecuencia a media banda) respecto a la seal de entradaX,. Para mayor
comodidad supondremos que el efecto de todas las vas de realimentacin es despreciable.
Este amplificador est diseado para hacer que la seal de salida sea invariable respecto a los cambios
de ganancia A,. La relacin de transferencia puede expresarse
X AI lA~A,i(l AAJdJ
- = At = I + [A,A?Axf,/(l
x, AzAIfz

A,Ad,
( 12.60;
1 AzAIS~ + A ,A>Ad I

En la Ec. (12.60) vemos que si A?AJ, = 1 tendremos A, = I/f, independientemente de A,. Otro punto
de vista de este amplificador es considerar quefi es una realimentacin global respecto a un amplificador
que comprende A, en cascada con el amplificador realimentado de ganancia A, AJ(l-AI A3f2). Si AZ A,
548 Microelectrnica moderna

f? = 1 este amplificador con realimentacin interior tiene una ganancia infinita. En consecuencia, A,, y T
son ambas infinitas, y tendiendo Ta infinito, la sensibilidad es nula.
El circuitode IaFig. 12-39 es unaversibnBJTde este amplificador. El lazo interior, de realimentacin
positiva lo forma la conexin serie de R, y R, alrededor de QZ y Q3. La conexin de realimentacin en
paralelo de Q3 a Ql a travs de RF provee la realimentacin negativa total.
El primero de dos inconvenientes potenciales de este circuito es su sensibilidad respecto a los
componentes del lazo de la realimentacin positiva. Para un funcionamiento correcto AI A, f2 debe ser
igual a 1, y cualquier desviacin de este valor se traduce en una dependencia de AF y A,. El segundo
inconveniente es la estabilidad del amplificador; cualquier empleo de realimentacin positiva, potencial-
mente puede provocar oscilaciones.

Estructura McMillan
El amplificador de la Fig. 12-40, propuesto originalmente por McMil1a.n para la transmisin segura
por cable submarino, utiliza vas de realimentacin tanto hacia atrs como hacia adelante. En la configu-
racin de acoplamiento cruzado y canal en paralelo, los amplificadores A, y A2 son normalmente de lazo
nico. Esta topologa se emplea para proteger de un fallo en uno de los canales sin intemunpir la
transmisin de la seal desde la entrada ala salida. Vamos a demostrarlo seguidamente.

La funcin de transferencia de este amplificador (Prob. 12.44) se puede expresar


A, (1 - A,f,,l + A> (1 - Ai.f,J
(12.61)
1 - AIAISIZ~I,

Normalmente se disean ambos canales para que sean idnticos, con A, = A, = A y fis =f, =f En estas
condiciones
2A (1 Af) 2A (1 Af) ZA
A, (12.62)
I Aj (1 + Af) (1 A.f) 1 + Af

Si Af= 1 segn la FC. (12.62), A, = A. Supongamos que A, = 0, es decir, que ha habido un fillo
catastrfico en uno de los canales. La Ec. (12-61) indica queAF = AZ = A existiendo la misma transmisin
Amplifcadores realimentados 549

Figura 12-40. Amplificador McMillan de dos canales can realimentacin directa e inversa. Normalmente slo debe actuar uno de
las canales para proporcionar la salida deseada.

entre entrada y salida. Recurdese que AZes un amplificador de realimentacin negativa de forma que aun
con A, = 0 el funcionamiento del circuito muestra los beneficios de un amplificador de lazo nico.
La estmctara de la Fig. 12-40 tambin es insensible a cualquier cambio que ocurra en un canal. 0 sea,
si A vara de forma que A,fi+ 1 mientras que A2fi1 = 0, de la Ec. (12-61) se tiene

/, fi = Al( - &f) = A = A
I-A,f 2
no habiendo variacin alguna en A,. Anlogamente, sifiS cambia, de forma que Af&l, tendremos
A A (1 -Af,,l) = A
F- 1-Af,z

Figura 12-41. Amplificador McMillan de tres canales con realimentacin directa e inversa

Se llega a la conclusin de que este amplificador es invariable frente a los cambios en los elementos
tanto activos como pasivos. Nuevamente encontramos el inconveniente de que Afdebe ser exactamente
igual a la unidad, y cualquier desviacin de este valor (en ambos canales) hace cambiar A,,
La topologa de la Fig. 12-40 se puede ampliar a ms de dos lazos como se ve en el circuito de tres
canales de la Fig. 12-41. En este circuito se pueden producir dos fallos sin que se interrumpa el servicio.
En efecto, la multiplicidad procurada por los canales paralelos permite la transmisin de la seal mientras
siga funcionando uno de ellos.

Figura 12-42. Grfica de rccorndu de la iefial de un amplificador reahentado de lazo mltiple en smdon (scgudar)
Realimentacin seguidora
La Fig. 12-42 corresponde al grfico de circulacin de la seal de un amplificador de realimentacin
seguidora. En esta topologa, llamada tambin de nido, cada lazo de realimentacin parte del mismo
nudo (la salida) y termina en la entrada de uno de los amplificadores. Esta estructura se emplea
frecuentemente en filtros activos porque cada trmino de la caracterstica de transferencia puede estable-
cerse por un lazo de realimentacin. Esto queda demostrado por la Ec. (12-63) deducida de la Fig. 12-42
(Prob. 12-40).

Realimentacin salto de rana


La topologade este amplificador, representadaenlaFig. 12.43, se emplea tambinparatiltros activos.
Como se ve en esta figura, los lazos de realimentacin solapados hacen depender la seal de entrada de
cada amplificador de la seal de salida de cada amplificador. Esto se aprovecha en los filtros activos para
hacer que cada trmino de la funcin de transferencia [Ec. (12~64)] dependa de la ganancia de lazo de un
lazo de realimentacin (Prob. 12-41).
AfA:A,

Las topologas ltimamente expuestas pueden ampliarse para tener ms lazos de realimentacin de los
que se representan en las Figs. 12-42 y 12-43.

REFERENCIAS

1 Bode, H.W.: Network Analysis and Feedback Amplifier Design, D. Van Nostrand Company, Princeton,
NJ., 1945.

2 Blackman, R.B.: Effect of Feedback on Impedance, Bel/ Sysrem Terh. J.. val. 22, no 3, p. 2, 1943

3 Sedra, A.S.. y K.C. Smith: Microelectronic Circuits, Holt, Rinehart & Winston, Nueva York, 1981.

4 Gray, P.R., y R.F. Meyer: Analysis and Design of Analog Integrared Circuits, Holt, Rinehan & Winston,
Nueva York, 1985.

5 Blecher, F.H.: Design Principles for Single Loop Transistor Feedback Amplifiers, IRE Trans. Circuit Theory,
val. CT-4, no 5, septiembre 1957.
6 Ghausi, MS.: Electronic Devicer and Circuits: Discrete and Integrated, Holt, Rinehan & Winston, Nueva
York, 1985.
7 Schilling, D. y H. Belove: Electronic Circuits Discrete and Integrated, McGraw-Hill Book Company,
Nueva York. 1979.
8 Soclof, S.: Analog Integrated Circuits, Prentice- Hall, Englewood Cliffs, NJ., 1985.

9 Black, H.S.: Stabilized Feedback Amplifiers, Beil System Tech. J., vol. 14, pp. I-18, enero 1934.

TEMAS DE REPASO

12.1. (a) Dibujar el circuito equivalente de un amplificador de tensin


(b) Qu condiciones deben cumplirse para que este amplificador funcione idealmente?
12.2. Repetir el tema 12-l para un amplificador de coniente.
12.3. Repetir el tema 12-l para un convertidor tensin-coniente.
12-4. Repetir el tema 12-l para un convertidor corriente-tensin.
12-5. Cita cinco panes constituyentes de un amplificador realimentado de lazo nico.
12-6. Dibujar el diagrama de bloques de un amplificador realimentado y describir la funcin de cada bloque
12-7. Citar las cuatro topologas bsicas de amplificador realimentado de lazo nico.
12-s. (a) Dibujar el diagrama de bloques de un amplificador realimentado de lazo nico.
(b) DefmirA y p
(c) Qu relacin existe entre A, y A?
l 12.9. Identificar X X X/ y X, como corriente o tensin para cada una de las topologas de realimentacin.
12.10. Identificar A$ fpara cada una de las cuatro topologas.
12.11. Definir: (n) realimentacin negativa, y (b) realimentacin positiva.
12-12. Definir de palabra y mediante una ecuacin (a) la ganancia Aor. con lazo abierto y (b) la relacin de retorno
T.
12-13. ExpresarA, en funcin de Aor. y T.
12-14. (n) Definir la sensibilidad.
(b) $Xil es el valor de A, con grandes valores de T?
(c) iCul es el significado de la respuesta de (b)?
12-15. Citar cinco caractasticas de un amplificador, que quedan modificadas pcn una realimentacin negativa.
12-16. Enunciar los tres supuestosfundamentales empleados en el mtodo de anlisis aproximado.
12.17. Para tener el amplificador sin realimentacin, describir cmo se obtiene: (a) el circuito de entrada y (b) el
circuito de salida.
12.18. Indicar para cada una de las cuatro topologas si (a) la impedancia de entrada y (b) la impedancia de salida,
aumentan o disminuyen a consecuencia de la realimentacin.
12-19. (a) Establecer la f6rmula de la impedancia de Blackman.
(b) Definir los terminos T,, y Tm.
(c) iQu se entiende por sistema muerto?
12.20. (a) Dibujar la representacin de dos entradas de un amplificador paralelo-paralelo.
(b) En funcin de la frmula de impedancia de Blackman, explicar cul de las resistencias de entrada y de
salida aumentan o dtsmmuyen.
12-21. Repetir el tema 12.20 para un amplificador paralelo-serie.
12-22. Repetir el tema 12.20 para.la topologa serie-se&
12-23. Repetir el tema 12-20 para la topologa serie-paralelo.
12.24. (a) ExpresarXOy T, como superposicin de dos trminos.
(b) Definir los parametros t.
12-25. (a) IdentificarA,, T, K y A, en funcin de los parmetros f.
(b) iCul es el signtticado de cada trmino?
552 Microelectrnica moderna

12-26. Qu diferencia hay entre la realimentacin local y la global?


12.27. ),Qu cuatro circuitos comunes seemplean para aproximar los cuxro amplificadores realimentados bsicos
12-28. (a) Cules de los circuitos del tema 12-27 contienen lazos de realimentacin local?
(b) &ules no?
12-29. Citar cuatro tipos de amplificador realimentado multi-lazo.
12-30. Qu propiedades pueden mostrar las topologas de multi-lazo que no se puedan lograr en amplificadores
de lazo nico?
12-31. (n) Dibujar el grfico de circulacin de la seal de un amplificador McMillan de dos canales.
(b) LQu.4caractersticastiene este amplificador que no tengan las dems configuraciones comentadas?
mi
Estabilidad y respuesta
de los amplificadores
realimentados
-i_ J

Se requieren amplificadores con realimentacin negativa para funcionar dentro de una banda de
frecuencias especificada, o alternativamente para dar la respuesta deseada a una excitacin de funcin en
escaln. En este captulo examinaremos el efecto de la realimentacin sobre la respuesta del amplificador.
Desarrollaremos mtodos para comprobar si la respuesta en circuito cerrado es estable. Se incluyen
tambin las tcnicas de compensacin que se emplean para asegurarse de que el funcionamiento del
amplificador realimentado sea estable y genere la respuesta deseada.

13.1 EFECTOS DE LA REALIMENTACION SOBRE EL ANCHO DE


BANDA
Consideremos un amplificador realimentadoenel que la red de realimentacin, la impedancia de fuente
y la impedancia de la carga sean todas resistivas. En estas condiciones la ganancia en lazo abierto A,,,
(amplificador sin realimentacin) y la relacin de retorno T tienen los mismos polos. Consideremos en
principio que A,, (s) tiene un solo polo dominante de forma que
Ao To
Am(s) 7 1 + s,w Y T(s) = (13-l)
!l 1 + S/Wh
siendo Ac,y Tc,los valores en mitad de la banda de A,, y T respectivamente, y wh la frecuencia angular del
polo dominante. Valindonos de la Ec. (12-5) tendremos

A,.(s) =
Ao 1 + siwr)
-= A,i(l + Td AFO (13-2)
I + T<,/(l + siw,,) 1 + s/w,,(l + T<J = 1 + s/w,,
En la Ec. (13-2) se identifica la ganancia a media banda y lazo cerrado A,, = A,,i( 1 + Tc), y CO,,,
frecuencia angular de dB, como

WH = (1 + Towa (13-3)

Por la Ec. (13-3) resulta evidente que la realimentacin negativa ha incrementado el ancho de banda
multiplicndolo por el factor (1 + Tc,)que es el mismo factor por el que queda reducido A,,. As, para una
funcin de un solo polo dominante,

&,w = Ada ( 13-4)

lo que indica que el producto ganancia por ancho de banda del amplificador sm realimentacin es igual
al producto ganancia por ancho de banda con realimentacin. Esto lo hemos ya visto antes al tratar de las
etapas de Amp-Op en la Seccin 11-12.
554 Microelectrhica moderna

Funcin de dos polos


Supongamos ahora que A,,(s) y T(S) estn representados por una funcin con dos polos en el eje real
negativo, en s, = - 0, y s2 = - w*. 0 sea
LJ AO (13-5)
Aods) = 1 + s(l/w, + liw,) + svw,oz 1 + a,s + a$
TO TO (13-6)
T(s) =
1 + s [(lila,) + (llU)] + s*/w,wz = 1 + 0,s + u2sz
Recordemos que si o, y w,esti muy separados, entonces o, = l/a, y o2 = a, a,. Sustituyendo las Ecs.
(13-5) y (13-6) enla(12-5) tendremos
AFO AI,, (13-7)
A&) =
l + 01s 4 = 1 t a;s + ll;9
l+T,+ 1 + To
La aplicacin de la aproximacin del polo dominante a la Ec. (13.7) en general no es vlida
Observemos que la frecuencia del polo aproximada o, = l/ I = (1 + TJw, queda aumentada en (1 + Ta),
mientras que el segundo polo en o, = a,/a, permanece en o.$. Evidentemente, es posible seleccionar T
de forma que (1 +TJw, > W*. En esta situacin los polos en lazo cerrado son complejos (ver Prob. 1l-26) y
el ancho de banda 0~~se deduce de 1 A, (iw,,) 1 = 0,707 A,,. De cualquier forma, la reduccin en a = a /( 1
+ Ta) es indicativa de una mejora del ancho de banda. Por tanto, se llega a la conclusin de qul la
realimentacin negativa reduce la distorsin de frecuencia y de fase. La respuesta en un sistema de dos
polos ser tratada en detalle en la Sec. 13-5.
La Ec. (13-7) seala que los polos de A, son funciones de Tc,,la cuanta de realimentacin. En la Fig.
13-1 se representa el movimiento de los polos en lazo cerrado al ir creciendo To. Estos polos parten de
-0, y de -w*, los polos de T(s), y se desplazan cada uno hacia el otro a lo largo del eje real negativo al ir
aumentando T0 desde cero. Los polos coinciden en - (w, + w, )/2, por tanto, A, tiene dos polos iguales en
ese punto. Los polos pasan a ser complejos al crecer ms T,, con la pate real mantenindose en (0, +
@)/2. Vemos que los polos en lazo cerrado se mantienen siempre en el semi-plano izquierdo, lo que segn
se describe en la Sec. 13-2 indica un sistema estable.
,W

PlanoJ

Figura 13.l.lugar de las rafces


3::
de una funcin de transferencia de dos polos.

Funcin de tres polos


Cuando T(s) y Ao, son funciones de tres polos, como es generalmente el caso en amplificadores de
Estabilidad y respuesta de los amplijkadores realimentados 555

tres etapas, el problema de determinar el ancho de banda es an ms complejo. La ganancia con lazo
cerrado puede expresarse
A, <J
AF(.s) = s3
.2 I 1
1+ -+-f- +- -+ -+-
i 1 + 1;, i w,w-
W,WI W?WI + (1 + T,>~WSJI

A, <,
A,(s) = (13-9)
<l,S <1zs2 + 03.Y
I f-
I + T,, + 1 + Tc, 1 + Tc,

Las frecuencias angulares de los polos en circuito abierto son o1, w1 y w, estando todas ellas en el eje
real negativo como se ve en la Fig. 13.2. Como se evidencia en el lugar geomtrico de las races, el
aumento de T puede desplazar dos de los polos al semi- plano derecho. Estos polos en el semi-plano derecho
introducen tkinos con exponentes positivos en la respuesta transitoria, lo que hace inestable al
amplificador. Sin embargo, se observa en la Fig. 13-2 que cuando los polos se mantienen en el semi-plano
izquierdo de forma que el amplificador sea estable, la reduccin del coeficiente a, por (1 + T,) indica que
mejora el ancho de banda. En subsiguientes Secciones iremos describiendo con detalle el grado de mejora
y el precio que deber pagarse por ello

Si la red de realimentacin contiene elementos reactivos se introducirn polos y ceros adicionales a


T(s) y quizs a A,, (s) que debern incluirse al determinar la respuesta en frecuencia. Adems, los polos
y los ceros de la red de realimentacin pueden provocar la inestabilidad del amplificador. Puesto que la
cuestin de la estabilidad es de enorme importancia, vamos a tratarla en primer lugar, para volver luego
y examinar en detalle la respuesta de los sistemas realimentados multipolo.

13-2. ESTABILIDAD

En el Captulo 12 se ha visto ya con algn detalle la realiientacin negativa en la que la relacin de


-etomo es T > 0. Si T > 0 la realimentacin se denomina positiva o rqenrrativu. En estas circunstancias, la
556 Microelectrnica moderna

ganancia resultante 1 A, / puede ser mayor que la ganancia en lazo abierto IA,, ) (ganancia sin
realimentacin). Consideremos 1 < T < 0; con referencia a la Ec. (12-5) llegamos a la conclusin de
que IA,I< IA,,/.E n I os primeros tiempos de la electrnica, los dispositivos amplificadores disponibles
(triodos de vaco) eran incapaces de conseguir an moderadas ganancias. Se emplearon amplificadores
regenerativos, propuestos primeramente por Armstrong, para aumentar la ganancia efectiva de tales
dispositivos. No obstante, el desarrollo de nuevos dispositivos evitarn la necesidad de la realimentacin
positiva. Este hecho, asociado con la poca estabilidad es causa de que se emplee raramente la realimen-
tacin positiva.
Paraexplicarlainestabilidaden un amplificadorconrealimentacinpositivaconsideremos lasiguiente
situacin. No se aplica seal alguna, pero debido a alguna perturbacin transitoria aparece una seal X0
en los terminales de salida. Refirindonos a la Fig. 12-9, una parte de esta seal ts2X,(bXO) se reenva al
circuito de entrada y aparece en la salida como una seal incrementada f&Y(- APXO). Si este trmino se
iguala justamente aXO, la salida esprea se ha regenerado a s misma. En otras palabras, si - TX =X (es
decir, T = - 1) el amplificador oscilar. Por tanto, si se intenta tener una gran ganancia haciendo01 T 1Casi
igual a la unidad, existe la posibilidad de que el amplificador entre espontneamente en oscilacin, lo que
sucedera si debido a variaciones de la tensin de suministro, a envejecimiento de los transistores, etc.,
llegase - T a valer la unidad. Tiene poca razn pretender aumentar la amplificacin a expensas de la
estabilidad. De hecho, debido a todas las ventajas enumeradas en la Sec. 12.3, la realimentacin en los
amplificadores es casi siempre negativa. No obstante, se emplean combinaciones de positiva y negativa
(Sec. 12-15).
An los amplificadores diseados para tener realimentacin negativa en la mitad de la banda o sobre
una zona de frecuencias, pueden oscilar. Para que la seal de comparacin X, = t,,X ,+Q(, (Fig. 12-9) sea
una seal diferencial, &XO debe estar desfasado 180 respecto a t,,X$. En el Captulo ll se demostr que
el desplazamiento de fase se introduce en la respuesta para frecuencias fuera de la zona media de la banda.
Cuando en el lazo de realimentacin se introduce un nuevo desplazamiento de 180, la seal de
realimentacin f2?y0, quedar en fase con fz,Xxcon lo que resulta una realimentacin positiva,

Definicin de la estabilidad
Si se disea un amplificador para que tenga realimentacin negativa dentro de una determinada zona
de frecuencias, pero entra en oscilacin a alguna frecuencia mayor o menor, no resulta adecuado como
amplificador. Por tanto, al disear un amplificador realimentado hay que asegurarse de que el circuito
resulta estable a todas las frecuencias y no solamente a las de la zona interesada. En el sentido aplicado
aqu, un sistema es estable si una perturbacin transitoria de duracin finita da una respuesta que
desaparece. Un sistema es inestable si tal perturbacin transitoria produce una salida que persiste
indefinidamente o va aumentando hasta quedar limitada por alguna no linealidad del circuito.
Las ideas expuestas en el prrafo anterior dan una representacin fsica de lo que se entiende por
estabilidad. Matemticamente, la definicin de estabilidad sera: un sistema es arable si, y slo si, todas
las sefides de entrada limitadasproducen sefiales de salida limitadas. Una se&1 x(t) es limit& si 1x(t) I<
constante, para cualquier t. Por ejemplo, sen wf est limitado a la unidad. Anlogamente, si x(t) = 0 pua
t < 0 y El para f < 0, x(r) est tambin limitada por la unidad, mientras que E+* no lo est para t > 0.
Normalmente interesa slo la respuesta definida por f > 0 ya que es costumbre suponer que se aplica la
excitacin en el instante f = 0.
La cuestin de la estabilidad comprende el estudio de la funcin de transferencia del circuito ya que
sta determina el comportamiento transitorio de la red. Si existe un polo con parte real positiva, esto nos
dar una componente de la salida que aumenta exponenciaimente con el tiempo y por tanto no es limitada.
As, la consecuencia de la definicin de estabilidad para los sistemas lineales es que todos los polos de la
Estabilidad y respuesta de los amplificadores realimentados 551

funcin de transferencia deben estar en la mitad izquierda abierta del plano de frecuencias complejas (s-).
La voz abierta de la frase anterior se refiere a que el eje j queda excluido del semi-plano izquierdo.
Consideremos un sistema con funcin de transferencia l/s, es decir, que existe un polo en el origen
(que es un punto sobre el eje j). Si este sistema se excita con una funcin escaln, la respuesta del sistema
es la funcin en rampa f. La funcin en rampa no es limitada mientras que el escaln de entrada s que lo
es. Por tanto, de acuerdo con la defmicin, el sistema es inestable. As, los polos en la mitad izquierda del
plano s indican que las partes reales de los polos deben ser negativas. (Los osciladores senoidales que se
comentan en la Seccin 15-l constituyen unos ejemplos de sistemas diseados deliberadamente para que
tengan polos en el eje j.)

Estabilidad en amplificadores realimentados


La ganancia A,(s) en lazo cerrado de un amplificador realimentado dada en la Ec. (12-5) se repite.
mayor comodidad en la Ec. (13-10)
Ao, (s)
AF(s) = (13.10)
1 + T(s)
El mtodo para determinar A,, y Test descrito en la Sec. 12.7, y la respuesta en frecuencia de estas
cantidades puede calcularse empleando las tcnicas de la constante de tiempo de la Sec. 1 l-9. Emplearemos
esta tcnica en la Sec. 13.8. Como se ve en la Ec. (13.10) los polos de A, son los ceros de 1 + T(s) y
cualquier polo de A,,(s) que no sea comn con T(s). Si admitimos que el amplificador sin realimentacin
es estable, todos los polos de A,, estn en el semi-plano izquierdo. As el amplificudor realimentado es
estable cuando los ceros de 1 + T(s) estn todos en el semi-plano izquierdo.
Alternativamente, ningn cero de 1 + T = F puede estar en el semi-plano derecho si se pretende que
el amplificador sea estable. Veremos en la siguiente Seccin los mtodos de probar so estabilidad.

13-3. PRUEBAS DE ESTABILIDAD


En 193 1 Nyquist ide una construccin grfica, llamada luego Diagrama de Nyquist, para determinar
si un amplificador realimentado tiene algn polo en el semi-plano derecho. El diagrama es una repw
558 Microelectrnica mudemu

sentaci grfica en coordenadas de 7j(w) / tXjw). E s d ecir, para cada frecuencia angular - <
tu< + m se calcula 7(io) y 8 (jw) y cada uno de estor valores es la coordenada de un punto de la curva.
En la Fig. 13-3 se representan dos diagramas de Nyquist; en cada uno de ellos la lnea de trazo continuo
corresponde a w 2 0 y la de trazo discontinuo a w < 0. Slo hay que calcular los valores de T (jw) para
w>O. Siendo los coeficientes de T(s) reales como deben ser en un sistema fsico, r(- jw) = T(jw). Es
decir, T(-jw) es la conjugada de T(jw). Por tanto, las curvas de trazo discontinuo son la imagen especular
de las obtenidas para w 2 0.

Criterio de Nyquist
El criterio de Nyquist establece que el nmero de circunvalaciones en sentido dextrogiro alrededor del
punto - 1 + j0 es igual a la diferencia entre el nmero de ceros y el de polos de F(s) = 1 + T(S) en
el semi-plano derecho. Para tener estabilidad hay que asegurarse de que F(s) no tenga ningn cero en el
semi-plano derecho, es decir, que A,(s) no tenga polos en ese semi-plano. Puesto que F = 1 + T, los polos
de F son idnticos a los de T, y si el amplificador si realimentacin es estable, F(s) no tiene polos en el
citado san-plano derecho. Por tanto, en estas condiciones, el nmero de circunvalaciones alrededor de
-1 + j0 deber ser nulo para que el amplificador realimentado sea estable.
El nmero de circunvalaciones alrededor de 1 + j0 se determina trazando un radio vector y dibujando
el lugar geomtrico de los puntos para - < w < + - como re indica en la Fig. 13-3. En IaFig. 13-3~ se inicia
el proceso en el punto 1 (w = - -) procediendo sucesivamente a lo largo de los puntos numerados de
forma que al llegar al punto 10, w = + m. Si el radio vector gira 360 alrededor de 1 + j0 existe una
circunvalacin. En la Fig. 13.3b no existe tal circunvalacin, y para un sistema estable en lazo abierto, la
ganancia A,(s) en lazo cerrado no tiene polos en el semi-plano derecho y por tanto es estable.
Lo anterior no es vlido para el diagrama de la Fig. 13.3b en donde siguiendo el mismo proceso que
en la Fig. 13-3~ se tienen dos circunvalaciones alrededor de 1 + jo. Por tanto, 1 + T,(s) tiene dos ceros
en el semi-plano derecho por lo que A,(s) es inestable ya que contiene dos polos en ese san-plano.

Margen de fase
Los diagramas de Nyquist de la Fig. 13-3 estn reproducidos en la Fig. 13.4 para w 2 0, figurando
tambin el circulo unidad correspondiente a 7(jw) = l(0 dB). La frecuencia angular en la que el diagrama
de Nyquist y el crculo se cortan se denomina frecuunriu angular de cruce q, porque TCjw) es mayor
que 1 para 61 < wG y T(h) es menor que I para WXQ Comparando los dos diagramas se observa que en
w,,, LT, 180, o sea 117, 1 < 1X0 y el sistema es estable mientras que LT@,.) 1X0- ( / LT, / > 180)
corresponde a un sistema inestable. Por tanto ei conveniente introducir el mur~en dr fasegh, definido
como

Qu = iT(jw,) + IXU (13-I 1)

Obsrvese que en :eneral L TCjo,) es un nmero negativo. Una alternativa al criterio de Nyquist,
muy empleada en el diseno es: Un sistema en lazo cerrado es estable cuando el margen de fase es positivo
(0,, > 0). Por tanto, L TCjw,,) debe ser menos negativo que 180.

Margen de ganancia
En la Fig. 13-4, la frecuencia angular en la que el diagrama de Nyqulst cona al ele real negativo
Estabilidad y respuesta de los amplificadores realimentados 559

correspondiendo a LT = 1X0, se define como la frecuencia angular de cruce w~. Para w > wn, LT < -180
y LT -180 para w < wa. La magnitud de T(jwn) se emplea para definir el margen de ganancia GM como

GM = 20 log T(jw,) = - T( jw,) dB (13.12)

Imaeinario knagmrio
t t

MUge
de fase / -....
\
w=o
-Real

Margen de ganancia

(UI @)
Figural3.4,Partedel diagramadeNyqustdelaFlg. 13-3 parao 2 0, utiliradoparadefinirlosm~genes de fase0 y de ganancia
GM. En (a) 0M < 0 y GM > 0, y el sistemaes eatabkmientra~que enel sistemaines~blede(h),ranto 0,comaG bY SO negativos.

Figura 13-S. Diagramas asmtticos de Bode correspondientes a: CU)Fig. 13-40, Y Ch) 1 ?-Ah
560 Microelectrnica moderna

Observemos la Fig. 13-4 que en un sistema estable, 7 oto,) < 1, y puesto que el logaritmo de un
nmero inferior a la unidad es negativo, GM,>O. Para un sistema inestable T, (iw,,) > 1 y en consecuencia
CM, < 0. Podemos llegara la conclusin de que CM > 0 es una indicacin alternativa de estabilidad. Sio
embargo, para el diseo se emplea mucho menos que el margen de fase.

El diagrama de Bode
Frecuentemente es ms conveniente expresar la informacin contenida en el diagrama de Nyquist en
un diagrama de Bode ya que podemos alterar este diagrama si vata la situacin de un polo (o cero) o el
valor de T en la banda media. En particular el diagrama de Bode asinttico es extraordinariamente til
para los clculos manuales realizados por los diseadores de circuitos. Nuevamente se emplean simula-
ciones de clculo para conseguir la precisin requerida del valor de los componentes en el diseo final.
Los diagramas asintticos de Bode de la Fig. 13-S corresponden a los diagramas de Nyqttist de la Fig.
13-4. En la Fig. 13-5, T(iw) (en decibelios) est trazado con lnea negra y la curva de fase en tono menos
intenso. Tambin se indican las frecuencias aproximadas de cruce de ganancia y de fase, el margen de
fase y el cruce de ganancia. Obsrvese que el valor de 0 dB en la curva de magnitud y 180 en la
caracterstica de fase estn sobre la misma posicin vertical. Esto es conveniente al esbozar el diagrama
de Bode; en la mayor parte de sistemas. puesto que wc, < wII, la estabilidad es evidente.

Ejemplo 13-l

La relacin de retorno de un amplificador de dos polos es


I OO
71.) = (1 + S/lO~)(l + .s/lO)
(a) Determinar el margen de fase, (b) este amplificador jes estable?

Solucin

(a) La Fig. 13-6 corresponde al diagrama asinttico de Bode, siendo w~, = lo, = 3,16x10 rad/s.
Vemos en la curva de fase que L= lS7,S y valindonos de la Ec. (13-l 1) tendremos

&, = 157.5 T 180 = 22.5


lomo se indica en la Fig. 13-6.
(b) Siendo qh, > 0, el amplificador es estable. El clculo de w, y I$, mediante el diagrama de Bode
real y comprobado por MICROCAP II da W~ = 3,09 x 10 rad/s y Q, = 20,2, lo que est en buen acuerdo
con los valores obtenidos valindose del diagrama asinttico. Obsrvese que en el Ejemplo 13-1 no
podemos identificar la frecuencia de cruce de fase ni por tanto el margen de ganancia. Esto es debido al
hecho de que en un sistema de dos polos el ngulo no es nunca de -180 pero se acerca asintticamente
a ello a medida que w tienda a infinito. Esto supone que un umplifkzdor r-ealimentado de dos poios
siempre PSestable, lo que se confirma por el lugar de las races en la Fig. 13-l .2
Estabilidad y respuesta de los amplificadores realimentados 561

Ejemplo 13-2

La relacin de retorno de un amplificador de tres polos es

T(s) = j-0
(1 + S/W,)(l + </lO)(l .r .\!lOX)
(a) Determinar los mrgenes de ganancia y de fase para T, = 10 cuando: (1) w, = 10 rad/s, y (2) 0, =
100 rad/s. (h) El amplificador con lazo cerrado jes estable en los dos casos del apartado anterior? (c)
repetir las dos partes anteriores txma W, = 10 pero T, reducido a 10

Solucin

(a) (1) El diagrama asinttico de Bode para T(s) siendo w, = IO6rad/s. est representado NK las curvas
ms negras de la Fig. 13.7. Con w > 1ORrad/s, la pendiente de T(~w) es de -60 dB/dcada y T(jl Ox) = 20
dB. As pues, o, tiene lugar a 20 dB/ 60 dB/dcada = 113 dcada (10) sobre lOx rad/s o o,, = 10 x
IU = lO* ii rad/s = 2,15 x 10 rad/s. La pendiente de la curva de fase es -45/d&,da para 108 5 w < 109
rad/s. As, en una tercera parte de dcada la fase vara en -15 lo que hace que LTIJ108) = 240. El
valor de $M es por tanto: @, = 240 + 180 = 60 como se ve en la Fig. 13.7. De forma similar se obtiene la
tEXUt3tCla ZiJtgular de ct~ce de fase. Para 10 < w < IOx rad/s, la pendiente de la cancterfstica de fase es
-9O/dcada.A w = 10 rad/s, LT = 135. por lo que 61, tiene lugar a 4/ 9W/dcada = + 0,s dcada
IG- sobre 10 rad/s. Por tantoo,= 10 x lW5 = 1W = 3,16x IOrau/s. El ,,,N,K, valorque se lee e,, na
curva cuidadosamente trazada. En la caracterstica de magnitud, T(jlO,) = 40 dB, haciendo GM = -40
dB. (2) El diagrama de Bode para oi = 100 rad/s representado en la Fig. 13-S da Q = lo6 rad/s y Q =
10 rad/s. Los correspondientes mrgenes de ganancia y de fase son: GM = 40 dB y 4, = 90
(h) El amplificador de la parte a apartado 1 es inestable (4, = 60) y el del apartado 2 es estable (@,
= 9(P). Nuevamente se comprueba la eficacia del diagrama asmttico de Bode comparando los resultados
obtenidos en la parte a con los obtenidos por clculo. Con w, = 10 rad/s, los valores exactos son: oG =
2,09x 10Rrad/s, o, = 3,30x lOrad/s, 4>, = 61,5, y CM = 38,4dB. Cuando w, = lOOrad/s, 01~= 0,996
x 10 6 radis, w, = 3,16 x 10 radis, CM = 408 dB y 9, = 838.
(c) En la cunea ms inferior de la Fig. 13.7 se ve la magnitud del diagrama de Bode para T, = 10. Puesto
que la fase no se ve afectada por las variaciones de magnitud, la curva de fase es la misma que en la parte
a apartado 1 como en la Fig 13-7. De estas caractetisticas, los valores aproximados son w, = 10 rad/s,
W, = IO, rad/s, $,, = 45 y GM = 20 dB. Por tanto el amplificador es estable.
Comparando los resultados del Ejemplo 13-2 se pueden sacar varias conclusiones. El amplificador
inestable con T, = IO4 y w, = lo6 rad/s, se estabiliza reduciendo T, o w,. La reduccin de T, ha ido
acompaadadelacorrespondientedisminucindeo, sinalteraro,conloqueel amplificadorseestabiliza.
No obstante ste es un mtodo ineficaz de estabilizacin porque la disminuacin de T0 reduce tambin
ciertas ventajas (sensibilidad, distorsin, etc) derivadas de la realimentacin negativa.
La disminucin de q hace decrecer tambin el valor w, sin variar T,,, En esta situacin w, 61, sin
que haya cambio alguno en 61~ Esto es debido a que el polo dominante (co,) de T(s) slo puede introducir
un retardo de fase mximo de 9W. Por tanto, t&~debe ser debido al retraso de fase de 90 provocado por
563

los polos no dominantes (w2 y wJ. Si, como es en el caso del Ejemplo 13.2, lOw, 2 wJ10, el diagrama
asinttico de Bode indica que los 90 de desplazamiento de fase son provocados por el polo en w
antes de la introduccin de cualquier contribucin del polo situado en 6$ En casi todos los amplifica?
dores prcticos, el margen de fase Q,, es por lo menos de 45. En consecuencia el margen de fae se
determina por la fase del polo en co2(y quizs por los restantes polos no dominantes). Adems, paa
Qh,> 45. wi > w<,y la pendiente de la caracterstica de magnitud es de = 20 dB/dcada para w < w,. Bajo
estas condiciones o, y w<;estn relacionados por T,) y la especificacin de W(; y T, determina el valor de
W, necesario para estabihzar el amplificador. Este es el fundamento de las tcnicas de compensacin que
se tratan en la prxima seccin.

13-4. COMPENSACIN

Podemos considerar que el proceso de diseo de un amplificador realimentado consta de tres pasos:

l.- Diseo del amplificador ala frecuencia correspondiente a la mitad de la banda pan cumplir con
la ganancia, desensibilidad, distorsin, nivel de impedancia, y otras especificaciones.
2.- Pruebas de estabilidad del amplificador.
3.- ;Hacerl~ funcionar! Es decir, asegurarse de que el amplificador es estable al mismo tiempo que
cumple con las especificaciones nominales del diseo.

El captulo 12 ha estado enfocado hacia el primero de estos pasos; el segundo de ellos ya ha sido tratado
en las secciones anteriores de este captulo. Ahora trataremos del crucial tercer paso.
En cl captulo ll se demostr que para valores grandes de (, el comportamiento del amplificador
realimentado se aproxima al de una fuente gobernada ideal. Asimismo, se necesitan valores grandes de
T,, para reducir la distorsin y controlar Iah variaciones de ganancia. Sin embargo los amplificadores
realimentados son propcnxx a la inestabilidad para valores grandes de 7,). An en un sistema de,dos
polos, intrnsecamente estable, si TC,es grande, la respuesta que se obtiene (Scc. 13-5) puede no resultar
satisfactoria. La respuesta del amplificador realimentado viene determinada por los polos de A,(s); estos
polos estn relacionados con la relacin de retorno T(S). Como T, no se puede cambiar debido a las
exigencias en la media-banda (paso 1) habr que modificar los polos de T(S) para asegurar que a lazo
cerrado el amplificador sea estable y responda correctamente. Para conseguir esto (paso 3) se compensa
el amplificador realimentado, es decir se insertan componentes adicionales en el circuito que alteran la
localizacin de un o ms polos de T(S) sin variar 1,

Compensacinpor polo dominante


El principio fundamental de la compensacin de polo dominante consiste en estrechar deliberadamente
la banda T(S). 0 sea, el polo dominante en la relacin de retorno no compensada se mueve acercndolo al
origen en forma semejante ala disminucin de wI en el Ejemplo 13-2~. Queda la cuestin de dnde debe
situarse este polo dominante para tener estabilidad y la respuesta deseada a lazo cerrado. En la prxima
Seccin veremos que los polos de A,, y por tanto la respuesta en lazo cerrado, dependen del margen de
fase. En consecuencia la situacin del polo dominante en la relacin de retorno compensada est
relacionada al margen de fase pretendido.
Para aclarar el procedimiento consideremos una relacin de retorno no compensada de la forma
TC, (13.13)
T(s) = [1 + (s/w,)] [l + (s/wz)l Il + (SiW,)l
Para estabilizar el amplificador, se desplaza w, acercndolo al origen de forma que 10 W, < 61,/10.
Adems, si suponemos que w, > 10 w, la Fig. 13.9~ es la caracterstica de fase asinttica en las
proximidades de wI. La porcin horizontal de la cuaja en 90 para w < ~$0 es la contribucin de fase debida
alp>lodominanteen w,. Eligiendo el margen de fase (90 > & > 45) queda especificado oC como indica
la Fig. 1- 90. Como ya qued indicado en la Sec. 13.3, con $, > 45, w, < cozy con o < o (i la pendiente
de TCjo) es de 20 dB/dcada. Ahora se puede determinar la situacin de w, mediante la caracterstica
asinttica de magnitud representada en la Fig. 13.9h. Puesto que wd es conocido se traza por ese punto
una lnea de pendiente 20 dB/dkada que se prolonga hacia atrs (frecuencias menores) hasta cortar la
horizontal correspondiente a T,. La frecuencia angular del polo dominante w, es la del primer codo de
T(s), y por tanto esta interseccin define el valor de 0,. Obsrvese que o>,,viene determinado nicamente
por la porcin de la caracterstica de fase debida al polo no dominante w,. A medida que $, decrece
tanto 0,. como w, crecen.
htabilidad y respuesta de los amplifcadores realimentados 565

Ejemplo 13-3

La relacin de retorno compensada de un amplificador de un solo lazo es


10
T(s) = [I + (dw,)] [l + (.dlO)] [I + (.s/lOy]
Determinar w, de forma que el margen de fase sea aproximadamente de 67,5

Supongamos que debido a la compensacin, 10 0 5 w 110 o w 5 101 radis. En consecuencia, la


caracterstica de fase es la lnea de trazo continuo de la E?ig. 1i-loa. Pa& QM = 67,5",LTO'w)= 112,Y
y, como se ve en la citada figura, W, = 10h5 rad/s. En la caracterstica de magnitud de la Fig. 13- 1Oh se
traza una lnea de pendiente -20 dB/dcada pasando por wG y prolongndose hasta corta la horizontal de
80 dB, correspondiente al valor de O. De esta interseccin w, = 10" = 316 rad/s. Tngase en cuenta
que los valores de W, y w,; slo son aproximados ya que se basan en caractersticas asintticas, siendo no
obstante muy prximos a sus verdaderos valores. Los verdaderos valores de WJ $,, para w, = 3 16 rad/s,
son 3.03~10 radis, y 7 I ,4 respectivamente.
La relacin de retorno en este ejemplo es la misma que la del Ejemplo 13-2. Las lneas de trazos de
la Fig. 13-10 corresponden a w, = 10 rad/s (Ejemplo 13.20, parte 1) y muestran los efectos de la
compensacin sobre T(s). Observemos que para w,< w < w<;, Toco) decrece significativamente respecto
a los valores sin compensacin. Recurdese que Ia reduccin de distorsin no lineal, desensibilidad, etc,
dependedc lI+TI.C on esto no se obtienen tantos beneficios de la realimentacin negativa como en el
caso de un amplificador no compensado. Este es el precio que hay que paga para Pwhiliqr el amplificador.

Cancelacinpolo-cero
Un mtodo alternativo de compensacin que da el mismo resultado que el de polo dominante es el de
cancehcin polo-cero. En esta tcnica se incluye en el amplificador una red que tenga una funcin de
transferencia de un polo y un cero, de forma que la relacin de retorno compensada T is\ W.

T,(s) = T(s) I + .\/Z<


I + J/Cr)<
En esta expresin T(s)esla relacinde retorno no compensada, y zJ(y w, \on las frecuencias angulares
del cero y del polo rcpectivamentc, de la red de compensacin. El valor de z(. se elige pare cancelar el
polo de T(s) ms prximo al origen. y w, se elige para tener el margen de fase deseado. Empleando el
valor de 1<s) del Ejemplo 13-Za, parte 1, la cancelacin polo-cero requiere que z< = 1Ohrad/s; es decir,
debe cancelar el polo en W, = 10 radis. Para tener $,,= 67.5 como en el Ejemplo 13.3 eligiremos
w( = 10 = 316 rad/s. Obsrvese que la relacin de retorno compensada, para un valor dado de Q es la
misma tanto si SCemplea la compensacin por polo dominante como si se emplea la cancelaci~~polo-
cero.
Un inconveniente dc la cancelacin polo-cero cs el dc la sensibilidad de los componentes. Es decir
que IC YBIOX( de :< y W( 110 vienen determinados necesariamente por los mismos elementos del circuito.
Si esto? elemenlos tienen distintas tolerancias, diferente cdad. desiguales variaciones con la temperatura,
etc. puede no llegar conseguirse la cancelacin deseada. El diagrama asinttico dc Bode constituye una
valiosa ayuda para el diseador del circuito. En la compensacin de un amplificador realimentado
566 Microelectrnica moderna

0 -----------T
\ No compensado

\
11, No compensado
\
\

\
i

(b)
Figura 13.10.DiagramadeBodeempleadoparacompensarel amplificadordel ejemplo 13-3.

proporciona el valor inicial de diseo de o1 y por tanto los valores de los componentes necesarios. No
obstante, ia caracterstica asinttica es una aproximacin de T(S) y por tanto proporciona slo valores
aproximados. Para llegar al diseo fmal se emplea el anlisis que veremos en prximas Secciones,
juntamente con los correspondientes clculos.

Una nota para el lector


En las Secciones anteriores nos hemos dedicado a la cuestin de la estabilidad considerando la
respuesta en alta frecuencia. Este campo de frecuencias es del mayor interks para los circuitos integrados
Estabilidnd y respuesta de los amplificadores realimentados 561

amplificadores, ya que stos van, en general, directamente acoplados. En circuitos de componentes


discretos que empleen condensadores de acoplamiento y de paso se puede introducir suficiente desfase a
bajas frecuencias para hacer la realimentacin positiva. En consecuencia, se deben hacer pruebas de
estabilidad abaja frecuencia, y si es necesario proveer la compensacin adecuada.

13-5. RESPUESTA EN FRECUENCIA DE AMPLIFICADORES


REALIMENTADOS.- FUNCIN DE TRANSFERENCIA DE DOBLE
POLO
Las cuano configuraciones de amplificadores realimentados emplean f<ecuentemente redes de reali-
mentacin resistivas. Si suponemos que la realimentacin directa o ganancia A, del sistema muerto es
despreciable, la ganancia A, en lazo abierto y la relacin de retorno T tienen los mismos polos. Esta
condicinseutilizenlaSec.l3-1 parademostrarqueelanchode bandadeunsistemadeunpoloaumenta
por un factor (1 + T,). Adems, aludimos al hecho de que la realimentacin negativa mejora el ancho de
banda en sistemas multipolo. En esta Seccin estudiaremos la respuesta de una funcin de transferencia
de dos polos y en la siguiente trataremos de sistemas de orden superior.
Consideremos que A,(s) y T(S) son las funciones de dos polos dados en las Ecs. (13-5) y (13-6). La
ganancia A,(s) en lazo cerrado ha quedado establecida en la Ec. (13-7) y repetida en la (13-14) para mayor
comodidad.
AF<,
AAs) = *
1+a,s 5
1 + To + 1 + To (13.14)
= &=O
$2
1+
(1 + Tohwz
0 bien
AFO (13-15)
AE =
1 + (siw,) (IiQ) + (sio,)*

Figura 13-11. Lugar de las races de un amplificador realimentado de dos polos

Siendo A, = A#l + T,) el valor de A, en la mitad de la banda, y estando definidos 0 y Q por

q, - +\/w,wz(l + To) Q- w, (13-16)


w, + w2
0

Obsrvese que cuando Tc)= 0 (sin realimentacibn) o,> = ~0, w, Q,,,,,,= \Iw,w?/ (w, + wI) y los polos
de A, sskn en O, yen w1 los de A<,[..Evidentemente ste es el ksultado correcto: sin realimentacin.
la ganancia del sistema debe ser A,, (s). El movimiento de los polos de A, a medida que 7(, aumenm en
el lugar geomtrico de las races est representado en la Fig. 13. I y repetidoen la 13. I 1. En la Ec. (13.17)
se observa que los polos de A, son reales, negativos y desiguales para Q<O,S, negativos, reales e iguales
a (w, + 0,)/2 para Q = 0.5, y complejos para Q> 0.5.

Modelo de circuito
Vamos a demostrar que la red de la Fig. 13-12 es anloga al amplificador realimentado de dos polos.
La funcin de transferencia del circuito de dicha figura puede expresarse

Introduciend$

LLAl
Figura 13-12.CircuitoRLC equvalenteaun amplificadorde dospolos.

lleva a
L,,(J1 I A,.(s)
(13.21)
V;(s 1 1 + (s!w.,) (l/(I) + (.F%,,) = ~AXI

procediendo la segunda igualdad de la Ec. (13.15). Evidentemente, la Fig. 13.12 es un modelo de circuito
de amplificador de dos polos, en el sentido de que ambos tienen las mismas respuestas en frecuencia y
transitoria. Ahora pueden darse significados fsicos a o0 y a Q en relacin al amplificador realimentado.
Por analoga con los fenmenos de resonancia, se observa en la Ec. (13-20) que:
Estabilidad y respuesta de los ampli&zdores realimentados 569

w,>= frecuencia angular de resonancia no amortiguada de oscilacin (K+-)


Q = factor de calidad a la frecuencia de resonancia.

Una consecuencia de la analoga que acabamos de ver es la de que la respuesta de una redque conten@
resistencias, condensadores e inductancias (circuitos RLC)puede conseguirsh empleando la realimenta-
cin con circuitos que contengan nicamente resistencias, capacidades yfuentes gobernadas (amplifica-
dores de transistorj. Esto tiene gran importancia, ya que no se pueden fabricar inductancias en un circuito
integrado. En circuitos de componentes discretos, el valor de L que se precisa es tan elevado que se evita
su empleo debido al tamao de la inductancia. La facultad de conseguir un funcionamiento RLC con el
empleo de amplificadores, resistencias y capacidades constituye la base de los filtros activos (Sec. 16-8).

Respuestaen frecuencia
Si en la Ec. (13-21) s es sustmlda por JW, esta expresvk nos dar la respuesta en trecuenaa del
amplificador de dos polos realimentado. Es conveniente emplear elfactor de amortiguacin k en lugar de
Q. Ambos estn relacionados por
1
(13-22)
Z
As, de las Ecs. (13-21) y (13-22) se obtiene

V[l (w/w,,)~]~ + 4k*(wlw,,)

(13-24)

La Ec. (13-23) es la magnitud normalizada o caracterstica de amplitud estando dada la caracterstica


de fase por la Ec. (13-24). Los picos de amplitud de la respuesta se obtienen igualando a cero la derivada
de la cantidad sub-radical. Se encuentra un pico en

cuya magnitud viene dada por


A, I
(13.26)
R I<> />,l/l >x\/jr7
-
l-l =
Obsrvese que \i 2k > 1, o k > 0,707 o Q < 0,707, la magnitud de la respuesta no contendr ningun
pico. En la Fig. 13-13 SCrepresenta un conjunto de respuestas.
Para(w/a,,)l, IaEc. (13.24)muestraquelacaractersticadefasees aproximadamentelineal viniendo
su pendiente determinada por el valor de k. La caracterstica de fase es 90 en w = W, para todos los
valores de k aproximndose a 180 cuando (0/61,,)1

Respuestaa un escaln
En esta Seccin se ha probado que independientemente de la cuanta de la realimentacin negativa
570 Microelectrnica moderna

empleada, un amplificador de dos polos se mantiene estable (los polos estn siempre en el semi-plano
izquierdo s). No obstante, si la ganancia del lazo T, es demasiado grande, la respuesta transitoria puede
ser completamente insatisfactoria.
Por ejemplo, en la Fig. 13.14 se indica una posible respuesta aun escaln de tensin. Obsrvese que
la salida supera en un 37% su valor final, oscilando antes de llegar al valor de rgimen permanente. Esta
respuesta tan violenta no es aceptable en casi ninguna aplicacin. Los parmetros importantes de la forma
de onda se indican en la Fig. 13-14 y se definen de la siguiente forma:

Tiempo de subida = tiempo que tarda la onda en pasar del 0,l al 0,9 de su valor permanente.
Tiempo de retardo = tiempo que tarda la onda en pasar del 0 al 0,5 de su valor permanente.
Sobre valoro punta = valor de pico en exceso sobre el valor pemlanente.
Perodo de amortiguucin = intervalo de tiempo para un ciclo de oscilacin.
Tiempo de esrahilizacin = tiempo para que la respuesta se estabilice dentro de un f P% del valor
permanente (P se especifica para cada aplicacin en particular, por ej. P = 0,l).

Se obtiene la expresin analtica de la respuesta del amplificador


aun escaln de amplitud Vhaciendo
V,<s)= V/s en la Ec. (13- 21) y resolviendo por la transformada inversa de Laplace. Recordando que
Q = 1/2k, los polos dados en la F.c. (13-H) pueden ponerse en la forma:

s= -kw,tw,m (13-27)

Si k = 1, los dos polos coinciden, correspondiendo al caso de amortiguacin crtica. Si k<l los polos
son complejos conjugados correspondiendo al caso de sub-amortiguacin en el que la respuesta es una
senoide cuya amplitud decae con el tiempo. Si k>l ambos polos son reales y negativos lo que corresponde
Estabilidad y respuesta de los amplifcadores realimentados 571

J
8
Tiempo de estabilizacin

a un circuito sobre-amortiguado en el que la respuesta se va acercando a su valor fiial sin sufrir


oscilaciones. En el caso de sub-amortiguacin es conveniente introducir la frecuencia de amortiguacin

Wd - YFF lo,, (13-28)

y la respuesta ve(t) a un escaln de magnitud Ven un amplificador de ganancia A,, en la banda media,
viene dada por las siguientes ecuaciones:

(13.29)

Sobreamortiguado, >bl

(13.30)

donde
k,-k-m y kl=k+fl-l

Si 4 k21, se puede aproximar la respuesta con


SI2 Microelectrnica moderna

Suhamortiguado, k<l

u,(t)
-=*- kW,>
-sen 0J.J + cos WJ i 13.32)
VA,, %

Estas ecuaciones estn representadas en la Fig. 13.15 en coordenadas normalizadas ,v = f/T#>y y =


v~,(t)lVA,, siendo T, = 2Vw,,el periodo no amortiguado. Si se iguala a cero la derivada de la Ec. (13-32) se
obtienen Ix posiciones x = x, y las magnitudes y = y,, correspondientes al mwmo y al mnimo. Los resultados
son:

siendo m un nmero entero. Los mximos los da m impar y los mnimos m par. Mediante la Ec. (13.33)
se puede trazar rpidamente la forma de la onda sub-amortiguada de salida. De la Ec. (13-33) se deduce
que el sobrevalor viene dado por la expresin [- xkm/( 1 - k)].
Obsrvese que con una amortiguacin fuerte (k grande o Q pequeo) el tiempo de subida t, es muy
largo. Al decrecer k (Q o T, aumentando) disminuye t,. En el caso de amortiguacin crtica encontramos
de la Fig.13.15 que t, = 0,53 T, = 3,33/~,,. Si se aumenta la realimentacin de forma que k<l el tiempo de
subida tambin decrece, pero esta mejora se obtiene a expensas de una vibracin (oscilacin) en la
respuesta, que puede resultar inaceptable en algunas aplicaciones. Frecuentemente se especifica k > 0,707
(Q < 0,707) como respuesta satisfactoria lo que corresponde a un sobrevalor del 4,3% o menos. En
general, el sobrevalor rara vez supera el lo%, as k > O,6 (Q < 0,83).

Figura 13.15. Respuestanormalizadade un amplificadorrealimentadade dos polosaun escaln

13-6. MARGEN DE FASE DEL AMPLIFICADOR REALIMENTADO DE


DOS POLOS
El diseo de un amplificador realimentado de dos polos requiere seleccionar las frecuencias de polo
en lazo abierto para que den la respuesta deseada en lazo cerrado. El objetivo de esta seleccin es relacionar
Estabilidad y respuesta de los amplijkadores realimentados 573

la respuesta en lazo cerrado co el margen de fase y los valores de diseo de las dos frecuencias de polo
en circuito abierto.
Conviene introducir el concepto de factor de separacin de polos n = OJO I, Con ello las EC. (13-16)
se convierten en
Xn(l + Tc,)
w,. = w,Vn(l + T,,) Q= (3-34)
n+l
y los polos en lazo cerrado dados en la Ec. (13.18) se pueden expresar como

,, = ---?+q, * vm) (13.35)

P~~~~pecificarelvalordeQ(odek)seemplealarespuestaenlazoce~adomostradaenlacaracte~stica
de respuesta en frecuencia de la Fig. 13-13 o la respuesta a un escaln representada en la Fig. 13-15. ES
decir, que basadas en la magnitud de los picos de frecuencia si los hay, o en el sobrevalor de la respuesta
en frecuencia, si lo hay, estas CUIVBSindica el valor de Q del circuito. Por tanto, puede emplearse la EC.
(13-34) para obtener el factor de separacin de polos n. Resolviendo la ecuacin cuadrtica resultante
tendremos
1 + ro
(13.36)
n=Q2
en donde se supone que (1 + T,)/Q%l. Este supuesto es razonable ya que en la mayora de las respuestas
prcticas QW y (1 + T,) es de por lo menos 10 para obtener la desensibilidad pretendida. En consecuencia,
/
vemos que los polos en lazo abierto deben estar ampliamente separados. Por tanto, si bien el amplificador
de dos polos es intrnsecamente estable, muy frecuentemente debe compensarse para alcanzar la respuesta
en lazo cerrado deseada.
l El margen de fase 0, se deduce de r(s) que puede expresarse
70 (13-37)
T(s) = (1 + S/W,)(l + s/nwl)
La frecuencia angular de ctuce de ganancia oC obtenida de la Ec. (13-37) haciendo Tu WC)= 1, es:

Con n%l, y T,l, como es el caso normal, y valindonos de la Ec. (13-36) podemos escribir la Ec
(13.38) como:
Sm )* = 5 (q 49 + 1
-A w~-l 1y2 (13.39)
UI QWY (
/ Observamos en la Ec. (13-39) que q; tambin est muy separada de w, para los valores de T,, y de Q
que oalmete se ecuetra.
El margen de fase 0M [dado en la Ec. (13.ll)] para T(S) de la Ec. (13.371 es:
,& = tg 2 - tg -1 ff + 180
/
que puede ponerse en la forma
& = yo tg 1- +
t WI 1 (13.46
= tg-i?!L+ tg ,!
WC, w;

-
574 - Microelectrnica moderna

tuesto que w, co,, tg- (w,/w,) corresponde aun ngulo muy pequeo que frecuentemente se puede
despreciar, co lo que
+Mi= tg-1!2!!= rg-13 (13-41)
WG %

que sustituyendo la Ec. (13-39) resulta

dh = tg - ti(v4Q4 + 1 - l)-2 (13.42)

Obsrvese que la Ec. (13-41) expresa la misma relacin comentada en la Sec. 13-4, es decir, qe 0,
viene detemkado por CI&cuando los polos en lazo abierto est ampliamente separados.
La Ec. (13.15) se emplea para obtener el ancho de banda en lazo cerrado, CI+,,despejando o,, cuando
A,Ow,) = A,J& Y

wH= 2 tQ2; [ 1 + :, + <2Qjy 1)2j Q2 > 0.5

=$\i[ G- 13 Q2<0.5 (13.43)

= w,, Q* = 0.5 1
As, la especificacin de w,, y de Q determina el valor de co, necesario, y mediante la Ec. (13-34) se
calculaw,. Empleandoelfactordeseparacinn seobtieneelvalordew,reqendo. Enel siguienteEjemplo
se expone el proceso de diseo.

Ejemplo 13-4

Hay que disear un amplificador realimentado de dos polos co T, = 99 y w,, = 10 rad/s. Determkuu
q, w2 Y 0 pan 10s siguientes valores de Q = (a) 0,3 16, (b) 0,500, (c) 0,707, (6) 0,833 y (e) 1.00.

Solucin

Ca)El factor de separacin de polos n se obtiene de la Fk. (13-36).

n = ~1 + To = ~1 + 99 = ,000
Q2 (0.316)2
ao, segn la Ec. (13-43) es

10= w,, 1 - 2(0.316) 4(0.316)4 *


0.316 J 2 + [l - 2(0.316)]* - 1
w,, = 2.85 x 10 radis

Empleando la Ec. (13-34) resulta


2.85 x 10 = w, %lOOO(l + 99) Y w, = 9.01 X IO4 rad/s
por tanto wz = nw, = 1000 x 9.01 x 1w = 9.01 x 10 rad/s.
El margen de fase, deducido de la Ec. (13-42) es
Esiabilidad y respuesta de los ampliJkadores realimentados 515

&, = tan- \/2 [v4(0.316)4 + 1 - II w = X4.3


LOS valores de w,, m2 y DM para cada uno de los valores dados de Q se hallan de la misma forma, y los
valores obtenidos son los tabulados en la Tabla 13-l.

Tabla 13-1 Frecuencias de polo en lazo abierto y mrgenes de f& del E.jemplo 13-4

0.316 100 28.5 2.85 90.1 90.1 84.3


0.5 4 15.5 1.JS 77.7 31.1 75.3
0.707 200 10.0 1.00 70.7 14.14 65.5
0.813 144 8.71 0.871 72.6 10.4 59.2
t .UO 100 7.X6 0.786 78.6 7.86 51.8

Los datos de la Tabla 13-l indican que para un valor dado de T,,, o, no vara apreciablemente con Q.
mientras que cozy el factor de separacin de polos 10 hacen marcadamente. En el Ej.13.5 vemos las
variaciones de actuacin cuando r, y w, permanecen constantes.

Ejemplo 13-5

Se disea un amplificador realimentado con T, = 99 y co2= 10 rad/s. Determmar wI y wH para los


siguientes valores de Q: (a) 0,316, (b) 0,500, (c) 0,707, (d) 0,833 y (e) 1,OO.

El factor de separacin de polos depende mcamente de T, y de Q. Por tanto los valores de n que
figuran en la Tabla 13-1 son aplicables tambin a este problema. Para Q = 0,316, n = 1000 y
WI 10
@JI= y = -looo = lo4 radis

Segn la Ec. (13-34)

w,, = IO4 ~lOOO(l + 99) = 3.16 x 10h radis

La relacin o+,~m,~depende slo del valor de Q [Ec. (13.34)]. Por tanto, tambin es aplicable a este
problema la relacton w/J+, dada en la Tabla 13-1, y para Q = 0,316
% 3.16 x lo6
s = 2.85 o uf/=== = 1.11 x 10brad/s
WH 2.85
Los dems valores determinados de igual forma, son los de la Tabla 13-2.
Los resultados anotados en la Tabla 13-2 indican que cuando o2 es fijo, o, y el ancho de banda en lazo
cerrado aumentan al aumentar Q (y decrecer k). Esto est representado grficamente en la Fig. 13-13 en
la que q,, frecuencia en la que AJA,, = 0,707, aumenta al disminuir k. Obsrvese tambin que los valores
de co,,son simplemente Qw2, 10 que se confirma por la Ec. (13.34) ya que
% = w, bdl + T,) = - v/n(l + To) = co2d(1 + TJn = Qwz
n
516 Microelectrnica moderna

Tabla 13-2 Valores de w, y de wH del Ejemplo 13-5


w<,< WI<.
Q LdiS Mradis Mradis

0.316 10.0 3.16 1.11


0.500 25.0 5.00 3.23
0.707 50.0 7.07 7.07
0.833 69.4 8.33 9.56
I .oo 100.00 10.00 12.7

13-7. RESPUESTA DEL AMPLIFICADOR REALIMENTADO DE TRES


POLOS
Si A,, y T son funciones de tres polos, la ganancia en lazo cerrado A,(s) viene dada por la Ec. (13-g).
El lugar de las races en la Fig. 13-2 muestra el movimiento de los polos de A, o medida que 7, aumenta
desde cero. Vemos en dicha figura que los dos polos ms prximos al origen (- o, y wJ se mueven uno
hacia el otro a lo largo del eje real negativo, llegan a coincidir pasando luego a ser complejos. El tercer
polo (- 61~)se mantiene en el eje real negativo pero alejndose del origen.
El movimiento de los tres polos indica que en un sistema estable, la respuesta es debida primeramente
a los polos en lazo cerrado ms cercanos al origen, es decir, los polos en lazo cerrado correspondiendo a
los polos en lazo abierto en - o1 y wz En la Sec.13-4 demostramos que se obtiene un sistema estable
con valores moderados y altos de T, cuando w, est ampliamente separado tanto de wz como de W,. Si
adems w2 y w, estn separados por lo menos en dos octavas (w,>4w,) la realimentacin hace que el tercer
polo se separe de w, y o2 en un grado an mayor. En consecuencia, el sistema de tres polos se puede
aproximar bastante bien a una funcin de dos polos que corresponda a los polos en lazo abierto en - W, y
coz,Por tanto, los resultados hallados en la Sec. 3-5 para la funcin de transferencia de dos polos pueden
aplicarse al amplificador de tres polos. La precisin de esta aproximacin4 normalmente es suficiente para
los clculos de tanteo necesarios para obtener los primeros valores de diseo. Casi siempre. los valores
finales se basan en clculos analticos.
La aproximacin de dos polos se emplea tambin en el anlisis y diseo de amplificadores con ms
de tres polos. Si estos amplificadores han de ser estables se precisa una compensacin estrechando (s).
Consecuentemente, su respuesta est dominada por los dos polos ms prximos al origen. Recurdese que
hemos empleado ya la aproximacin de dos polos al caracterizar la respuesta en frecuencia de un
amplificador en cascada. Cuando se consider un amplificador de dos etapas en emisor o en fuente comn
(Sec. ll-l) ya observamos que contena cuatro polos y dos ceros. Sin embargo, el cero y un polo
introducidos por cada etapa quedaban muy alejados de los polos dominantes, y llegamos ala conclusin
de que la representacin de dos polos representaba adecuadamente la funcin de transferencia del
amplificador.

13-8. ANLISIS APROXIMADO DE UN AMPLIFICADOR


REALIMENTADO MULTI-POLO
En el caso general, la determinacin de la respuesta exacta de un amplificador realimentado es tan
Estabilidad y respuesta de los amplijicadores realimentados 571

complicada que requiere el uso de computadores. Si los polos en lazo abierto estn muy separados se
puede emplear un simple mtodo aproximado. Describiremos y justificaremos esta tcnica con la siguiente
argumentacin.
Se ha demostrado que en un amplificador de tres polos, si las frecuencias de los polos de T(s) son W,
= lo, w1 = 7x10 y 61, = 1,8x10 rad/s, el sistema con lazo cerrado es inestable para 7, 231. En este
amplificador debe hacerse T notablemente inferior a 31 para que tenga una respuesta aceptable (Figs.
13-13 y 13.16). Si el amplificador realimentado debe cumplir con la condicin de desensibilidad y de
reducir la distorsin no lineal deben usarse frecuentemente valores de Tc, notablemente altos (frecuente-
mente T,,>31). En consecuencia, como se ha descrito en la Sec. 3-4, el polo dominante en T(s) debe
desplazarse hacia el origen haciendo que w, est bastante separado de los restantes polos de la funcin de
transferencia. Si adems el primer polo no dominante en s = w, queda separado de los restantes polos
por lo menos dos octavas (4w2<wI) tanto (s) como A,,(s) se pueden aproximar por la funcin de
transferencia de dos polos. As

Como los dos polos ms cercanos al origen estn ampliamente separados, son aplicables las condicio-
nes de polo dominante.
I (1I
uI=- w=- (13-45)
0I nz
Por tanto, el factor de separacin de polos, n = w4wI es
n=-i
02 (13.46)
y las Ecs. (13.34) pueden escribirse

Para aproximar la respuesta de un amplificador realimentado multi-polo se utilizan las Ecs. (13-45) a
(13.47) juntamente con los resultados del sistema de dos polos estudiados en las Sec. 13-5 y 13-6. Se puede
observar que en la Ec. (13.44) se supone que la red de realimentacin es resistiva y que todos los ceros
de la funcin de transferencia estn suficientemente alejados de o, para que su efecto sea despreciable.
En la siguiente Seccin veremos como a, y a2, y por tanto la respuesta del amplificador, estn relacionados
con los elementos del circuito.
578 Microelectrnica moderna

Margen de fase
Sustituyendo la Ec. (13-45) en la (13-41) se puede expresar la frecuencia angular del cmce de ganancia
como

Puesto que n = T,/Q, de la Ec. (13.49) se deduce


1
Q=v ( 13-50)
sen 0$, tg. II,,,
que claramente muestra la relacin entre Q y el margen de fase. Todas estas expresiones so slo
aproximadas; para un clculo ms ajustado del margen de fase se debe tener en cuenta el pequetio desfase
introducido por los restantes polos no dominantes (ui, Os, etc). En la Tabla 13-l se indica la relacin entre
0, y Q. Recordemos que Q = 0,5 (amortiguacin crtica) da dos polos idnticos en el eje real. As, co
0>76,3 se cuenta co que los polos en lazo cerrado estn sobre el eje real negativo, mientras que
0,<76,3 da polos complejos. Cuando Q = 0,707 vemos en la Fig. 13- 13 que la respuesta en amplitud no
acusa ningn pico. Esta situacin corresponde a 0, = 65,5.
Hemos visto ya en este captulo que en los amplificadores prcticos lo ms corriente es que 0245.
MediantelasEcs.(13-50)y(13-42)hallamosqeQ=1,18para0,=45.LacurvadelaFig. 13.16indica
que k = 1/2Q = 0,42 da un sobrevalor de cerca del 20% y la respuesta en amplitud (Fig. 13-13) acusa un
moderado valor de pico. Evidentemente, cualquier aumento posterior de Q (disminucin de k) dar una
respuesta inaceptable.

El polo dominante
Un amplificadorrealimentado puede disearse de forma que la funcin de transferencia en luo cerrado
tenga un polo dominante. Este es el caso frecuente en Amp-Op comerciales (ver Sec. 14.8). En la Tabla
13-1 observemos que 0, = 84,3 corresponde a Q = 0,316 = lfi, o Q* = 0,l. Sustituyendo este valor en
la Ec. (13-35) tendremos los polos en lazo cerrado s = - 0,113 o,(l + n) y S, = 0,887 o,(l + n). Estos
polos est separados entre s de casi tres octavas y podemos llegar a la conclusin de que existe la
condicin de polo dominante para Q < 0,3 16 <Q< 0.1). Tambin se puede decir cuando O,wtiende a 90,
la respuesta en lazo cerrado se puede aproximar co un polo dominante nico.
Se puede conseguir un margen de fase de aproximadamente 90 slo si los polos en lazo abierto estn
muy separados entre s (n muy elevado). En estas condiciones tanto A,,(s) como T(s) puede representarse
por una funcin de transferencia de un solo polo, el situado en s = l/u, = l/w,. Por tanto, la ganancia
en lazo cerrado puede expresarse como la funcin de un polo en la Ec. (13-2) y, w,,=w lTo = T,/a, para
T, 1. Ahora vamos a determinar la situacin de los polos no dominantes de la respuesta en lazo cerrado,
suponiendo que so aplicables las condiciones de polo dominante. El examen de las Ecs. (13-5) y (13.7)
para un sistema de dos polos seala que si A,(s) tiene un polo dominante, tambin A,,, debe tener no
(w2w,). De ah que en el sistema en lazo abierto o,=l/a, y w,= a&x,. Las frecuencias angulares de los
polos en lazo cerrado son (aproximadamente) lla, = (1 + TJa, = (1 + T,,)w, y a,J, = a,/a, = w z De
este anlisis se deduce que cuando la respuesta en lazo cerrado acusa un polo dominante, los polos no
.%tabilidad y respuesta de los amplificadores realimentados 519

dominantes estn situados aproximadamente en las mismas frecuencias que los no dominantes del
amplificador en lazo abierto.

13-9. DETERMINACIN APROXIMADA DE LOS POLOS EN LAZO


ABIERTO
Las argumentaciones desarrolladas en las anteriores secciones de este captulo se han oasado en el
supuesto que las frecuencias de los polos, tanto de la gananacia A,, en lazo abierto como de la relacin
de retorno T, son conocidas. Tal como se describi en la Sec. 1-9, el clculo preciso de los polos de un
amplificador multietapa es difcil y engorroso. Ciertamente, la determinacin precisa de los polos en lazo
abierto y cerrado de un amplificador realimentado multietapa slo se puede conseguir valindose de
computadores.
Como ya se indic, la informacin relativa a la respuesta en lazo abierto debe conocerse antes de la
fabricacin (para pruebas de estabilidad y control del comportamiento en lazo cerrado). El diseador de
un amplificador realimentado necesita ms informacin que los valores escuetos de los polos en lazo
abierto. El diseador de un circuito debe poder relacionar la seleccin de valores de los elementos
especficos con las condiciones de funcionamiento. Por ejemplo, en nuestros comentarios sobre el circuito
en emisor comn de una etapa, de los Captulos 10 y ll, admitimos que la ganancia en tensin k& se
puede aumentar aumentando la resistencia de colector R,. Para mantener el mismo ponto de operac~on, y
por tanto los mismos valores de los parmetros del BJT de pequea setial, un incremento ARR,de R, debe
ir acompaado de un aumento de la tensin de suministro V, de ARR,rcc Adems al crecer R, decrece el
ancho de banda. Por tanto, el diseador se enfrenta con tener que elegn entre la ganancia, el ancho de
banda y el consumo de potencia. Anlogamente, en un amplificador realimentado debe saber cules son
las etapas que introducen polos ms prximos al origen, para lograr una compensacin eficaz y poder
predecir la respuesta en lazo cerrado. El objetivo de esta seccin es aproximar los polos de la respuesta
en lazo abierto y relacionarlos con los valores de los elementos especficos.
Las aproximaciones que adoptaremos para alcanzar este objetivo se basan en los siguientes puntos:

1. Cuando se emplea realimentacin resistiva, los polos de A,, y de T son idnticos.


2. Se emplea el anlisis aproximado de la Sec. 12.7 para determinar los polos de Am y por tanto de 7.
3. Para aproximar la respuesta en lazo cerrado slo se necesitan las dos frecuencias angulares de polo
ms pequeas w, y 0,
4. Estas frecuencias se pueden aproximar calculando los coeficientes a, y 4 de la funcin de transferen-
cia, empleando el mtodo descrito en la Sec. 1 l-9.
5. Basndonos en valores estimados de W, y o2 se predice el funcionamiento en lazo cerrado.
6. Los resultados aproximados se comparan con el anlisis de computador.
Al hacer el anlisis supondremos que se cumplen todos los requerimientos del diseo en la mitad de
la banda. Por tanto, se conocen todos los parmetros del dispositivo y los valores de las resistencias.
Adems, los ceros de la funcin de transferencia tales como los introducidos por ejemplo por C se
suponen suficientemente alejados de w, y co2para poder despreciar sus efectos. En los dos ejemplos&e
siguen se expone el mtodo de anlisis.

Ejemplo 13-6

El triple en paralelo de la Fig. 13-16 est diseado con C, = 55 pF y con los parmetros de los
transistores dados en la Tabla 13-3. Determinar: (a) las frecuencias angulares aproximadas w, y oz en lazo
abierto, y (b) los polos aproximados en lazo cerrado. Con los resultados de la parte (a): (c) estimar el
margen de fase del diagrama asinttico de Bole, (4 Estimar el margen de fase a partir del valor de Q, y
(e) Comparar los resultados de las partes (c) y (4, Se supone que en todos los transistores rh = 0 y r,,-w,

Tabla 13-3 Parmetros de los transistores para el triple en paralelo de la Fig. 13-7.
Parmetro
Irnn.,;.,ror r,,, kfl R",. mu 0.. C,, IJF CV. Pfi
-
Ql 25 4.0 100 1.6 0.5

422 10 10 100 4.0 0.5


Q3 2.5 40 100 10.0 0.5

Solucin
Este amplificador es el empleado en el ejemplo 12.6 de la Sec. 12.10.
(a) Segn los resultados de ese ejemplo, T, = 202. En la Fig. 13.17 se representa el circuito equivalente
del amplificador en lazo abierto incluido el efecto de carga de R, = 20 ka. Siguiendo el mtodo descrito
en la Sec. ll-9 y haciendo Cn, = C,, C,, = C,, Cx1 = C,, C,,, + C, = C,, CxI = C, y C, = C,, podemos
determinar que los coeficientes a, y a2 son
a, = R:,C, + R!lC> t R;,C, + R&C, f R& t R&,C,

uz = RP,C,(R:ZCZ + RLC, + Ri,Ca + R:.jCs + R&,)


t R4& (RSG + R%& + RS5C-i t Rf,&)
+ R%CdR$& + R:<Cs + R6,Cd
+ R$&(R:& + R&C,) t RO'5 C 5RS66C 6

Los valores (en M) de las resistencias necesarias para el clculo de a, y az son:

R,d, = Rc,llr,> = 3OlllO = 7.5


RLI = RJr,, = 10//2.5 = 2.0
RII - R<,i(R,l/RL.= 0.60/~20~~0.60 = 0.296
RI, = R,IlRt.ll~,, = 0.60~120//25 = 0.569
R% = RI,(l + x,,,,R,,) + RI.,
= 0.569(1 + 4.0 x 7.5) + 7.5 = 25.1
R:', = RI., = 7.5
R$, = R:,(l + K,I,J?,,2) + RI2 = 7.5(1 + 10 X 2.0) + 2.0 = 159.5

R9, = R,? = 2.0


Rh, = R!dl + x,,,xR,,,)+ RL, = 2.0(1 + 40 x O.ZY6) + 0.296 = 25.9
R& = RL, 7.5 R:, = R:, = 7.5 R:, = R:q = 159.5
R:, = R"55= 2.0 Rh, = Rh6= 25.9

Ri, = R&R.;, = 7.5ljO.25/(0.569 = 0.174

R& = R&(I + grlllR,J + R,.? = 0.174(1 + 10 X 2.0) + 2.0 = 5.65


R:, = Ry, = 2.0 R;, = R:,, = 25.9
Rj, = R,, = 2.0 R:< = R?, = 2.0
R&, = R& = 25.9
Ri\ = R,+ = 2.0/~0.10/~7 5 = O.OYd

Rh, : R& (1 + K,,,,K,~) + R,q = 0.094(1 + 40 x O.?Y6)+ 0.2Y6 = 1.50


R&>= RL< = 0.296
Se invita al lectora comprobar estos valores.
Sustituyendo los valores de resistencia y de capacidad en las expresiones de u1 y a2 tendremos:

0, = 156.0 + lS9.5C, = 156.0 + 159.5 x 55 = 8Y28 ns

o2 = 1757 + 657.lC< = 1794 + 657.1 x 55 = 37.900 (ns)

de donde
1
L = 0.1120 x lOrad/h:
w = ; = 8928

~ = 5 = 0.112 x 10
I --__ = 17.83 kHz
2lr 2a
UI 8928
~ = 233.5 x IOh rad/s:
wz = ; = 37,900

235.5 x 10
f$= = 37.47 MHr
277
582 Microelectrnica moderna

(b) La separacin de los polos en circuito abierto es

n = ~2 = 3 = (8928)
~ = 2103
w, a, 37,900
As, de las Ecs. (13-47)
V2103(1 + 202)
P= = 0.3105
2103 + 1
Y de la Ec. (13-35)
-0.112(;03 + 1) ,,
., = + VI -4(0.3105)2]

.s, = -2.555 x lOrad/s $2 = -2.102 x IOx radis


La separacin de polos en lazo cerrado es:

= 8.24

Puesto que esto es ms de tres octavas se aplican las condiciones de polo dominante. Vimos en la Ec.
(13-3) que en estas condiciones el polo dominante en lazo cerrado era
WH = /SIi = (1 + T,,)w,, = (1 + T,)w,
0

is,l = (1 + 202) x 0.112 x 10 = 2.274 x IOradis


Evidentemente los dos valores son aproximadamente iguales.
Adems en la Sec. 13-1 se observ que el polo no dominante prcticamente no se ve afectado orla
realimentacin cuando se cumplen las condiciones de polo dominante. La comparacin entre / s, P y w,
apoya esta conclusin.
(c) A partir de los resultados de la parte (a) podemos escribir
;,
T(s = [l + (s/w,)l[l + (.\iW,)I
202
{l + [s/(O.I 12 x lO>]}{l + [s/(2.354 x IOx)])
En la Fig. 13-18~ vemos el diagrama asinttico de Bole para T @o) y en l observamos que oM = 90.
El diagrama asinttico de Bale de T 0) de la Fig. 13.18b incluye los efectos del tercer polo del
sistema. Empleando la extensin del mtodo de la Sec. 1 l-9 se puede demostrar que
a, = 1704 + 417.5Cc = 1704 + 417.5 x 55 = 24,670(1x)

Y
(II 37,930
~ = 1.538 x 10 radis
w3 = CI, - 24,670
Obsrvese que la inclusin del tercer polo no cambia 0,
(d) El margen de fase se determina mediante la Ec. (13.42).
h., = tan - ti [u4(0.3106)4 + 1 11 Ir2 = 84.5
Estabilidad y respuesta de los amplijkadores realimentados 583

L 7, Gradoi

(E) Los resultados de las partes (c) y (4 pueden compararse favorablemente. Ldiferencia se atribuye
al pequeo error introducido por la aproximacin asinttica de la caracterhtica de fase. Con wG =
2.263 x IO, la contribucin de w, es
,- 2.354 x IO6
ti2 = -tan -5.5
wz 2.263 x 10 =
que es exactamente la diferencia entre los resultados de las pates (c) y (d)
El clculo analtico del triple en paralelo de este ejemplo da los siguientes resultados: 7,, = 202,
w, = 0.1120~10~ rad/s, w> = 2.406~10 radi\, y los polos en lazo cerrado s, = 287x10 radis, s> =
-1,76x108 rad/s. Vemos que los polos en lazo abierto sonprcticatnente iguales que los hallados con el
clculo aproximado de la parte (0). Sin embargo los-pols en lazo cerrado no estn tan separados como
los calculados. Esto se atribuye ala suposicin de que los ceros de la funcin de transferencia tenan efecto
nulo. Con C, = Cu>+ C, = 0,5 + 55 = 55,5.pF, la segunda etapa introduce un cero en A = + g,r,2/C, =
+ 10/55,5 = 1.80 x lOx rad/s. (Sec. 1 l-5). Naturalmente este cero tiene una frecuencia angularmenorque
wl. La inclusin de este cero en la expresin de 70 da un margen de fase de 77,5 ya que ese cero produce
un desfase adicional de 7. Tal como se vio en el lugar de las ra& de la Fig. 13.1 yen nuestros anteriores
comentarios, al reducirse DM se incrementa Q y por tanto los polos en circuito cerrado re aproximan entre
s como indica tambin el clculo. En la prxima Seccin estudiaremos ms detalladamente la tcnica de
compensacin (C,) empleada en este ejemplo.

Ejemplo 13-7

El circuito de la Fig. 13.1Ya corresponde al par serie-paralelo analizado en el Ejemplo 12-X. En la Fig.
13.1Yb est representado el circuito equivalente aproximado del amplificador sin realimentacin. (a)
Estabilidad y respuesta de los amplijkadores realimentados 585

Determinarlos polosenlazoabiertoy cerrado. (b)Esbozareldiagrama asintticode Bode y fijare1 margen


de fase.

(a) Las reastenclas R,, R,, y R,, IdentIficadas en la Fig. 13-196 son:
R; = R,iiRr = 0.20//6.0 = 0.194 k0

R LI ~ R, ,&,,,i = 9.Oll2.5 = 1.96 kQ


RL2 = RCZIl(R,. + RE) = 3.0ll(h.O + 0.20) = 2.02 kfL

Para tener las frecuencias de polo en lazo abierto calcularemos los coeficientes a, y a2 por el mtodo
de la Sec. 11-9, o sea:
a, = R:,CI + RZzCz + R%C1 + R%C,
n2 = Ry,C,(R;C, + R:J, + RLC4) + R;G(R:& + R%&J + RiGR4&

R,,o Y 4, son las resistencias equivalentes vistas por las capacidades en la etapa conteniendo
realimentacin local. Por tanto, para calcular estos valores nos valdremos de la frmula de la impedancia
de Blackman.
Para R,,: La Fig. 13-20a es el sistema muerto en el que

R:i,> = rJ(R< + R;.) = 5.01l(2.5 + O.IY4) = 1.73 k12

Evidentemente, cortocircuitando los terminales de C, se hace T,, = 0. Para calcular T,,,. se emph el
circuito de la Fig. 13.2Oh. La relacin del divisor de corriente da
Rk
1, = -R,,,, VA
R;. + R, + r,i
-~n,ir,,R;..V,
v, = JJ,, =
CR; + R, + nJ
de donde
3 = PJG 125 x 0.194
TCI< -
V, R; + R, + r,, = 0.194 + 2.5 + 5.0

= 3.15
as

R?,,> 1.75
RP, = = 0.422 kS1
1 + Toc = 1 + 3.15
Para R,,: en la Fig. 13.2Oa hallamos

GD = RI, + R,#r,, + R;) = 1.96 + 2.511(5.0 + 0.194) = 3.65 k0

T,, deducido de la Fig. 13.20h es


586 Microelectrnica moderna

T,, = 3,15
Para calcular rsc cuando se cortocircuita C, emplearemos el circuito de la Fig. 13.20~. Admitiendo
que RTy R,, estn en paralelo, tendremos:
R; + R,IIRLI v, = I,",,
', = -""'""Ra + (RJRL,) '

I 1 l
67)
Figura13-20.CircuitosempleadosparacalcularR0,~mediantelarclacinde
impedanciasde
(b)
Blackman.
(CI
(0) Parael sistema pasivo,
(h) para el clculo de T,,, (c)para calcular T,,

Combinando estas ecuaciones:


P,K + RWIII 125[l.Y4 + 2.S~Il.YhJ
T = 25.7
" = -vr = r, + R,& + (R,JR,,,) = 5.0 + 0.194 + (2.5/11.96)
Luego

En la etapa en emisor comn que contiene C, y C, las resistencias son:


R:, = RL, = 1.96 ka Y RL = RY,(I + ~n>zR~d + RE
= 1.96(1 + 50 x 2.02) + 2.02 = 202 k0
Las dems resistencias se hallan de la siguiente forma: Cortocircuitando C, se tiene
R:, = RLI + R;llR, = 1.96 + 0.194ll2.5 = 2.14 kn
R:, = R:, = 1.96 ka Rqj = Rd4 = 202 k0
Cottocircuitando C,

R:, = RI ,llR.l/jR;: + ;) = 1.96~~2.5~~(0.194 + 0.020) = 0.179 kil

El clculo de R,' es similar al de R,' excepto que se sustituye R,," por R,,*.
Entonces

R& = R:, (1 + ,qnlZRLZ) + K,.? = 0.179(1 + 50 x 2.02) + 2.02 = 20.3 kI2


Cortocircuitando C, se elimina toda la parte izquierda del circuito; por tanto
Estabilidad y respuesta de los amplificadores realimentados 587

R 44=R az = 2,02 ka

Con los valores de capacidad dados en la Fig. 13.19b y los calculados de las resIstencIas obtendremos
O, : 0.422 x ll + 23.4 x 1 + 1.96 x 22 + 202 x 1.5 = 7x5 9 ny
L,, = 0.422 x ll(2.14 x 1.5 + 1.96 x 22 + 202 X 1.5) +

23.4 x lS(O.179 x ?2 + 10 7 x 1 ) L 196 X 22 X 2.02 X I 5

= 2959 (ns)'

Los polos en lazo abierto tienen las frecuencias angulares o, = l/a, = 2,59x10 rad/s, y o, = a,/a, =
l,30x108rad/s. En el Ejemplo 12-8 se obtuvo el valor de T = 24,9. De las Ecs. (13.47) y (13-35) resulta

= - 6.64 x 10 (1 -t .jl 03) rad/s

En las ecuaciones anteriores se emplea el valor de n = 04110, = l,30x108/2,59x106 = 50,3.


Obsrvese que el valor de Q = 0,717 es cercano a 0,707 con el que no existen picos en la respuesta de
amplitud. Por tanto podemos decir que la respuesta en amplitud de un par serie-paralelo prcticamente no
lTl.dB L i. Grado\
4 4
0

-27

Figura 13-21. Diagrama asmtorico de Bode de T (jw) para el Ejemplo 13-7


588 Microelectrhica moderna

tiene picos. Asimismo, la respuesta a un escaln tiene poco sobrevalor (~3%)


(b) En la Fig. 13-21 est trazado el diagrama asinttico de Bode para
24.9
T(s) = (1 + si2.59 x lO)(l + sil.30 X 108)
El margen de fase sealado en la Fig. 13-21 es 0M = 60,S. Si se emplea la Ec. (1342), 0M = 65,o. La
diferencia entre ambos resultados se atribuye a errores en la aproximacin asinttica de la caracterstica
defaseenlaFig. 13.21.Elerrordefasedebidoalpoloen w,es deunos5(aproximadamenteladiferencia
entre ambos valores).
El anlisis y clculo del circuito de la Fig. 13-19 nos da
w, = 2.64 x IOh radis w2 mm1.27 x IO* radis
s = -6.59 x 10 (1 -t j 1.035) rad/s
Los valores aproximados no difieren ms del 3% de los valores reales, lo que demuestra la eficacia de
los clculos aproximados como herramientas para el diseo.

13-10. MS SOBRE LA COMPENSACIN

Se ha demostrado en Secciones anteriores que para conseguir una determinada respuesta en lazo
cerrado el polo dominante w,de T(s) ha de estar ampliamente separado del siguiente polo en W.
Frecuentemente se debe compensar el amplificador bsico para alcanzar la separacin de polos requerida.
En la Sec. 13-4 demostramos que se consigue una compensacin efectiva cuando al amplificador sin
realimentacin se le estrecha deliberadamente la banda. Ahora veremos que el mtodo para aproximar los
polos en lazo abierto tratado en la Sec. 13-8 puede emplearse tambin para obtener los valores iniciales
de diseo de los elementos del circuito de compensacin.
La tcnica ms sencilla para conseguir el estrechamiento de banda es insertar un condensador
compensador C,. en el amplificador en lazo abierto. Es evidente que la adicin de C, aumenta el valor
del coeficiente a, en la funcin de transferencia y por tanto disminuye el de w,=l/u,. Si el coeficiente s
del amplificador compensado es U,~, entonces

a,c = a, t R:,C, (13.51)


donde a, es el coeficientes del amplificadorno compensado y R&es la resistenciaequivalente en circuito
abierto vista por C,.. [La Ec. (13-51) se obtiene en forma similar a la empleada en el Ejemplo 13-6.1
Puesto que cada trmino en el coeficiente de s* puede expresarse como el producto de una constante
de tiempo en circuito abierto y en cortocircuito, +. el valor compensado de este coeficiente, puede
escribirse

En la Ec. (13-52) R;,, C, es la constante de tiempo del condensador C, cuando C, esta conocmxnado.
Obsrvese que en el Ejemplo 13-6 hemos expresado a,, y a2( en la forma de las Ecs. (13-S 1) y (13.52).
Valindonos de la Ec. (13-46) el factor de separacin de polos n viene dado por
(13.53)

Puesto que ve WIIOWI n, (I, a, y laa rcslstencias en cwcuito abwrm y en conoc~rcu~to, resolviendo la
Ec. (13.53) tendremos el valor de diseo inicial de C, necesario para obtener la separa,&,, de poios
deseada.
Examinemos los dos extremos resultantes de la compensacin capacitiva simple. Para estrechar
significativamente la banda del amplificador es evidente que R",.,.C, de la Ec. (13-S 1) ha de ser mucho
ms grande que (I, (si u,( 2 100, como es necesatio para desplazar wIc = Ilo,,. a una dcada ms prxima
al origen que w,, R,.,C, 0 2 90,). Por tanto podemos emplear la aproximacin a, = R",,C,. En el primer
caso consideremos que

Entonces

Observemos que segn la Ec. (13.54) w,~ es independiente de C, y por tanto constante. As, C, se
puede obtener directamente de la Ec ( 13-54). E valor de w empleado se puede calculara partir del factor de
separacin de polos n o de la tcnica del diagrama asintko de Bode presentada en la Sec. 13-4.
En el segundo caso extremo suponemos que

y por tanto

Algunos amplificadores prcticos presentan las condiciones extremas descritas en las Eu. (13.54) y
t 11.551. Sin embargo esto no siempre es as.

Separacinde polos
La tcnica seguida en el Ejemplo 13-6 de aadir un condensador C, entre la babe y el colector de la
etapa interior puede denominarse de sepanzcin depolos. Empleando C,. de esta forma se beneficia del
efecto multiplicador de Miller (Sec. 11-S) resultando unas capacidades que pueden fabricarse realmente
en un chip. Se puede demostrar que este tipo de compensacin hace que w,(<w, y w,, >w2. As w,,. se
desplaza desde w, hacia el origen (estrechando la banda) y w2( se mueve desde w2 alejndose del origen,
y de ah nace la voz de separacin de polos. Obsrvese que esta separacin resulta evidente en la
situacin descrita por la Ec. (13.55).
590 Microelectrnica moderna

Ejemplo 13-S

Determinar C, cuando Q2 = 0,l en el amplificador del Ejemplo 13.6

En el Ejemplo 13-6 se calcularon a,, y aSc como

a,c = 156.0 + 159.5Cc azc = 1794 t 657.lC,

Pan que Q2 = O,l, siendo T, = 202, la Ec. (13-36) dice que


To + 1 202 + 1
= 2030
n=OZ= 0.1
Valindonos de la Ec. (13-53) tendremos
2030 = (156.0 t 159.X$
1794 + 65?.1C,
y resolviendo la ecuacin cuadrtica resultante se llega a C, = 54,8 pF. En el Ejemplo 13-6 se.hall que
para C, = 55 pF, Q* = 0,0966. Como n crece y Q decrece al aumentar C, se espera una leve reduccin de
Q* para un ligero incremento de C,. Obsrvese que en la expresin de a,,, un valor de C, > 30 pF da
a,,=l59,5 C, y a2c= 651,7 C,. Por tanto

UIC 159.5Cc
~ = 2.447 x IO radis
%- = a,, = 651 .lCc

WIC =
ozr = 2.447 x IO8
= 0.120 x lo6 radk
n 2030
Despejando C,

c,=-c= 1 = 1 = 52.2 pF
159.5 159.5w,c 159.5 x 0.120 x 106

Figura 13-22. Triple en paralela con compensacin de capacidad en paralelo.


Estabilidad y respuesta de los amplifcadores re&nentados 591

Todos estos resultados son casi iguales a los valores reales obtenidos. Por tanto podemos llegara la
conclusin de que esta situacin es muy aproximadamente la del primer caso extremo antes comentado.

Compensacinpor capacidadesen paralelo


Un inconveniente potencial de la separacin de polos es que el cero en s = g,J(C c + C J tambin se
acerca al origen afectando al margen de fase y a los polos en lazo cerrado (Ejemplo 13-6). &a tcnica de
compensacin alternativa consiste en aadir una capacidad C, en la entrada de la segunda etapa, corno en
la Fig. 13-22. Como C, est derivado a tierra, el cero introducido por la segunda etapa permanece en
gJC, y suefectosobreelmargendefaseesdespreciable.ConlosvaloresdeloscomponentesdelEjemplo
13-6,lasEcs.(l3-5l)y(l3-5)seconviertenen

a,, = 156.0 + 7.SCc ns uzc = 1794 f 262.46Cc (ns)

Resolviendo la Ec. (13-53) con n = 2030 tendremos C, = 9430 pF. Evidentemente este valor es mucho
ms grande que el obtenido en el Ejemplo 13-6. Adems no se puede fabricar en un chip una capacidad
de 9430 pF lo que hace que esta tcnica sea impracticable en el diseo de circuitos integrados.
Un segundo inconveniente de este mtodo consiste en que mientras provee la separacin de polos
adecuada, decrece el ancho de banda en lazo cerrado. Tomando C, = 9430 pF se tiene
a,, = 70.89 ps uC = 2.477 (/LS)
de donde
co,<- = 1.410 x 10 radis OJI<-= 2.864 x 10 radis

Ambos valores son inferiores a los correspondientes al Ejemplo 13-6.


En consecuencia w,, = d(t + T) 0, 0, es menor con compensacin por capacidad en paralelo, y tal
como nos da la Ec. (13.43), se reduce el ancho de banda. Tambin podemos observar que o,,< o, cuando
se emplea esta tcnica y no se produce la separacin de polos.

Anlisis del lugar de las races (opcional)


Los polos del amplificador en lazo abierto compensado vienen dados por
1 + al& + ll& = 0

(0) (b)
Figura 13.23. Lugar de tas racesmostrando(n) separacinde polos, y (h) estrechamiento de banda de ambos palos. Se da la
situacin(a) cuando se emplea la compensacin porefecto Miller, y la situacin (b) cuandn se emplea la de capacidad en paralelo.
592 Microelectrnica moderna

Sustituyendo las Ecs. (13-51) y (13-52) se llega, despus de algunas manipulaciones algbricas a

R-(1 +s?;RLcz) = Ry<c<.J(, + s,z) ~


3 -1 ( 13.56)
1 + c,s + a2s- (1 + s/w,)(I + kz)

Supongamos que z, xo,. En la Fig. 13-23~ se seala el lugar de los polos al ir variando C,
Evidentemente hay separacin de polos, siendo esta la situacin existente en los Ejemplos 13-6 y 13-8.
Alternativamente, supongamos ahora que co,< z, <w, indicando la Fig. 13-236 el lugar de las races.
Obsrvese que tanto WIc como Ozc son menores que SUScorrespondientes valores en el amplificador no
compensado. Frecuentemente la compensacin por capacidad en paralelo conduce a esta situacin con
disminucin del ancho de banda.

Los pasos a seguir para el anlisis y diseo de un amplificador realimentado se pueden resumir de la
siguiente forma:

1. Empleando los valores de los componentes necesarios para satisfacer las especificaciones en el centro
de la banda, aproximarAoL.(s) y T(s) usando los mtodos descritos en la Sec. 13.8.
2. Prueba de estabilidad como se indica en la Sec. 13-3.
3. Compensar el amplificador para tener aproximadamente la respuesta deseada en lazo cerrado. Para
predecir la respuesta en lazo cerrado se emplea la aproximacin de dos polos las Secs. 13-5 y 13-6, y
los mtodos descritos aqu y en la Sec. 13-4 proporcionan las bases para la compensacin.
4. Emplear clculo simulado para obtener la respuesta en lazo cerrado y la funcin de transferencia en
lazo abierto.
5. Comparar la respuesta del punto anterior con los valores previstos.
6. Ajustar los valores de los componentes para reducir la diferencia entre las respuestas reales y las
previstas.
7. Repetir los puntos 4,5 y 6 hasta obtener los valores finales de diseo.

REFERENCIAS

1 Sedra, A.S., y K.C. Smith: Microelectronic Cmxuts, Holt, Nueva York, IYXl
2 Gray, P.R., y R.G. Meyer: Analysis and Design of Anelog Integrated Circuits, John Wdcy and Sons, Nueva
York, 1984.
3 Blecher, F.H.: Design Principles in Single Loop Transistor Feedback Amplifiers, IRE Trans. Circuit Theory,
val. CT-4, no 5, Septiembre 1957.
4 Fhausi, M.S.: Electronic Devices and Circuits: Discrete and Integrated, Holt, Nueva York, 1985.

5 Grebene, A.B.: Bipolar and MOS Analog Integrated Circuits, John Wiley and Sons, Nueva York, 1984.
6 Bode, H.W.: Network Analysis and Feedback Amplifier Des@, D. Van Nostrand Company, Princeton,
NJ., 1945.
Estabilidad y respuesta de los ampli$cadores realimentados 593

7 Schilling, D., y C. Belove: Electronic Circuits Discrete and Integrated, McGraw-Hill Book Company
Nueva York, 1985.
8 Soclof, S.: Analog lntegrated Circuits, Prentice- Hall, Englewood Cliffs, N.J., 1985.

9 Nyquist, H.: Regeneration Theoty, Bel/ Sqstrm Tech. J., val. ll, pp. 126.147, Enero 1932

10 Thomton, R.D., C.L. Searle, D.O. Pederson, R.B. Adler, y E.J. Angelo, Jr: Multistage Transistor Circuits,
SEEC Committee Series, val. 5, pp. 108-I 18, John Wilev and Sons, Nueva York, 1965.

TEMAS DE REPASO
13-1. Considerar un amplificador realimentado con funcin de transferencia de un polo solo.
(a) iCul es la relacin entre la frecuencia superior de 3 dB con y sin realimentacin?
(b) Repetir la pate (a) para la frecuencia inferior de 3 dB.
(ci Repetir la parte (a) para el producto ganancia-ancho de banda.
13-2. Considerar un amplificador realimentado con funcin de transferencia de doble polo.
(a) Esbozar, sin demostracin, el lugar geomtrico de los polos en el planos despus de la realimentacin.
(b) iPor qu el amplificador es estable independientemente de la cuanta de realimentacin negativa?
13-3. (a) Indicar (sin demostrar) un circuito que tenga la misma funcin de transferencia que el amplificador
realimentado de doble polo.
(b) Esbozar la respuestaa un escaln tanto con sub-amortiguacin como con sobre-amortiguacin.
13-4. Definir, para la respuestade un amplificador de dos polos sub-amortiguado: (a) tiempo de subida, (b) tiempo
de retardo, (c) sobrevalor o punta, (6) periodo de amortiguacin (e) tiempo de estabilizacin.
13-S. (a) Esbozar, sin demostracin, el lugar geomtrico de los polos de un amplificador de tres polos despus
de aadir la realimentacin.
(b) Indicar dnde el amplificador se hace inestable.
13-6. Consideremos un amplificador mltiple con ) sI / < 1sz / < 1s11 < < / sn1 Bajo qu circunstancias la
respuestacon realimentacin viene determinada par
(a) sI y sz,y (b) por s, solamente.
13-7 (a) Definir la estabilidad.
(b) Para que haya estabilidad idnde deben estar los polos de AAs)?
13-S. (a) Explicar el criterio de Nyquist.
(b) Dibujar el diagrama de Nyquist de un sistema estable.
(c) Repetir la parte (b) para un sistema inestable.
13-9. (0) Defmir el margen de fase 0,
(b) Sealar 0, sobre los diagramas de los Temas 13-86 y 13.8,.
13-10. (n) Definir el margen de ganancia.
(b) Sealar el margen de ganancia en los diagramas de los Temas 13.Sb y 13.8~.
13.11. (a) Dibujar los diagramas de Bode correspondientes a los temas 13-Sb y 13.8~.
(b) Identificar los margenes de ganancia y de fase en los diagramas de Bode del apartado anterior.
13-12. iQu se entiende por compensacin?
13-13. Explicar con la ayuda del diagrama de Bode, cmo puede compensarse un amplificador.
13-14. Describir el mtodo mediante el que se pueden determinar los dos primeros polos dominantes de un
amplificador en lazo abierto.
13-15. (a) Si la respuestaen lazo cerrado muestra un polo dominante, delx la respuesta en lazo abierto tener un
polo dominante? Explquese.
(b) Comentar el punto anterior.
13.16. Describir la separacin de polos.
594 Microelectrnica moderna

13-17. Comparar los mtodos de compensacin del polo dominante por efecto Miller o por capacidad en paralelo
13-18. (a) iQu& se entiende por realimentacin positiva?
(b) iCmo estn relacionados A, y A en un amplificador con realimentacin positiva?
(c) Si T = - 1 iCul es la ganancia A,?
Caractersticas

El amplificador operacional (Amp-Op) es el ms empleado de los circuitos integrados analgicos. En


estecaptulodescribiremos laspropiedadesde Ios Amp-Opprcticosyrelacionaremosestas caractersticas
con las tcnicas del diseo de circuitos integrados analgicos. Siendo el Amp-Op un circuito multietapa
que casi siempre emplea la realimentacin, las materias tratadas en este captulo van unidas a muchos de
los conceptos discutidos en los Captulos 10 a 13.

14-1. ESTRUCTURA DEL AMPLIFICADOR OPERACIONAL

El Amp-Op introducido en la Sec. lo-21 es una fuente de tensin de dos entradas gobernadas por
tensin, cuya tensin de salida es proporcional a la diferencia entre las dos tensiones de entrada. En la
Sec. lo-21 se describieron las caractersticas del Amp-Op y su empleo en los amplificadores inversores
y no inversores bsicos. La respuesta en frecuencia de estos amplificadores se coment en la Sec. 11-13.
En la Tabla 14-1 se resumen los datos del Amp-Op ideal y del prctico.

Tabla 14-1. Caractersticas del Amp-Op


Propiedad Ideal Prctico (tipico)
Ganancia en lazo abierto Infinita Muv_ alta (>> 1061
I
Ancho de banda en lazo abierto Infinita Polo dominante (= 10 Hz)
Relacin de rechazo
del modo comn [nfmita Alta (2 70 dB)
Resistencia de entrada [nfmita Alta (2 10 MR)
Resistencia de salida Ce3 Baja (~500 0)
Corrientes de entrada CfXO Baja (<0,5 WA)
Tensiones y corrientes offset CC10 Baja (~10 mV, <0,2 nA)

Vamos a examinar algunas de las razones que abonan los valores listados en la Tabla 14-1. Puesto que
las etapas del Amp-Op bsico son amplificadores realimentados, es deseable tener una ganancia en lazo
abierto elevada (y por tanto una relacin de retorno alta) para asegurar una dependencia exclusiva de la
ganancia en lazo cerrado respecto a las resistencias de realimentacin R, y R, (vase la Fig. 10-42).
Anlogamente, la mayor parte de Amp-Op se disean para una funcin de transferencia que contenga un
polo dominante. En estas condi@ones, los productos del ancho de banda por las ganancias en lazo abierto
y en lazo cerrado son iguales. As, para una ganancia en lazo cerrado dada, el ancho de banda queda
prontamente determinado.
Para asegurar que la seal de salida sea proporcional a la diferencia entre las tensiones de entrada es
necesaria una elevada relacin de rechazo del modo comn. Con un valor alto de sta, las seales del modo
comn que frecuentemente contienen componentes en continua afectan poco a la salida del amplificador.
Para aproximarse a las caractersticas de un amplificador de tensin ideal, el Amp-Op debe tener una
resistencia de entrada alta y una de salida baja. La corriente en cada una de las dos entradas es idealmente
nula. Estas corrientes en continua forman parte de las corrientes de polarizacin de la etapa de entrada y,
puesto que los circuitos integrados invariablemente estn directamente acoplados, deben ser pequeas
para evitar interacciones no deseadas con la fuente de seal.
En un circuito integrado nide& podemos compaginar exactamente las caractersticas del transistor
con los valores de los componentes. Independientemente de cuan sofisticada sea la tcnica de fabricacin,
en la prctica real es imposible una compaginacin exacta. Las tensiones y corrientes offset son ndice
del desequilibrio del circuito, que evidentemente debe ser bajo.

Estructura de dos etapas


La mayora de los Amp-Op disponibles en el mercado emplean la estructura de la Fig. 14-l. Esta
configuracin en cascada, se denomina corrientemente amplificador operacional de dos etapas porque
nicamente el amplificador diferencial y la etapa de ganancia contribuyen a la ganancia de tensin global.
El amplificador diferencial se emplea como etapa de entrada para proveer las entradas inversora y no
inversora, laaltarelacinderechazodelmodo comn, y lagranresistenciade entrada, ascomolaganancia
de tensin. La baja resistencia de salida del Amp-Op se logra por la etapa seguidora de emisor de salida.
El desplarador de nivel ajusta las tensiones en continua de forma que la seal de tensin de salida queda con
referencia a tierra, Se necesita ajustar los niveles en continua porque las etapas de ganancia estn
directamente acopladas. Como sea que no se pueden construir en un chip condensadores de capacidad
elevada, los circuitos integrados quedan virtualmente directamente acoplados. La etapa de ganancia
interior es un amplificador de tensin de gran ganancia que se emplea para tener una gran ganancia en
lazo abierto.
En el prrafo anterior vemos que las etapas de entrada y de salida deben relacionar el Amp-Op con el
mundo exterior, es decir, que deben servir de intermediarios entre las fuentes de las seales de entrada y
el amplificador y entre el amplificador y la carga. Al disear las etapas de entrada y de salida, a veces hay
que sacrificar la ganancia para conseguir una relacin apropiada con el exterior. tin estos casos se aumenta
la ganancia de la etapa amplificadora interior de forma que la amplificacin total satisfaga las exigencias
del diseo.
Dedicaremos las cuatro secciones siguientes a la descripcin de cada una de las cuatro etapas de la
estructura de la Fig. 14-1, enfocadas hacia las etapas BJT; los Amp-Op FET se vern en la Sec. 14.10. En
los problemas ilustrativos de esta seccin se emplean los datos numricos del Amp-Op tipo 741,
introducido en 1966 por Fairchild Semiconductor, lnc., actualmente Io fabrican muchos ~~nst~CtOres,
741 emplea la estructura en dos etapas de la Fig. 14.1, y probablemente es el Amp-Op ms extensamente
usado.
Caracte~ticm del amplifcador operacional 597

14-2. LA ETAPA DE GANANCIA CON CARGA ACTIVA

La etapa interior del Amp-Op debe tener una ganancia de tensin alta. En la Sec. lo-10 se demostr
que la ganancia de una etapa BJT depende de la resistencia de colector empleada y del valor de p,, del
transistor. Frecuentemente en esta etapa se emplean transistores compuestos de alto p,>tales como el par
Darlington Colector comn-Emisor comn en cascada (Sec. 10.17). Sin embargo no puede fabricarse
convenientemente en un chip una resistencia de colector elevada, y aun cuando no fuera ste el caso, los
niveles de tensin resultantes no son practicables. Por ejemplo, a travs de una resistencia de 100 kQ
conduciendo una corriente continua de 1 mA existe una cada de tensin de 100 V, por lo que se necesita&
un suministro de potencia a ms de 120 V para obtener seales de salida de 20 V de pico a pico.
Evidentemente esto no es conveniente.
Para solventar esta limitacin se emplean cargas activas. Una carga activa es una fuente de corriente
cuya resistencia de salida se emplea en lugar de la de colector como se ve en la Fig. 14-2. La fuente de
corriente (carga activa) de esta figura est formada por un par de transistores laterales pnp Q3 y Q4 (Sec.
5.3). El empleo de transistores pnp determina el sentido apropiado de la corriente de colector en el
transistor npn Ql y proporciona la gran resistencia de salida. Puesto que casi toda la corriente est en la
fuente y no en la resistencia de salida, queda solventada la necesidad de tensiones de suministro altas.
Tambin es evidente que la resistencia de salida de la fuente es, en el modelo de pequea seal, la
resistencia de colector.

La recta de carga
Para demostrar la efectividad de la carga activa tracemos la recta de carga sobre as cxxteristicas de
salida de Ql. Supongamos que la fuente de corriente est diseada para dar una corriente de 1 mA, y que
el transistorpnp tiene una tensin Early V> = 100 V. La tensin dc realimentacin es de 15 V. Segn las
leyes de Kirchhoff

I< 1 I,, Y L,,, = PC, v,,a = 15 v,,, (14.1)

La uracterstica tensin-corriente de la fuente de corriente representada en la Fig. 14-3a refleja la


598 Microelectrnica moderna

tensin Early. LS corva de la n es la de una resistencia no lineal, y siguiendo el mtodo descrito en la Sec.
4-11 se puede conshuir la recta de carga de la Fig. 14-36. La recta casi horizontal entre A y B corresponde
a la gran resistencia ro = V,,/l,, = lOO/l = 100 !&. Efectivamente si se prolonga la recta de cargas (lnea
de trazos) cortar el eje V,,, en VA = 100 V. As pues, para tener la misma recta de carga (entre A y B)
utilizando una resistencia de colector se necesitar una tensin de alimentacin de 100 V. Observando la
Fig. 14-3h se ve que un pequeo cambio en I,, produce un gran cambio en V,,,, y por tanto se ha
conseguido una gran ganancia.

Figura 14.4. Cwcuito equivalente a baja frecuencia del de la Fig. 14-Z.

Modelo de pequefia seal


En la Fig. 14-4 se representa el modelo de pequena seia1 de la etapa que conttene Q 1 y la carga actea.
Caracten$ticas del amplzjkador operacional 599

Puesto que la resistencia de colector ro3 de un transistorpnp frecuentemente es de valor comparable al de


la resistencia de salida roi del transistor npn, ambas debern incluirse en el modelo. La carga efectiva en
esta etapa es la combinacin en paralelo de r,,, y R, y la resistencia de entrada R, de la siguiente etapa.
Obsrvese que Rr tambin ha de ser grande para minimizar la carga. De no ser ste el caso, la ventaja de
la carga activa se ve contrarrestada, y la ganancia de la etapa reducida. En la Fig. 14- 1 la carga de la etapa
de ganancia es la resistencia de entrada del seguidor de emisor. Esta gran resistencia de entrada ayuda a
reducir el efecto de la carga en esta etapa.

Ejemplo 14-I

La Fig. 14.5 representa el esquema simplificado de la etapa de ganancia del Amp-Op 741. La fknk
de seal y la resistencia de fuente representada comprende el equivalente de Thvenin del
amplificador diferencial que sirve de entrada a la etapa de ganancia. El transistorpnp Ql3B es parte de

,,t =16pAel,,,=1,,,,=

R,,
y
la carga activa de la fuente de corriente en la etapa. Los transistores estn numerados correspondindose
con el diagramadel circuito del amplificador completo de la Fig. 14-l 9. Los transistores estn polariados
550 FA. Todos ellos tienen p, = 250 y las tensiones Early son 100 y SOV
para dispositivos npn y pnp respectivamente. Supongamos rb = 0 para todos los BJT.
Determinar la ganancia de tensin V,/V,, la resistencia de entrada

Solucin
la de salida R,, en esta etapa.

La etapa de ganancia es una cascada Colector comn-Emisor comn. El diagrama esquemtico del
circuito de pequea seal es el de la Fig. 14.6~. El modelo incrementa1 del circuito est representado en
la Fig. 14.6b. Siguiendo el proceso descrito en la Sec. lo- 16 hallaremos primeramente la ganancia A,,
del seguidor de emisor (etapa en colector comn). Mediante la Tabla 10-3 tendremos
(250 + 1)50
A - = 0.659
" = 6090 + 391 + (250 + 1)SO

emplendose r, 2 /&/n,,, = p,,V,IIc ,* = 250 x f+ = 391 k0


6OYO + 3YI
K,, 50 11 = 17.0 k0
20 + I
Vase que rs, de ul6 es + = 6.25 MCI y 6.25 MQ 11XI kU = 50 kil.
La resistencia efectiva de colector para la etapa en emisor comn es el valor de ro del transistorpnp de
carga, 0

100
),,,, = oj = 181.X kil

Meaante la ecuacin de la ganancia dada en la Tabla LO-3 para una etapa en emisor comn con
resistencia de emisor, se llega a

17.0 + ll.4 + 0.1 + 250 x 181.X x 0.1/(11(1.8 + 90.9)


CaracterrFticas del amplificador operacional 601

La ganancia total de la etapa es

42 = A,.,ArI = 0.659 (-334) 220

La resistencia de salida de la cascada Colector comn-Emisor comn es la resistencia de salida de la


etapa. en emisor comn. Con los resultados de la Tabla lo-38 se obtiene
250 x 0.1
17.0 + 11.4 + 0.1 = 71.8 ka

La reslstencxi de entrada R,, es la resistencia vista mirando la etapa en colector comn. ea .aistencia
de emisor efectiva de esta etapa es de 50 kR en paralelo con la resistencia de entrada R, de la etapa en
emisor comn. As, de la Tabla 10-3 tenemos
R, = ll.4 + (250 + l)O.l = 36.5 k0
Y
RL = 50 I/ 36.5 = 21.1 kil

por tantu
R,> = 391 + (250 + 1121.1 = 5.69 Mf1

Limitaciones de las fuentes de corrientepnp


Los transistores pnp tienen valores de p, ms bajos, menor corriente admisible y tensiones Early VA
inferiores que en los transistores q~n, y en consecuencia la actuacin de las fuentes de corriente pnp es
algo inferior a la de las npn. Los valores ms bajos de V, se traducen en una resistencia de salida inferior.
Esta limitacin puede superarse empleando una fuente de corriente Widlar, Wilson o cascodo, cada una
de las cuales tiene una resistencia de salida mayor que la de una puerta simple.
Para compensar las otras dos limitaciones normalmente se emplean ciertos circuitos tcnicos, Uno de
tales circuitos es la fuente de corriente compuestapnp-npn representada en la Fig. 14-7. La fuente bsica
est formada por los transistores pnp Q3 y Q4 mientras que los transistores npn Ql y Q2 actan como
amplificador de corriente. Si la relacin entre las reas de los emisores de Q2 y Ql es AJAI, entonces
tendremos

cc,= IK (14.2)

supuesto que los transistoreb n,, tengan p, >> AJA, (Prob. 14.4). La consecuencia de la Ec. (14.2) es la
de que se puede incrementar la corriente de salida, pero slo Ql y Q2 conducen la mayor corriente. Por
ejemplo supongamos que 1, = 100 PA, que es un lmite prctico para un transistor lateral pnp y que
hacemosA,/A, = 4 entonces 1, = 500 PA. Sin embargo, Q2 que es el transistor npn de mayor t-eaconducir
la mayor parte de esta corriente (= 400 PA).
Recientes adelantos en la tecnologa permiten fabricar transistores npn y pnp con caractersticas
complementarias. La fabricacin de tales dispositivos resulta ms cara ya que precisa de varios procesos
adicionales. Analog Devices, Inc., emplea esta tecnologa en la fabricacin de algunos de sus Amp-Op
u otros productos analgicos integrados.

14-3. LA ETAPA DIFERENCIAL

En la Fig. 14-8 se representa la estructura bsica de una etapa de amplificador diferencial integrado
ElelementoactivoenlacitadafiguraesunBJT(oFET) ounaetapacompuestatalcornounaconfiguracin
cascodo o un par Darlington (Sec. 10-17). La etapa de entrada diferencial tiene tres caractersticas
Importantes que son: la relacin de rechazo del modo comn, la resistencia diferencial de entrada R,d, y
la ganancia A,, del modo diferencial.

La relacin de rechazo del modo comn (CMRR)


La relacin de rechazo del modo comn de una etapa diferencial BJT se dedujo en la Ec. (10.30)
repetida por conveniencia en la Ec. (14-3)

CMRR = 1 + 2g,,,R, (14-3)

en donae se ha supuesro que rx R, y p, 1. La Ec. (14-3) indxa claramente que para que el CMRR
sea grande, lo ha de ser tambin R,. En la Fig. 14.8, R, se identifica como resisten& de salida de la fuente
de corriente empleada en la polarizacin de los elementos activos. Si se emplea una fuente de corriente
simple y el elemento activo es un BJT, entonces R, = V..II, y gm = IJ2VT. (Recurdese que cada mitad
del par diferencial acarea la mitad de la corriente dc fuente.) Empleando estos valores en la Ec. (14-3)
tendremos

(14.4)

En un transistor q~n con V, = 100 V, CMRR = 100 V/25 mV = 4000 o CMRR = 72 dB. Esta es
(aproximadamente) la relacin de rechazo del modo comn mnima aceptable anotada en la Tabla 14-1.
Para aumentar esta relacin debe aumentarse la tensin Early efectiva, es decir, la resistencia de salida de
la fuente de corriente. Los Amp-Op con relaciones de rechazo del modo comn comprendidas entre 80 y
90 dB emplean normalmente fuentes de corriente Wilson, Widlar o cascodo.
Caracterhticar del amplificador operacional 602

Resistenciade entrada Ri
La resistencia de entrada diferencialR, de la etapa diferencial es la resistencia de entrada del Amp-Op.
Para aproximar la entrada de una fuente de tensin ideal gobernada por tensin, R,d debe ser grande. La
resistencia diferencial de enttada es

En IaEc. (14-5) observamos que unaR,~elevadarequierecomentes depoltizacinms bien pequeas.


Por ejemplo, para un transistor con p,, = 250 y siendo R,, = 1 MR se necesita una corriente de colector
1, = 12,5 l.tA,
Para aumentar R,d se emplean corrientemente dos tcnicas: emplear etapas de entrada FET y emplear
en el par diferencial transistores de p elevado. El uso de etapas diferenciales FET, que se vern en la Sec.
14-10, (procesos BIFET y BIMOS) dan unas resistencias de entrada superiores a 10 R. Esta tcnica se
emplea en el Amp-Op Analog Devices AD5449.
Cuando el valor efectivo de p, de los elementos activos se incrementa con el uso de un par Darlington
con transistor compuesto, la impedancia de entrada aumenta apreciablemente. No obstante, otras limita-
ciones, como la respuesta en frecuencia, muchas veces excluyen las etapas de entrada del par Darlington.
El empleo de transistores super-P (Sec. 5-3) en el par diferencial da una alta resistencia de entrada a los
niveles de corriente habitualmente tratados. Para UD transistor super- p (p,, = 5000) polarizado a /, = 12.5
FA, R,,, = 20 MQ. Evidentemente, de una reduccin de corriente resulta un aumento en R,,

La ganancia en el modo diferencial A,,,


Puesto que la etapa de entrada del Amp-Op es una de las dos etapas de ganancia conviene hacer que
604 Microelecrrnica moderna -

la ganancia en el modo diferencial A,, sea alta. En consecuencia, tambin en estas etapas se emplean
cargas activas. De la Ec. (10-86) se deduce

l4,,,RL (14.6)

Recordando que vow = VJ2 [Ec. (1%91)] podemos expresar la tensin de sahda dlferenclal del
amplificador como
v = g,,,R,
I> ~ Vd (14-7)
2
siendo R, la combinach en paralelo de la resistencia R, de la carga activa y la resistencia de salida r,,
del elemento activo. De la expresin de gn, en funcin de la corriente de polarizacin resulta

iV,>l= gT IVdl= g iv,,1 (14-8)


t3zracterWica.x del amplijicador operacional 605

Esta ltima ecuacin pone de manifiesto que la transconductancia eficaz de una etapa diferencial es la
cuarta parte de la de un BJT nico polarizado por una corriente de colector I0.

Ejemplo 14-2

La Fig. 14-9 corresponde a la etapa de entrada del Amp-Op 741. Las combinaciones de transistores
npn-pnp Ql y Q3 y Q2 y Q4 forman el elemento activo del par diferencial. Las cargas activas las
proporcionan las fuentes de corriente de tres transistores Q5, Q6 y Q7, Los transistores Q8 y Q9 forman
una fuente de corriente pnp que se emplea para la polarizacin de base y asegurar que los transistores
permanezcan en su regin activa cuando no haya ninguna seal de entrada aplicada. Los transistores del
Ql al Q6 estn polarizados a I, = 9,5 FA, y todos ellos tienen p, = 250. Las tensiones Early son de 100
y de 50 V en los transistores npn y pnp respectivamente.
Determinar la ganancia Vdv,, la resistencia de entrada diferencial R,d, y la resistencia de salida Ro
Emplese la representacin esquemtica de pequea seal de la etapa diferencial de la Fig. 14-10.

Para analizar este circuito resulta conveniente el mtodo de obtener el equivalente de Norton de la
etapa. La conversin del equivalente de Norton al equivalente de Thvenin dar la resistencia de salida y
la ganancia de tensin. En la Fig. 14-10, y de acuerdo con la ley de Kirchhoff, Z, = I,, + Icc El elemento
activo compuesto Q2 y Q4 puede considerarse como un seguidor de emisor (Q2) excitando Q4 conectado
como una etapa en base comn, es decir, un circuito cascodo. Esto se pone de manifiesto en el circuito
equivalente de la Fig. 14-1 la. La resistencia de entrada de la etapa en base comn es rJ1 + pO)=l/g,
y puede representarse el seguidor de emisor por su equivalente de TF venin -Vi2 en serie con l/g,,
como
606 Microelectrnica moderna

se ve en la Fig. 14-l Ih. Obsrvese que en la condicin de circuito abierto (REL+-) la ganancia de la
etapa en colector comn es la unidad, y que con R, = 0 su resistencia de salida es I-J 1 + p ,,)=l/gm,.La
corriente I,a es, segn la ley de Kirchhoff para el lazo
Vd12 - E,,,V/
Ic = -
lig,,,2 + l/L84 4
yaque xmi y g,,, son ~s+iles puque 1I,., 1 D = j I,, 1 Suponendo p,, >> 1, C,, = I,, = g, VJ4. Por la simetna
del circuito, I,, = g,,Vj4, y siendo 1, j la corriente en la carga activa tendremos I,, = I,, = g,VJ4. Por
tanto, la corriente de salida l,, es

Haciendo operaciones

Tal como se ve en la Fig. 14-10 la resistencia de salida de la etapa es la combinacin en paralelo de


las resistencias de salida R, y R, de Q4 y Q6 respectivamente. La resistencia de salida de la etapa en
colector comn (Q2) acta como resistencia de emisor 44 como se aprecia en la Fig. 14-12. Ambas
resistencias R, y R, vienen dadas por
Caractmsticar del amplificador operacional 607

ya que R, = rb = 0. Los valores paramtricos necesarios para el clculo de R, y R, son

250 x 2.63
R,,, = 5.26 ( 1 f ) = 10.5 MS1
658 + 2.63
250 x 1
R 06 = 10.5 1 + = 14.5 Mn
65X + 1
Y
/

La tensin equivalente de Thvenin es

V,, = I,R,, = O.lYV, x 6090 = 1157Vd

Con lo que la ganancia de la etapa de entrada diferencial es

A, = 2 = 1157
608 Microelectrnica moderna

La resistencia de entrada del modo diferencial de esta etapa R,d es el doble que la resistencia de entrada
de la etapa seguidora de emisor QZ [vase la Ec. (14.5)]. La resistencia de emisor de esta etapa es Vg,,,,,
la entrada a la etapa en base comn (Q4). Por tanto

0 sea
ft ! (65X + 6%) = 2.63 MII

La ganancia global de las dos primeras etapas es A,A, = 220x 1157 =2,54x10. Este valor es la
ganancia en lazo abierto del Amp-Op ya que tanto la etapa de desplazamiento de nivel como la de salida
seguidora de emisor tienen prcticamente una ganancia de tensin unidad. Normalmente los fabricantes
especifican el valor mnimo de la ganancia en lazo abierto en 2x10s. Las diferencias que puedan darse se
atribuyen a las tolerancias de elaboracin en las corrientes de polarizacin, p, y tensiones Early del
transistor. Adems, los efectos parsitos relacionados con el sustrato tienden a rebajar la ganancia del
amplificador. En la Tabla 14.2 se resumen los datos del tipo 741 as como los de otros Amp-Op que se
estudian en este captulo.

Tabla 14-Z. Datos tpicos de algunos tipos de Amp-Op

Tipo 74 I LM 118 LM 108 AD 611 AD 507K


(2 erapas) (3 etapas) super b (BIFET) (banda anchni

14-4. DESPLAZAMIENTO DE NIVEL

Puesto que no se pueden emplear condensadores de acoplamiento (si el amplificador ha de trabajar


con continua) se hace necesario desplazar la tensin de una etapa antes de aplicar su salida a la etapa
siguiente. Tambin se necesita un desplazamiento de nivel para que la salida sea muy prxima a cero en
el estado de reposo (sin seal de entrada). La resistencia de entrada de la etapa de desplazamiento de nivel
debe ser elevada para evitar carga a la etapa de ganancia. Asimismo es conveniente que la resistencia de
Caracten~ticas del amplificador operacional 609

salida sea baja para excita apropiadamente la etapa de salida. Un seguidor de emisor (Fig. 14-13) puede
servir de compensador y al mismo tiempo de desplazador de tensin. Si la salida Va se toma en el emisor,
el cambio de mvel ser VO V! = V,, = 0,7 V. Si no es suficiente esta variacin de deber tomar la salida
en la unin de dos resistenaas en la rama del emisor como se ve en la Fig. 14. 13~. Entonces el
desplazamiento de tensin se ve acrecentado por la cada a travs de R,. Esta disposicin tiene el
inconveniente de que la tensin de seal sufre una atenuacin RJ(R, + RJ. Esta dificultad se evita
sustituyendo R, por una fuente de corriente IO como en la Fig. 14-13b. El desplazamiento de nivel ser
ahora V,, V, = (V,, + /,8R,) y no hay atenuacin en alterna para una fuente de corriente de resistencia
muy alta.
La Fig. 14-13~ representa otro desplazador de tensin en el que se emplea un diodo de avalancha.
Entonces V, = (,, + VJ. Tambin puede emplearse en lugar del diodo Zener un cierto nmero de
diodospn polarizados en directo. Si la resistencia dinmica del diodo Tener (o de la cadena de diodos) es
pequea comparada con R, puede despreciarse la atenuacin de la seal.

cc< b<< b<,

1; yI:( 64:

K 4 l/

h. Y
pi b
K 1 K?
iT b-r IT
/Li\ r6) tc1
Figurn 1.5.1.3.l,pluvacinrc\ de Wd empleandoun aguKiorde Pml\l.

El multiplicador V,,
En la Fig. 14-140 puede verse una interesante fuente de tensin fabricada fcilmente en forma
monoltica. Si la corriente de base puede despreciarse frente ala corriente en R, y R, el circuito acta como
un awltiplicador de V,,B debido a
VPI (R, T R,) = VBk I + =R3
V = L (14-9)
Rd ! R4 J
Esta fuente de tensin se emplea en lugar de R, de la Fig. 14.13~1 tal como se ve en la Fig. 14.14b. El
cambio de nivel en continua V, V,, se puede expresar
v, v,, = VBA 2 + 2 (14-10)
c i

La ganancia de tensin para pequefia seal, supuesto que p, 1 es


c., KA2
AL -=~-~--- (14.Ill
L, I + ~,,,,d~z + x,,,~ CR, + RJ(I + R,>,,Rq) -zs
610 Microelectrhdca moderna

para g,,,?R, 1 y R, (R3 + R J/(l + g,,RJ.El circuito de la Fig. 14-146 tiene la ventaja de que el
desplazamiento de nivel en continua depende de la relacin R3/R4 que se controla con precisin y que se
alcanza con ganancia unidad. El mayor inconveniente del circuito es que la dependencia respecto a la
temperatura de V(, y, es la misma que para B,, (- 2,2 mV PC). La etapa elevadora del Amp-Op tipo 741
normalmente es un snnple seguidor de emisor.

14-5. ETAPAS DE SALIDA


Caraetensticm del amplificador operacional 611

idealmente ia tensin de salida de pico a pico debe aproximarse a la tensin total de suministro V,, + V,,.
En la Fig. 14.15~ est representada una configuracin comn en la etapa de salida que posee estas
condiciones y que constituye un squidor de emisor complementario. Si la seal de entrada V, se hace
positiva,eltransistornpnQl actacomofuentedelacorrientedealimentacindelacargaR,yel!ransistor
pnp Q2 se corta. Contrariamente, si V, se hace negativa Ql se corta y Q2 acta como sumidero para
absorber corriente de la carga, es decir para disminuir I,. Por tanto, si V, es una senoide, Ql conduce la
carga durante el semiciclo positivo y Q2 durante el semiciclo negativo. Como cada transistor conduce
durante slo la mitad del tiempo, el recorrido de la tensin de salida es el doble del que se puede alcanzar
con un seguidor de emisor de una sola etapa.
Con el circuito de la Fig. 14.15a existe una dificultad fundamental debida a que la tensin de salida
se mantiene virtualmete nula hasta que V, = V,,,,,, Este fenmeno se denomina dismsin du cruce que
6 12 Microelectrnica moderna

t! Salida

39k!

--+Etapa
Red de pohizacin Amplificador
diferencial CC-CE
Figura 14.19. Diagrama esquem&tico del Amp-IL@ tipo 741.
Caractrrirricas del amplificador operacional 613

se puede observar en la caractastica de transferencia representada en la Fig 14-15. (En realidad, la salida
deja de ser cero para l, = V Ri (cut.in) V( = 0.5V. Sin embago, la corriente en el transistores tan pequea que
la tensin de salida. resulta. despreciable.)
Prcticamente se puede eliminar la distorsin de cruce aplicando una tensin de polarizacin V > 2 VT
entre las dos bases, de forma que exista una pequea corriente en los transistores en estado de reposo. Una
tcnica habitual consiste en emplear un par de diodos pn conectados en serie como se indica en la Fig
14-16. Es corriente fabricar los diodos Dl y D2 como diodos BJT (Sec. 5-6). La caracterstica de
transferencia del circuito de la Fig. 14-l& es la de la Fig. 14.16b en la que se puede ver que prcticamente
se ha eliminado la distorsin de cruce. Sin embargo, la caracterstica no pasa por el origen y con V, = 0,
V,>f 0. Recordando que V se obtiene de la etapa elevadora de nivel, tendremos Vo = 0 con sefial de entrada
cero haciendo que el val& de reposo V, sea aproximadamente igual a Viii2
Para eliminar la distorrin de cruce de emplea tambin el circuito de la Fig. 14-17. El bloque rotulado
Ves cl circuito multiplicador V,,, de la Fig. 14.14h que se emplea en lugar de los diodos DI y D2 en la
Fig. 14-160. La tensin de salida de este bloque est diseado para aplicar aproximadamente 1,l V entre
las dos bases. As, ambos Ql y Q2 conducen ligeramente por debajo de las condiciones de reposo.
Las etapas de salida de las Figs. 14-160 y 14-17 se emplean ambas en Amp-Op tipo 741 fabricados
comercialmente. La configuracin bsica de la etapa de salida est representada en la Fig. 14-18. Los
transistores Q 14 y Q2O forman el seguidor de emisor complementario. Las pequeas resistencias R, y R7
limitan la corriente de salida. En lugar de los diodos Dl y D2 se emplea el par Darligton QlS y Q19. Esta
disposicin es preferible a la de dos diodos BJT conectados en serie ya que el par Darlington puede
fabricarse en menos espacio. La fuente de corriente de la Fig. 14-16~ est formada en parte por Q13B. La
Fig. 14-19 es el esquema completo del circuito del Amp- Op de tipo 741.

14-6. TENSIONES Y CORRIENTES OFFSET


En Secciones anteriores hemos visto que cl Amp-Op ideal est perfectamente equilibrado, cs decir que
V,,= 0 cuando V, = V, = 0. Pero un Amp-Op real acusa un desequilibrio debido a desajuste en los
transistores de eutrada. Este desajuste da lugar a unas corrientes de polarizacin desiguales en los
terminales de entrada y aunas tensiones base-emisor tambin desiguales (Fig. 14-20). Frecuentemente,
para equilibrar el amplificador se requiere una tensin offset de entrada aplicada entre los dos terminales
de entrada.
En esta Seccin trataremos de los errores en corrientes y tensiones en continua que representan
desviaciones respecto al ideal y que puedan ser medidas. Adems, describiremos las especificaciones ms
importantes en el funcionamiento de los Amp-Op. El modelo idealizado de Amp-Op (Fig. 10-41) debe
modificarse para incluir la tensin offset y las corrientes de polarizacin como se ha representado en la
Fig. 14.20b.
Las principales especificaciones empleadas para describir el funcionamiento del Amp-Op, son las
siguientes:
-Corriente de polarizacin de enrrada: La corriente de polarizacin de entrada es la semisuma de las
corrientes separadas que entran en los dos terminales de entrada de un amplificador equilibrado como en
la Fig. 14-20. Puesto que la etapa de entrada es del tipo de la Fig. 14.9, la corriente de polarizacin de
entrada ser IB = (I,, + 1,,)/2 cuando Vk,= 0.
-Corriente ~ffrer de enrmda: La corriente offset de entrada Z,,,es la diferencia entre las corrientes
separadas que entran en los terminales de un amplificador equilibrado. Como se aprecia en la Fig. 14-20,
tendremos 1,0= I,, IR?cuando Vo = 0.
-VWiacin de ia corriente ~fiwr de entrada: La variacin de la corriente offset de entrada AIJAT es
la relacin entre el cambio de dicha corriente y la variacin de temperatura.
-7nsi6n ojjset de entrada: La tensin offset de entrada V, es la tensin que debe aplicarse entre IOS
terminales de entrada para equilibrar el amplificador.
-Variacin dr ia rensin ofjkt de rntrada: Es la relacin AVJKr enuc el cambio de la tensin offset
de entrada y la variacin de temperatura.
-TensinofSssetdesalida: Es ladiferenciaentrelas tensiones encontinuaexistentes enlosdos terminales
de salida (o entre el terminal de salida y tierra si hay una sola salida) cuando los dos terminales estn a
tierra.
-Recorrido del modo comn de entrada: Es el campo de la seal de entrada de modo comn dentro
del cual el amplificador diferencial se mantiene lineal.
-Recorrido de entrado diferencial: Es la mxima diferencia de seal que puede aplicarse con seguridad
entre los terminales de entrada de un Amp-Op.
-Recorrido de la tensin de saliaiz: Es la mxima variacin de salida que se puede conseguir sin tener
una distorsin significativa (con resistencia de carga dada).
-Ancho de banda a plena porencia: Es la frecuencia mxima a la cual se obtiene una senoide cuya
magnitud sea el recorrido de la tensin de salida.
-Relacin de rechazo de la alimentacin (PSRR): Es la relacin entre la variacin de la tensin offset
de entrada y la correspondiente variacin de tensin de una fuente de alimentacin, mantenindose
constantes las tensiones de las dems fuentes de potencia.
-Ritmo de variacin: Es la evaluacin del tiempo de cambio de la tensin de salida del amplificador
en lazo cerrado con seales grandes.
Caracceristicas del amplifcador operacional 615

Ejemplo 14-3

(a) Las etapas inversoras y no inversoras del Amp-Op tienen la misma configuracin (Fig. 14.21n) sin
tener aplicada ninguna seal de tensin de entrada. Suponiendo que la tensin offset de entrada V,o = 0,
hallar la tensin de salida debida a la corriente de polarizacin de entrada cuando I,, = I,, = I, = 1OOnA.
(h) iCmo se pueden eliminar los efectos de la corriente de polarizacin para que Vo = O? (c.) Con los
resultados del apartado h calcular Vo suponiendo que I,, ID2 = l,, = 20 nA. (4 Suponiendo l,, = 0,
determinar Vo cuando uc, = 5 mV, (e) Hallar el valor de V,, cuando 1,0= 20 nA y V,,>= 5 mV.

Solucin

(a) Como ya se mencion en la Sec. 10.21, con valores muy grandes de Ao existe cortocircuito entre
los dos terminales de entrada. Por tanto, no hay corriente en R. La corriente /, debe estar en R y en
consecuencia V,, = /,R. Partiendo de 1, = 100 nA se tiene
v,, = 100 x 10 x IOh = 0.1 V = IOOmV

(6) Aadamos una resistencia R, entre el terminal no inversor y tierra corno se ve en la Fig. 14-2 Ib. Si
Vo = 0, R y R estn en paralelo (R II R = Ro) y la tensin desde el terminal inversor a tierra es I&.
Puesto que entre los terminales de entrada la tensin es nula I,, R,, debe ser igual a /,,R,o (para I,, =
J
R, = K,, = ~
RK
=
100 x 1000
= 90.9 kil
R f K I loo

Si IB,#l,2, debemos tomar l,, R , = l,p,.


(<,) Hagamos I,, = /,,- 1,0en la 6.,g. 14-31/x En la segunda parte se ha demostrado que entrando I,, en
los dos terminales, inversor y no inversor, la salida es V,, = 0. Aplicando la superposicin a las dos fuentes
de corriente /,, e l,, poderno& hacer ahora I,, = 0 y hallar el efecto de l,,>.Siendo la cada a travs de R!
igual a !8,R, = 0 y estando los dos terminales de entrada a la misma tensin, la cada a travs de R sera
cero al Igual que la corriente en la misma R. Por tanto I,,%fluye en R y V<,= I,,>Ry tomando valores
numricos
v,, = -20 x 10 u x 1Ohv ; ---20 mV

El signo de V,, no es significativo ya que I,,, puedeer positiva o negativa.


(d) Si l,,, = 0, entonces I,, = Is2 y de la segunda parte, V,>= 0. Por tanto podemos suponer que las
corrientes de polarizacin de la Fig. 14-216 son nulas y considerar slo el efecto de una tensin V, entre
los terminales de entrada. La cada en R, es nula (para Z,, = 0) apareciendo V,,>a travs de R dando lugar
a una corriente V,,/R. Esta misma corriente circula por R (ya que I,, = 0) y por tanto

V,, = 2 (R -i- R) = V,,, cl+%)

(el Haciendo operaciones

V<, = -I,,,R + V,,, 1 + +


il 1
Si se dividen por un factor M los valores de todas las resistencias, la salida debida a y,,, no se altera,
mientras que la componente de V,! bebida a l,, queda dividida por y. Las ganancias mversora y no
inversora dependen slo de la relacmn de resistencias y por tanto son Independientes del factor M.
Tcnicas universales de equilibrado
Muchas veces, al emplear un Amp-Op se hace necesaio equilibrar la tensin offset. Esto representa
aplicar una pequea tensin continua en la entrada para que la tensin continua de la salida sea cero. Las
tcnicas aqu tratadas permiten equilibrar la tensin offset en relacin al circuito interior del amplificador.
El circuito de la Fig. 14.22 suministra una pequea tensin en serie con el terminal de entrada no inversor
en el margen + V [R,/R3 + R Il = i 15 mV si la alimentacin es de tr 15V y Ri = 100 kR, R1 = 100 R.
Este circuito es empleado para equilibrar amplitcadores inversores aun cuando el elemento de
realimentacinR seauncondensadorounelementonolineal. Siel Amp-Opseempleacomoamplificador
no inversor, para equilibrar la tensin offset se utiliza el circuito de la Fig. 14-22.

- 1

14-7. MEDICIN DE LOS PARMETROS DE UN AMPLIFICADOR


OPERACIONAL
En esta Seccin describiremos los metodos prcticos de medvan de algunos parmetros Importantes
Caractakticas del amplijicador operacional 617

de los Amp-Op. Concretamente examinaremos: (1) tensin offset de entrada V,o, (2)corrientede
polarizacin de entrada 1, y corriente offset de entrada 10, !3) ganancia de tensin en lazo abierto A,,,, (4)
relacin de rechazo de modo comn, (5) ritmo de varraaon. En los circuitos que estudiaremos en esta
Seccin, los Amp-Op cuyos parmetros se pretende determinar se sealan AUT (Amp/i@ Under Tm.
amplificador en prueba). El AUT se conecta en cascada con otro Amp-Op atenuador que se seala BUF
que aumenta la ganancia en lazo abierto y permite ajustar la tensin de salida del AUT al valor deseado.
La tensin offset de entrada del BUF de fa Fig. 14-23 se equilibra mediante el dispositivo de la Fig. 14-22
aplicado al terminal inversor. Puesto que el BUF est unido al lazo de realimentacin, la diferencia de
tensin entre sus terminales de entrada es nula.
Despreciando la corriente de polarizacin del BUF tendremos que Va = v ya que VB = 0. Por tanto.
la salida del AUT es siempre igual a V que puede fijarse a cualquier valor deseado desde una fuente de
tensin exterior.
El sistema de la Fig. 14-23 puede oscilar si no est adecuadamente compensado (Sec. 13-4).
Normalmente un condensador en paralelo con R estabilizar el lazo (Sec. 14-8).

Tensin offset de entrada Vi,,.


Para hacer esta medicin hagamos V = 0 de forma que Vo = 0. Se cierran los dos interruptores S, y .S,.
Segn el modelo de circuito de la Fig. 14-20b. Si Vo = 0, tendremos V, = 0 y entre los terminales inversor
y no inversor aparecer V,,,.En otras palabras V,,,del AUT est a travs de R y la correspondiente corriente
V,,JR (que e; mucho mayor que la corriente de polarizacin) tambin pasa por la resistencia de
realimentacin R y por tanto

V = % (R + R) = IOOIV,, = IO%,,, = V,

La lectura de < en voltios nos da uf, en milivoltios. Obsrvese que V,,, se mide con la salida de AUI
puesta acero como corresponde a la definicin de la tensin offset de entrada. La relacin de rechazo de
la alimentacin se obtiene repitiendo la medicin de V,,>con dos valores distintos de la tensin de
alimentacin V,, ycalculandoAV,,JAV,~ representando AV,,, (y AV,,.) la diferencia entre las dos tensiones
offset (o de fuente) de entrada.

Corriente de polarizacin de entrada


Abramos el interruptor S, y cerremos el S, de la Fig. 14-23 y hagamos I/ = 0. La tensin a travs de
Res ahora, segn la Fig. 14.20h, V,,, RJ,, y

V=R+R
__ R (Ves. - R,Jxl = IU(V,,, ,(,,,,, _ CT4 (14-13)

De las Ecs. (14-12) y (14-13) se deduce

l/J zz CV, V,)IO A = lOO(V, v,) IlA (14.14)

Si se deja SI abierto pero se cierra S, siendo V = 0 se obtiene /,, procediendo como antes, y la Ec. (14.
14) nos dar + I,,. La corriente de polakxin es 1, = (I,, + lB2)/2 y la cokente offset es l,, = l,, I,?.
61X Microelectrnica moderna

Ganancia de tensin diferencial en lazo abierto A, = A,,,


La ganancia en lazo abierto se define como la relacin entre la tensin de salida y la tensin diferencial
de entrada. Una medicin directa de A,, basada en esta definicin es sumamente dificultosa. Es esencial
que los efectos de las tensiones y corrientes offset de entrada en el amplificador en lazo abierto queden
prcticamente anulados pues de no ser as !a gran amplificacin de la entrada desequilibrada puede llevar
el amplificadora saturacin (mientras que debe funcionar en su regin lineal). Si deseamos una salida de
por ejemplo 10 V siendo A,, = lCO.000 se necesitar una seikal de entrada de 0,l mV cuidadosamente
ajustada. Con seales tan pequeas las tensiones de ruido pueden ser inconvenientes. Todas estas
dificultades se soslayan empleando el AUT en lazo cerrado como en la Fig. 14-23.
Se cierran los interruptores S, y S, y se sita V a la tensin de salida recomendada, por ejemplo a
-10 v.
Entonces Vo = + 1OV. Como la resistencia de salida del AUT es muy pequea en comparacin a su
carga de 100 !& tendremos segn la Fig. 14-19b, AV, = Vo. La tensin a travs de la resistencia R entre
los terminales de entrada del AUT es V,,, + Vg,y por tanto
K + R
=- (V,,, + V,) = lo+ + 2) e v, (14.15)
K
Restando la Ec. (14-12) de la (14-15) tendremos (para Vo = 1OV)
10 v,, 10
A I>.v, = A , = (14.16)
v< v, = v, v,
Si SCajusta I/ a + IOV y se repite el proceder anterior. se obtiene A, para una salida V,, = 1OV. SI se
desea la ganancia A, en carga slo se necesita colocar la resistencia de carga adecuada R, entre \,, y tierra
mientras se llevan acabo las mediciones como antes.

Para A, = 100.000, V, V, = 0, IV. con muy poca precisin pues deben rcstarx dos nmeros grandes
y casi iguales. La dificultad se evita de la siguiente forma. Las sustracciones requeridas en las Ecs. (14- 16)
y (14-17) pueden hacerse electrnicamente con el circuito de la Fig. 14-24. El Amp-Op es un seguidor no
inversor de ganancia unidad, de resistencia de entrada muy elevada. El condensador C almacenar la
tensin medida V,. La entrada a este circuito [denominado SHS de Sample-Hold-Substract (muestreo-re-
tencin-sustraccin)] es la salida V de BUF de la Fig. 14-23. El proceso experimental es el siguiente: Se
cierran S,, S, y S, y V = 0 de forma que V = V, se almacena en el condensador de gran calidad C.
Seguidamente se abre SJ y se sigue el procedimiento indicado ms arriba para medir A, (0 I,,). ~~~~~~~~
ves v, (0 V,) y VS,, = v, v, (0 v, VJ.

Relacin de rechazo del modo comn


La relacin de rechazo del modo comn la define la Ec. ( 10-89) corno CMRR = 1 A,, /Ac&, 1 siendo A,,
Caracterrticas del ampliJcador operacional 6 19

la ganancia diferencial y A,, la ganancia de modo comn. El circuito para su medicin es el de la Fig.
14- 23 con los interruptores S, y S, cerrados, V = 0 y una tensin de seal VTinsertada entre el terminal
no inversor y tierra. Estas modificaciones llevan ala red de la Fig. 14-25. Aplicando la Ec. (10-92~2) al
AUT. siendo Vo = 0.
V,, = A,>MV,>M + &wVm = 0 (14-17)

Para hallar V,,,, y V,, buscaremos primero V, y V, de la Fig. 14-24. Evidentemente, V, = VT.Empleando
la superposicin tendremos
R R
v2 = v, ~ v, + v;
R + R + R+R;-

Figura 14-25.Medicindela relacinde rechazode modo comn (CMW

puesto que R R. La tensin diferencia V, es la tensin !J, a travs de R,: Si tenemos


en cuenta la tensin
offset de entrada (Fig. 14-2Ob) y empleamos las Ecs. (14-17) y (14-12) stendo RR se obtiene

Vd = 2v,, = VI - v* ~ v,,> = - F - v,, = - g (V + V,) (14-19)

VCM= $(V, + V2)= v, + g (14-20)

Sustituyendo las Ecs. (14-19) y (14-20) en la (14-17)

Am,$3 + V,) + A,,,(c., + g) =0 (14.21)

Puesto que A,, >> A,,, el cuarto trmino de esta ecuacin puede despreciarse trente al primero. Por
tanto, si designamos por V, el valor medido de V se obtiene

CMRR $ (V, + V,) = V, (14-22)

Para CMRR= lo, R/R=Zx 10~; y V,= JOV hallaremos que \/h+ki=O,lV. Para V,,,=hV,
V, = 5V. Por tanto, V, = - 4,9V tenindose muy poca precisin de esta medtcin ya que deben testarse dos
tensiones grandes y casi iguales / V, 1 y 1V, 1. Esta dificultad se solventa cambiando la entrada aun nuevo
valor VI y midiendo el nuwo valor de V que llamaremos Vi, y de acuerdo con la Ec. (14-22) tendremos

CMRR & (VA + V,) = V: (14.21)


620 Microelectrnica modernu

Restando la Ec. (14-22) de la (14-23) se elimina V, quedando


2R V: - V,
CMRR=RV, _ v (14.24)
6 6
Si Vs = 5V. VT= 5V, CMRR = lO5 y RIR = 500 tendremos V, V, = 0,lV. No obstante, esta resta
puede hacerse ahora electrnicamente con el circuito SHS de la Fig. 14. 24. El interruptor S, se cierra
para medir V, y se abre para medir V,.

14-8. RESPUESTA EN FRECUENCIA Y COMPENSACIN

Muchas veces se pide que la respuesta en lazo cerrado de las etapas bsicas inversora y no inversora
del Amp-Op tenga un funcionamiento de polo dominante para todos los valores de la ganancia en lazo
cerrado y baja frecuencia. As, el Amp-Op puede estar representado por una funcin de transferencia de
un solo polo. En Secciones anteriores se ha demostrado que los polos en circuito abierto deben estar
ampliamente separados y el margen de fase 0, ser de aproximadamente 90 para tener este tipo de
respuesta en lazo cerrado. Por ejemplo, para que los polos en lazo cerrado estn separados por lo menos
en tres octavas (Q < O,l) la separacin de polos necesaria [Ec. (13-36)] es >i > TJQ > IOT,,. Una dcada
de separacin en la respuesta en lazo cerrado requiere Qi < lo/12 1 y n > I2,l T,). Puesto que T = A,,,/A , el
mximo valor de T se tiene con Al = 1, es decir que la etapa de Amp-Op se emplea como separador de
ganancia unidad. Por tanto el funcmnamiento de polo dominante requiere que n>12,1 siendo A, el valor
de A,,, abajafrecuencia.ConlosvalorestpicosdeA,( = lo) los polos en lazo abicrtodebenestarseparados
ms de seis dcadas. Esta separacin slo se puede conseguir estrechando la banda (compensando) del
amplificador en lazo abierto. Obsrvese que para aumentar Q (disminuir 0,) se necesita compensacin
debido al elevado valor de A,. Ciertamente, sin compensacin la respuesta en lazo cerrado del Amp-
Op de dos etapas clsico es inestable. Esto se puede demostrar fcilmente para un Amp-Op tipo 741. El
Caracteristicas del amplifcador operacional 621

anlisisde este amplificadordail,, = 2 x lV,u, = X,86 ps y a, = 4,10psdedondelosdospolosdominantes


FO

= 344 kHz

El diagrama asinttico de Bode de 1aFig. 14-26 ha sido trazado basndose en estos valores. Obsrvae
que aun cuando A,,,(s) contuviera slo los dos polos en - 2nf, y 2nfI, 0, se aproxima acero y desemboca
en una respuesta en lazo cerrado inaceptable. En la ptictica, el desplazamiento de fase introducido por los
polos no dominantes hace que 0M < 0 provocando la inestabilidad. Por tanto, el amplificador en lazo
abierto debe compensarse.
En la mayora de Amp-Op comerciales la compensacin puede ser interna o adaptada.

Compensacininterna
La red de compensacin se fabrica en el propio chip sin que normalmente est prevista una conexin
exterior a ella. El fabricante especifica el margen de fase para una ganancia unidad en lazo cerrado. El
Amp-Op 741 est diseado de esta forma. Ms frecuentemente se emplea la compensacin por efecto
Miller.

Compensacinadaptada
El fabricante no compensa el Amp-Op. El encapsulado integrado contiene terminales que permiten el
acceso al amplificador interno de forma que se puede conectar una red de compensacin exterior. El
usuario es el responsable de compensar el amplificador para adaptarlo a su aplicacin particular. Uno de
tales amplificadores es el LM 108.
Aveces se emplea una combinacin de ambos mtodos: el fabricante compensa hasta tener un margen
de fase dado para una cierta ganancia especfica (normalmente mayor de la unidad). Adems se prevn
accesos de forma que el diseador del circuito puede modificar 0M y compensar el amplificador para una
ganancia unidad.

Compensacinpor efecto Miller


El procedimiento ms sencillo y corriente de compensacin consiste en conectar un condensador entre
la salida y la entrada de la etapa de ganancia. Este mtodo es similar a la compensacin del triple en
paralelo del Ejemplo 13-5. Debido al efecto Miller el valor efectivo de la capacidad de compensacin C,
se ve incrementado por la ganancia de la etapa. As, los elevados valores de capacidad necesarios se
consiguen con condensadores pequeos que cabe fabricarlos en el chip. Aunque empleados extensamente
en la compensacin interna, las tcnicas del efecto Miller se aplican tambin ala adaptada.
El Amp-Op 741 emplea un condensador de compensacin de 30 pF conectado como se ve en la Fig.
14.270. El circuito equivalente de pequea seal de esta etapa est representado en la Fig. 14-276 en la
que los valores numricos son los obtenidos en los Ejemplos 14-1 y 14-2. El modelo de la Fig. 14.27b
puede modificarse para hacer la fuente gobernada dependiente de V, como se ve en la Fig. 14-28 ya que
622 Microelectrnica moderna

vLr
C, (bJ
tqgura 14.27. (a) Etapaae gananciaCC-CEde un Amp-@ tipo 74 I con CondensadorCcde compensacinpor erectoMiller. (n)
Circuim equivalente de dicha etapa.

V, = (Ro+ R,) VjR,. La forma del circuito de la Fig. 14-27 es anloga a la usada para calcular la resistencia
asociada con Cp en el BJT (Ejemplo 1 l-2). Por tanto
Rf-,. = [6.09 I/ 5.691(1 + 455) + 0.0718 = 1340 MCL
yconlaEc.(13-51)
a,, = a, + R:.,.C, = R:-<-Cc= 1340 x 30 = 40.2 ms
La frecuencia del polo dominante es

j; _ I = 1 1
= 4.0 HL (14-25)
271 oi< 271R:<C< 27~x 40.2 x lo3
El polo no dominante ms prximo debe estar separado de f, en ;JQ. Suponiendo que los polos en
lazo cerrado estn separados una dcada, n = 2x105/( lo/12 1) = 2.42~10~ yf, = nfl = 9,68Mb. En la Fig.
14-29 est representado el diagrama asinttico de Bode del amplificador compensado y segn el cual
f, = 0,8 MHz y p,, = 90*. Las cunas de trazos de la Fig. 14-29 son 1% caractersticas de magnitud y de fase
del amplificador no compensado. Los valores calculados para ei Amp-Op 741 son:& F 5 Hz, f, = 15MHz,
f, = 1 MHz y OM = 84. Estos valores se corresponden aproximadamente con los medIdos. La diferencia en
el margen de fase es debida al desplazamiento introducido por los polos no dominantes.
En la Secc. 13.4 vimos que con frecuencias por debajo de& puede representarse T(s) con una funcin
de un polo 7J( 1 + s/2nf,). As, la frecuencia de cmce de ganancia ,f, = TJ, viene determinada por el polo
de la etapa de ganancia. Un mtodo alternativo para calcular el valor de condensador de compensacin se
Caractersticas del amplificador operacional 623

Figura 14.28. Representacin equivalente a la Fig. 14-276 en la que ta fuente gobernada depende de V,.

basa en el circuito de la Fig. 14.30. La fuente de serial g,V. /2 e~ la corriente de salida del amplificador
diferencial (Ejemplo 14-Z) y el amplificador de ta Fig. 14.30 es la etapa de ganancia. Como la ganancia
es grande, 1Vo I / V, 1 y en forma anloga al anlisis de las etapas del Amp-Op (Sec. 10-21). segn la
ley de Kirchhoff
h,>,V/ (14.26)
_ =: 1 = ,wc,v,,
2

Frccuncla Hr

~@ra 14.29.~~a~ramaa\mtt~codeBodemostrandolacumpensac,n del Amp-Opdet1po741. Laa~urvasalraroacorrepunden


al amplificador no compensado.

En la Ec. (14-26) se ha supuesto una excitacin senoidal. La frecuencia f, se determina cuando


/ V,jV(, / = 1. Resolviendo la Ec. (14. 25)
624 Microelectrnica moderna

RZ g,,,
fc = (14.27)
2T x 2c<- = ~4Kc

Tomando P el Amp-Op 741, gm = 0,38m~ (Ejemplo 14. 1) y C, = 30 pF, el clculo numrico de


la Ec. (14-27) nos da
0.38 x lo-
fc = = 1.01 MHz
471 x 30 x lo-

conu se ha indicado anteriormente. Este simple mtodo resulta til ya que f, es aproximadamente el
producto ganancia por ancho de banda del amplificador en lazo cerrado. Conociendo f, y T, podemos
calcular el valor del condensador de compensacin necesario a partir de la Ec. (14-26).

Ejemplo 143

Una etapa no inversora que emplea un Amp-Op tipo 741 se disea para que tenga una ganancia 10 en
lazo cerrado. Determinar oM y Q de este amplificador.

Para obtener la ganancia A,, = 10 en lazo cerrado emplearemos 1aEc. (10.101) que nos da

10=1+2 0 !L -9
RI
La relacin en retorno de la etapa a baja frecuencia es

La frecuencia de cace de la ganancia es:

fc; = T,,f, = 2 x IO4 x 5 = 100 kHz

Puesto que la fase de T (jo) no vara al variar T, el margen de fase se obtiene a partir de la Fig. 14.28
siendo 0, = 90. Haciendo uso de la F.C.(13-36) tendremos

Obsrvese que este valor de Q es notablemente inferior que Q = 41% = 0,287, valor necestio para
tener una separacin de una dkcada de los polos en lazo cerrado. Con Q = 0,287 y T, = 2x 1O4la separacin
necesaria en lazo abierto es n = TJQ = 2,42 x IO. La compensacin interna para A,, = 1 en la 741 se
convierte en sobre-compensacin cuando A, > I Es decir, que el amplificador en lazo abierto tiene menor
banda de la necesaria para tener la respuesta en lazo cerrado.
La compensacin adaptada permite al diseador seleccionar el valor de C, que cumpla los requeri-
mientos del circuito en particular que se.construya. Adems el diseador del circuito no queda limitado
al uso de la compensacin por efecto Miller, sino que puede emplear otras configuraciones de circuito
para alcanzar el margen de fase deseado.
Caractefsticas del amplijhnlor operacional 625

Consideremos un Amp-Op 741 no compensado en el que el terminal al que va conectado C,. (Fig.
14-27) sea accesible desde el exterior. Supongan+ que se desea una frecuencia de cruce de la ganancia
de 1 MHz (la misma frecuencia que la del compensado interiormente de ganancia unidad) para A,,=lO.
Entonces, tal como se ha determinado en el Ejemplo 14-3, T,, = 2 x 10. Puesto que la pendiente de la
caracterstica de magnitud de T(s) es de -20 dB/dcada para f < f,, se usa el diagrama asinttico de
Bode (Fig. 14-31) para obtener f, = 50 Hz (Sec. 134). Segn la Ec. (14-25) el valor necesario de C, es
1
CC = ~ = 2.4 pF
271 x 1.34 x 109 x 50

Figura 14.31. Diagnmaasintticode Bode (magnitud) paracompensaravoluntad un Amp-Optipo741,empleadoparateneruna


ganancia en mitad de la banda (lazo cerrada) de 10 (20 dB).

Obsrvese que este valor C, es mucho menor que el empleado en el Amp-Op compensado
interiormente, y con1, = 50 Hz aumenta el ancho de banda de T(s) (con un factor 10). Las ventajas de la
realimentacin negatwa alcanzan a un campo de frecuencias ms amplio en esta etapa que si se empleara
un 741 con compensacin interior para alcanzarA,, = 10.

Cancelacin polo-cero
Tambin se puede lograr el estrechamiento d - h-qda mediante la cancelacin polo-cero (Sec. 13-4).
626 Microelectrnica moderna

Esta tcnica se utiliza en el caso de que el diseador pueda ajustar las frecuencias de polos y ceros. LOS
circuitos de la Fig. 14-32 muestrar? dos mtodos mediante los que se puede introducir un cero en la funcin
de transferencia en lazo abierto. El anlisis de estos circuitos es el objetivo de los Prob. 14-32 y 14-33.
Para compensar un amplificador realimentado se. pueden emplear muchas otras configuraciones de
circuito. Para aumentar el ancho de banda de T(s) se usa una forma de red de compensacin que haga que
T(s) tome la forma
Tc, (1 + siw,)
(14-2X)
T(s) = (1 + siw,) (1 + s/w2)
La Fig. 14-33 es el diagrama asinttico de Bode de la Ec. (14-28), para la magnitud. La curva de trazos
en esa Figura muestra el grado en que T(s) se estrecha si se emplea compensacin simple, para tener ia
misma frecuencia de cmce. Observando el diagrama de Bode se ve claramente que el mayor ancho de
banda de T(s) es el de la Ec. (14-28).

14-9. RITMO DE VARIACIN

El valor de la capacidad C, usada para estabilizar el Amp-Op y tener la respuesta en luo celradu
deseada, se calcula mediante el anlisis de pequea seal. Tambin tiene importancia el funcionamiento
del Amp-Op cuando se le aplica una seal de entrada grande. El ritmo de variacin, defmido en la Sec.
14-16 como el ritmo mximo de cambio de la tensin de salida dV Jdtt,,,, seala la limitacin del Amp-Op
con gran sea1.
En muchas estructuras en dos etapas el ritmo de variacin es directamente proporcional al tiempo
necesario para cargar el condensador de compensacin. En la Fig. 14-30 se representa el modelo de
Amp-Op til para determinar aproximadamente el ritmo de variacin. La corriente I que excita la etapa
de ganancia es la salida de la etapa diferencial. Aplicando la ley de Kirchhoff tendremos

I = 1, CC $ (V,, V,) ( 14.29)

puesto que la ganancia de la etapa Colector-comn-Emisor-comn es elevada 1V, I 1 V, 1 e 1, puede


despreciarse comparada con 1. Por tanto
dV,>
I- -c, t (14.30)
Caructersticas del amplifcador operacional 621

La mxima corriente que puede suministrar la etapa diferencial es el doble de la de colector en reposo
observada en la caracterstica de transferencia del par en emisor acoplado (Fig. 3-38). As

C5 = Ritmovariacin= ?!k (14.31)


dr Cc-
Para un Amp-Op 741 (/<-= 9,5 pA y C, = 30 pF) el ritmo de variacin es de 2 x 9,5/3O = 0,63 V/ps.
Substituyendo la Ec. (14-27) en la (14-31) resulta

Ritme variacin = % .f,; (14.32)

y como ,g,, = /,/V ,, la Ec. (14-32) se convierte en

Ritmo variacin = XrV,f,, C14.33)

En la Ec. (14-33) se observa. que el ritmo de variacin aumenta al aumentarfG, la frecuencia a media
banda con ganancia unidad del Amp-Op. Sin embargo el crecimiento def, est limitado por la respuesta
en frecuencia de los transistores empleados. En un Amp-Op de dos etapas tal como uno de tipo 741, slo
se puede aumentarf, marginalmente puesto que& del transistor lateral pnp es del orden de 5 a 10 MHz.
Normalmente se consigue un aumento apreciable de& empleando estructuras de tres etapas. El National
Semiconductor LM118 es uno de tales amplificadores conf, = 15 MHz y ritmo de variacin de SOV/ks.
Tambin se puede mejorar el ritmo de variacin disminuyendo gm para una f, dada. Hemos visto
anteriormente que una resistencia de emisor disminuye la ganancia de una etapa en emisor comn (Sec.
10-l 1). En consecuencia el valor efectivo de gmpara la etapa se reduce para una corriente de polarizacin
dada resultando as aumentado el ritmo. El empleo de una resistencia de emisor en la etapa de entrada de
un Amp-Op 741 mejora el ritmo de vaiacin en por lo menos un orden de magnitud.

Efecto del ritmo de variacin sobre una seal de entrada


Consideremos una etapa de Amp-Op de ganancia unidad y no inversora poltizada con f15V de
suministro, ala que se le aplique una tensin de entrada en escaln de 15V. El Amp-Op tiene un ritmo de
variacin de 0,5V/ps. Como la tensin de salida Vo no puede variar en ms de 0,5V/ps, la ondade salida
ser como la de la Fig. 14-34. La salida Vo no alcanza los 15V, que es el valor esperado, hasta que hayan
transcurrido 30~s.
Consideremos ahora que la tensin de entrada V, a esta etapa de Amp-Op es 1, = V,,, sen ox, Sin
limitacin en el ritmo Vo = Vmsen uf, y

Figura 14.34. Respuesra de un Amp-@ a una entrada de tensin en escaln ancha ewdenc,mdo el rimo dr vanaabn.
28 Microelecrronica moderna

f!!L- WV,, cos wt (14-34)


dt -

El mximo valor de dVJdt se alcanza en el cruce por cero de la seal de entrada, es decir, cuando cnt
= n siendo n = 0, 1,2... As
dV,,
= WV,, (14.35)
dt max

Una reproduccin fiel de esta senoide requiere que wVm sea igual o menor que el rihno de variacin.
CO* Vm= 15V y unritmo de 0,7V/ps tendremos: w = ritmovaiacin/V~, = 0,5 x 106/15 = 3,33 x I04rad/s
o f= w/2r = 5,31 kHz como frecuencia mxima de la seal de entrada que puede amplificarse sin
distorsin. La onda de la Fig. 14-35 es la consecuencia de aplicar una seal de entrada senoidal de 15V,
cuya pendiente mxima es mayor que la del ritmo de variacin. Obsrvese en dicha figura la distorsin
que aparece en la proximidad del cruce por cero de la onda de entrada.

14-10. CIRCUITOS BIFET Y BIMOS

La implantacin de iones (Sec. 5-2) hace compatible la fabricacin de JFETs (o MOSFETs) y BJTs
en un mismo chip. El trmino tecnologa BIFET (o BIMOS) se emplea comnmente para circuitos
integrados fabricados por este procedimiento.
Todo Amp-Op BIFET (o BIMOS) emplea FET en la etapa de entrada y BJT en las restantes. Tales
amplificadores con etapas de entrada JFET fueron introducidos primeramente en los aos 70. Hacia
mediados de los 80 se produjeron comercialmente circuitos BIMOS. Las etapas diferenciales de transis-
tores de efecto campo tienen varias ventajas respecto a las etapas de entrada BJT: mayor resistencia de
entrada del modo diferencial, menor corriente de entrada y por tanto menores corrientes offset, y mayores
ritmos de variacin.
La resistencia puerta-fuente de un FET (casi circuito abierto) comparada con rx de un BJT explica la
muy alta resistencia de entrada. Frecuentemente las etapas diferenciales FET tienen resistencias de entrada
de ms de cuatro rdenes de magnitud superiores alo que se.puede conseguir con BJT.
La corriente de polarizacin de entrada de un JFET es la corriente. inversa de saturacin I,, de la unin
puerta- cnal con polarizacin inversa. Normalmente esta corriente es mucho ms pequea que la corriente
de base de un BJT polarizado para tenerl, = In ComoIjQ = I,,, es muy pequea, la corriente offset causada
Caractensticas del amplificador operacional 629

por desajustes del circuito es tambin muy inferior alas que nacen en los circuitos BJT. El uso de etapas
diferenciales MOSFET reduce an ms estas cantidades ya que la corriente de fuga a travs del xido de
puerta es muy inferior a I,,, del JFET.
Para un valor dado de la corriente de drenaje I,, el valor de gm en un FET es inferior al de un BJT
polarizado a 1, = 1,. As, como se ve en la Ec. (14-32) una reduccin de g+ para un valor dado de fG,
aumenta el ritmo de variacin. Este valorrebajado de gm se convierte generalmente en una menor ganancm
del modo diferencial A, en una etapa FET, comparado con los valores de A,, conseguidos con circuitos
BJT. Par superar esta limitacin, los Amp-Op BIFET y BIMOS emplean muchas veces unas estructuras
de tres etapas tales como los descritos en la siguiente Seccin. Las caractersticas del Analog Devices
AD61 l figuran en la Tabla 14-2.
Una ventaja adicional de las etapas de entrada FET es su menor ruido lo que es debido al hecho de que
los FET son de por s menos ruidosos que los BJT.

14-11. AMPLIFICADORES OPERACIONALES DE TRES ETAPAS


La mayor parte de Amp-Op de alta frecuencia y BIFET (BIMOS) utilizan tres etapas (una etapa de
entrada amplificadora diferencial y dos etapas de ganancia) adems de la etapa de desplazamiento de nivel
y la de salida de seguidor de emisor. La Fig. 14-36~ muestra la estmchxa tpica, y la Fig. 14.36b es el
grfico del recorrido de la seal en este amplificador. Obsrvese que esta estroctora es similar a la de
630 Microelectrnica moderna

mltiple lazo nido de la Fig. 12-42. Con tres etapas que proporcionan la ganancia en lazo abierto, puede
reducirse g de la etapa diferencial para mejorar el ritmo de vtiacin [Ec. (14-32)]. Adems, la estructura
de realimentacin multi-lazo de tres etapas se puede disear para tener mayores valores de la frecuencia de
cruce& que los que se obtienen en los Amp-Op de dos etapas. As, queda incrementado tanto el ritmo de
variacin como la banda con ganancia unidad.
Como cada etapa contribuye con un polo dominante en el amplificador en lazo abierto, se dificultan
la estabilizacin y la compensacin. Tanto las redes de realimentacin f, y f, como el circuito de
alimentacin directa ad sirven para compensar el amplifkxdor. Clsicamente, cada uno de estos circuitos
es una red RC, prefetiblemente al solo condensador empleado para compensar un amplificador de dos
etapas. El lazo de realimentacin conjuntof, alrededor de ambas etapas de ganancia se emplea para obtener
un polo dominante en la funcin de transferencia en lazo abierto. El lazo de realimentacin interiorf, se
disea para hacer que el polo de la segunda etapa de ganancia sea el polo no dominante del amplificador.
Con la red de alimentacin directa se introduce un cero en la funcin de transferencia del amplificador.
El desplazamiento de fase positivo de este cero mejora el margen de fase y ayuda a estabilizar el
amplificador. Adems, el desplazamiento de fase positivo tiende a aumentar la frecuenciaf, de cruce-de
ganancia (Prob. 1+.33). El LM118 de la National Semiconductor* es un Amp-Op de tres etapas con
banda de frecuencia con ganancia unidad de 15 MHz y un ritmo de variacin de 5OV/ps. En la Tabla 14-2
figuran los datos relativos a los Amp-Op descritos hasta aqu en este captulo

14-12. OTROS TIPOS DE AMPLIFICADORES OPERACIONALES


En los Amp-Op disponibles comercialmente se emplean otras varias configuraciones. En esta Seccin
describiremos tres de ellas: la estructura de una sola etapa, el amplificador de instrumentacin, y el
amplificador operacional de transconductancia (OTA).

Estructura de etapa nica


Empleando una estructura de etapa nmca se puede construr un Amp-Op de alta velocidad con ritmo
de variacin de 5OV/ks y ancho de banda. a ganancia unidad de 15MHz. Para alcanzar este resultado se
emplean configuraciones de circuito relativamente simples, pero ello supone procesos de fabricacin muy
complejos.Porelcontrario,laconfrguracindeuncircuitocomplejodetresetapasseapoyaenlosprocesos
normales de fabricacin de circuitos integrados. El Amp-Op de etapa simple consiste en una etapa de
entrada diferencial, un desplazador de nivel y una etapa de salida como en la Fig. 14-37. La estructura de
la etapa diferencial est representada en la Fig. 14.38 en la que el elemento activo es un par de transistores
npn en conexin Darlington. La carga consiste normalmente en transistores pnp en conexin cascodo
excitando una carga npn tambin en conexin cascodo. Tal como se describi en la Sec. ll-l 1 la
configuracin cascodo da un mayor ancho de banda para una ganancia dada que la que da una etapa cl1
emisor comn. Esta disposicin es la que proporciona un mejor funcionamiento a alta frecuencia. Pru
Caracterkticas del amplificador operacional 631

ello se necesitan transistores pnp de alta frecuencia, excluyendo por tanto los dispositivos laterales pnp.
La mayor complejidad del proceso de fabricacin procede de la necesidad de fabricar transistores ynp con
valores de p,, p, y j,cnmpa~ables a los de los dispositivos npn. Un inconveniente de estos Amp-Op consiste
en que la ganancia con lazo abierto y baja frecuencia es del orden de 10 veces menor que la alcanzable
con circuitos de dos y de tres etapas (tpicamente 80 dB en comparacin con 100 dB indicados en la Tabla
14-2).

Amplificadores de instrumentacin
Los transductores son dispositivos que convierten una magmtud fsica y sus vanacmnes en una seat
elctrica. Como ejemplos de transductores se pueden citar las galgas de espesores, los pares termoelctri-
cos y otros. Cada uno de estos transductores engendra una pequea seal de diferencia que normalmente
debe amplificarse. Los amplificadores de instrumentacin dan una salida que es mltiplo preciso de la
diferencia entre dos seales de entrada.
Se puede formar un sencillo amplificador de instrumentacin mediante un Amp-Op como se ve en la
Fig. 14-39. Por superposicin, y suponiendo que la corriente de enuada al Amp-Op es despreciable
tendremos

Si R,/R, = R, IR2 tendremos

v,, = 2 (V2 V,)

Entrada
inversora
Si las seales V, y V, tienen resistencias de fuente Rs, y R,z, estas resistencias se suman a R, y R,
respectivamente.
Obsrvese que la fuente de seal V, ve una resistencia R, + R, = 10lkQ. Si V, = 0 la entrada inversora
est a la tensin de tierra y por tanto V, queda cargado por R,. Si esto es una carga excesiva para el
transductor se puede poner un compensador de alta resistencia precediendo cada entrada de la Fig. 14-39.
El sistema de tres Amp-Op resultante en la Fig. 14-40 es un amplificador de instrumentacin de continua,
con muy alta resistencia de entrada y una relacin de rechazo del modo comn mejorada. (Ya que en un
chip pueden ir dos, tres o cuatro Amp-Op, el costo de esta configuracin es reducido.)
Es fcil demostrar que la ganancia de cada separador Al y AZ es igual a la unidad para la tensin de
modo comn, pero que es alta para la seal diferencia. Como la tensin entre los terminales de entrada
del amplificador es casi cero, el nudo superior de R est ala tensin V, y el nudo inferior de esta resistencia
a V,. Si consideramos una seal de modo comn, V, = V, y la tensin a travs de R es nula. Por tanto, no
hay corriente porR ni por R. En consecuencia, V, = V, y v , = V, y Al y A2 actan como un amplificador
de ganancia unidad. No obstante, si Vl#V2 pasa corriente porR y R y V, V, >V, yr As, la ganancia
diferencial y la relacin de rechazo del modo comn del sistema de dos etapas han creado por encima del
circuito de etapa nica de la Fig. 14-39. Prosiguiendo este anlisis (Prob. 14-38) tendremos

(14.38)

Figura 14.40. Amplificador de insuumentacin meJorado.


Caractetiticas del ampltJkador operacional 633

Obsrvese que la ganancia diferencial puede variarse utilizando para R una resistencia ajustable.
El sistema consistente en solo Al, A2, R y R es un amplificador con doble salida (amplificador de
salidadiferencial). Evidentemente V, - V, = (1 + 2RlR) (V, - V,).
Tambin existen disponibles en el mercado amplificadores para instrumentacin monolticos (en un
solo chip). Estos estn disetiados para que tengan una resistencia de entrada diferencial muy alta (>lOO
m) y una relacin de rechazo del modo comn extremadamente elevada (del orden de 120 dB). Se
necesita la resistencia de entrada diferencial para minimizar los efectos de la carga tanto en el amplificador
como en el sistema de medicin. Como es frecuente el caso de amplificar seales diferenciales muy
pequeas (= 10 KV) en presencia de seales de modo comn relativamente altas (del orden de 1V) se.hace
indispensable que los amplificadores de instrumentacin tengan una relacin de rechazo del modo comn
de valor extremadamente elevado.
Contrariamente a los circuitos de las Figs. 14-39 y 14-40, los amplificadores de instrumentacin
monolticos se disean para trabajar en condiciones de lazo cerrado, es decir, sin realimentacin global.
La ganancia del amplificador la determina la razn entre dos resistencias R, y R, llamadas de ganancia y
de senridorespectivamente. Estas resistencias exteriores de precisin se conectan paraque queden situadas
en el amplificador y aisladas rcspecto al circuito de entrada. En consecuencia R,yy R, no cargan la fuente
de la seal de entrada y se pueden ajustar para tener ganancias entre 1 y looO.
+vCC-
P

R1 Ri R3 R4

+ QV
ym
0 Tierra0
tensinde
referencia
H
-
Y
/4
1
Y

d
cfi
Figura 14-41.Amplificadorde instrumentacinmonoltico (Analog DevicesAEX21j.

El AD 521 de Analog Devices es un amplificador de instrumentacin monoltico clsico cuya


configuracin tiene la forma mostrada en la Fig. 14-41. Las corrientes de referencia de las fuentes de
634 Microelectrnica moderna

corriente emparejadas I,, 1,. 1, e :, las generan las salidas del amplitica.dorA,. Con yj? = 0, es decir, cuando
la seal diferencia es nula y con la condicin de modo comn, el circuito est equdtbrado con 1, = 1, = 1,
= 1,. Las entradas a A, son iguales por estar equilibrado resultando que Vo = 0. La aplicacin de una seal
diferencia V,@ hace que las corrientes de emisor en Ql y Q2 estn desequilibradas. Aplicando la ley de
Kircbhoff al lazo que comprende Vjn, R, y las uniones emisor-base de Ql y Q2 tendremos

v,. + VE, + ICPG - VB,, = 0 (14.39)


Puesto que V,, = VB=, despejando Z, de la Ec. (14-39)

,, = !!l! (14.40)
RG
El desequilibrio vara las seales de entrada a A,, cambiando la corriente de referencia alas fuentes de
corriente 1, e 1,. Las conexiones de salida de A, son tales que cuando se produce un desequilibrio, la salida
tiende aajustarse porsmisma y hacerquel,, = f,.,. Ladiferenciaentrel, eI? es, segn la ley de Kirchhoff,
la corriente IG en la resistencia de ganancia R,. Anlogamente, las fuentes de corriente I, e 1, estn
desequilibradas y existe una corriente diferencia l,Ten la resistencia R,
La actuacin de A, cuando se desequilibra el circuito es semejante a la de A,; as la salida de A, tiende
a hacer I, = 1,. Puesto que Vo es la diferencia entre las tensiones de base de Q3 y Q4,
V<, = [AR, (14.41)
Lasco~entesl,eI,sonigualesaconsecuenciadeemplearfuentesdecorrientediferencialemparejadas.
Combinando las Ecs. (14-40) y (14-41) se tiene

Evidentemente, ajustando ia relacin entre resistencias se pueden conseguir distintos valores de A,

El Amplificador de Transconductancia Operacional (OTA)


Un convertidor de tensin a corriente (Sec. 12-l) es un amplificador que da una corriente de salida
proporcional auna tensin de entrada. Ala constante de proporcionalidad se le llama transconductancia
del amplificador. Un OTA es un amplificador en un solo chip en el que la transconductancia est gobernada
mediante una resistencia conectada exteriormente.
La Fig. 1442 representa un circuito OTA simple en el que los transistores Ql y Q2 forman un pu
diferencial. Las corrientes de colector de Ql y Q2 son las corrientes de referencia de las fuentes
complementaias (Q7-Q8 y Q9-QlO) que excitan la carga. La transconductancia variable de la etapa est
gobernada por la resistencia exterior R, y la tensin de suministro V,. El ajuste de estos valores determina
la corriente de referencia/, par~bfuentedeconiente Q3 y Q4. Puesto queI<, = 1, las corrientes de colector
son 1, , = I,, = I,/2 lo que hace g,, = firn2 = g,,, = IR/ 2V,. Las corrientes de colector Ic, e I,, son gm V,,/2 y
-g,,,V,,/2 respectivamente. Estas corrientes de referencia hacen que l,,, = I<, = gmV,,/2 y por tanto
l,, = g,,un = I,V,,)2V,. Evidentemente, variando R,, V, o ambas se pueden modificar la ganancia de la
etapa. Adems, si R, R,, de las fuentes de corriente de salida, entonces

(14.43)

lo que significa que la ganancia de tensin del circuito se controla por la corriente de polarizacin 1,.
Caractenkticas ~1 amplijicador operacional 635

14-13. AMPLIFICADORES OPERACIONALES MOS

Los Amp-Op Metal-Oxido-Semiconductor se emplean en aplicaciones integradas a gran o muy gran


escala. en las que funciones analgicas y digitaies del circuito se cumplan en un mismo chip. Las
aplicaciones tpicas del Amp-Op MOS son los convertidores analgicos a digital (A/D) y digital a
analgico (D/A) (Sec. 16-5) y los filtros activos (Sec. 16-16) empleados en el procesado de seales
digitales. Actualmente no se dispone de Amp-Op MOS encapsulados ya que sus cualidades son en general
inferiores a las de los circuitos bipolares. Sin embargo, estas cualidades inferiores son suficientes en
muchas aplicaciones, y se tiene la vent+ de la gran densidad de componentes alcanzable con la tecnologa
MOS.

Circuitos NMOS
La estructura bsica del Amp-Op NMOS representada en la Fig. 14-43 es un derivado de la de dos

Figura 14.43. Disposicin clsica de un Amp-Op NMOS


636 Microeleclrnica moderna

etapas descrita en la Sec. 14- 1. En parte, la modificacin de la configuracin del circuito es debida al hecho
de no disponer de dispositivos complementarios. Por tanto no se pueden emplearen los Amp-Op bipolares
circuitos an&logos a la etapa de salida seguidora de emisor complementaria y a la carga activa pnp
La etapa diferencial de entrada de la Fig. 14-44 emplea como carga activa fuentes de corriente NMOS.
Como puede verse en las Figs. 14-43 y 14-44, se tiene una salida diferencial, alimentando cada salida un
seguidor de fuente. El desplazamiento de nivel es debido a los seguidores de fuente; las salidas de estas
etapas forman la seal de entrada a las etapas de ganancia y de salida.

+vD

Al seguidor de fuente 1

Al seguidor de fuente 2

La ganamxa de tensin que se puede consegw con las etapas diferencial y de ganancia es poca, debido
al reducido valor de gm en un transistor NMOS (comparado con el de un BJT con la misma corriente de
reposo). En consecuencia, la etapa de salida proporciona alguna ganancia de tensin, de forma que la
ganancia en lazo abierto del Amp-Op resulta adecuada (de 1000 a lO.ooO). Obsrvese que estos valores
son por lo menos 10 veces inferiores a los que se obtienen en circuitos BJT. La compensacin la
proporcionaelcondensadorc, (efecto Miller) conectado entre la salida y laentrada de laetapa de ganancia.
La alimentacin directa a travs del seguidor de fuente 2 ayuda a la estabilizacin del amplificador e
incrementa el margen de fase en forma muy parecida que en el Amp-Op de tres etapas (Sec. 14-l 1). Se
necesita la alimentacin directa para minimizar los efectos del desplazamiento de fase introducido por la
etapa de ganancia en s = g/C ~. Debido al bajo valor de gm el cero se localiza en las proximidades de la
frecuencia de cmce de la ganancia; por tanto, no se puede despreciar su desplazamiento de fase. (Para gm
= 0,5 mu y C, = 20 pF, la frecuencia del cero es de aproximadamente 4 MHz. Si el ancho de banda de
ganancia unidad ha de ser de entre 1 a 5 MHz, evidentemente se deber tener en cuenta el desplazamiento
de fase del cero.)

Amp-Op CMOS
El inconveniente de la falta de dispositivos complementarios en tecnologa NMOS se solventa
empleando circuitos CMOS. La Fig. 14-45 muestra una forma simplificada de Amp+ CMOS tpico.
Caractersticas del amplificador operacronal 637

I Tal como se ve en la figura, se emplea una simple estructura de dos etapas consistente en una etapa
diferencial de entrada y otra de ganancia. Esta ltima sirve tambin de etapa de salida del Amp-Op.
Las fuentes de corriente Z,, Z, e 1, son fuentes PMOS similares ala de la Fig. 10-15. Los transistores
Ql y Q2 son los elementos activos de la etapa diferencial. La fuente de corriente NMOS formada por Q3
y Q4 sirve como carga activa de la etapa. La etapa de ganancia comprende QS y su carga activa suplida
por la fuente de corriente 1,
El amplificador se compensa con el condensador C. Este condensador se conecta a la salida por medio
del seguidor de fuente (Q6 y su carga activa 1,). Puesto que la ganancia del seguidor de fuente es prxima
a la unidad, C queda efectivamente conectado entre la salida y la entrada de la etapa de ganancia. Por
tanto, en la salida de la etapa diferencial el efecto de C es el de capacidad de entrada Miller de la etapa de
ganancia. Sin embargo, el efecto del seguidor de fuente es aislar C de la salida como representa la Fig.

Seguidor de fuente buffer


de aanancia unidad
638 Microelectrnica moderna

14-46. Debido ala naturaleza unilateral del seguidor de fuente (de ganancia unidad), el cero en la funcin
de transferencia tiene lugar en - gJCfd en vez de gJ(C#, + C). Puesto que C>Cxd la frecuencia del cero
est ms all de la frecuencia de cruce de la ganancia y el efecto del desplazamiento de fase introducido
por el cero es mnimo.
La actuacin del Amp-Op CMOS es comparable a la del Amp-Op NMOS. Los Amp+ CMOS tienen
una relacin de rechazo del modo comn algo inferior y un ritmo de variacin algo superior que los de
los circuitos NMOS. No obstante, la tecnologa CMOS puede igualar el funcionamiento de los circuitos
Amp-Op bipolares disponibles comercialmente.
La resistencia de salida de los Amp-Op tanto CMOS corno NMOS es mayor que la que se obtiene en
circuitos BIT. Esto es debido en primer lugar al hecho de que la salida de los Amp-Op MOS es la salida
de la etapa de ganancia en fuente comn en vez de la salida del seguidor de emisor en circuitos bipolares.
Puesto que el principal uso de los Amp-Op MOS es el de excitar otros circuitos MOS de resistencia de
entrada muy alta, la moderada resistencia de salida afecta muy poco sobre el funcionamiento..

REFERENCIAS

1 Grebene, A.B.: Bipolar and MOS Analog Integrated Circuit Des&, John Wiley and Sons, Nueva York,
1984.
2 Fray, P.R., y R.G. Meyer: Analysis and Design of Analog Integrated Circuits, 2ed., John Wiley and Sons,
Nueva York, 1984.
3 Soclof, S.: Analog Integratcd Circuits, Prcntice- Hall, Englewood Cliffr, N.J., ,985.
4 Ghausi, M.S.: Electronic Devices and Circuits: Discrete and Integrated,Holt, Nueva York, ,985
5 Hamilton, D.J., y W.G. Howard: Basic lntegrated Circuit Engineering, McGraw-Hill Book Company,
Nueva York, 1975.

6 Sedra, A.S., y K.C. Smith: Microelectronic Circuits, Holt, Nueva York. 1981.
7 Solomon, J.E.: The Monolithic Op.Amp: A Tutorial Study, IEEE Journal uf Solid-State Circuito, val. SC-~,
pp. 314-332, Diciembre 1974
LI G~Y, P.R., D.A. Hodges, y R.W. Broderson (eds.): Analog MOS Integrated Circuits, IEEE Press, Nueva
York, 1980.

Y Brokaw, A.P., y M.P. Timko: An Improved Monolithic Insrrumentation Amplifier, IEEE J. Solid-Srure
Cin uifs. val. Sc-lo, pp. 417.423, Diciembre 1975.

IU Roberge, J.K.: Operational Amplifiers: Theory and Practice. John Wiley and Sons, Nueva York, 1975.

TEMAS DE REPASO

14-1. Por qu es deseable que un Amp-Op tenga una elevada relacin de rechazo del modo comn?
14-2. (a) Dibujar el diagrama de bloques de un Amp-Op de dos etapas.
(b) Explquese la funcin de cada bloque.
14-3. (a) Esbozar el esquema del circuito de un par diferencial con caga activa.
(b) iQu& ventajas resultan del uso de una carga activa?
14-4. (a) iQu es la resistencia de entrada diferencial de un par de emisor acoplado?
(b) iCmo depende esta resistencia de la corriente de reposo?
14-5. Indicar dos mtodos mediante los que se pueden obtener resistencias de entrada del AmpOp muy altas.
14-6. (a) Mostrar dos formas de circuitos de desplamtniento de nivel usando un seguidor de emisor.
(b) iCul es la expresin del desplazamiento en cada circuito?
14-7. Dibujar el circuito de un multiplicador VBE y explicar su funcionamiento.
14.8. Por qu se emplea un seguidor de emisor complementario ccmm etapa de salida?
14-9. (a) Dibujar un circuito seguidor de emisor complementtio simple.
(b) Explicar por qu este circuito acusa distorsin de cruce.
(c) iCmo se puede modificar el circuito del apartado a para suprimir la distorsin?
14.10. Definir: (a) corriente de poltizacin de entrada, (b) corriente offset de entrada (c)tensin offset de entrada
(d) tensin offset de salida y (e) variacin de la tensin offset de entrada.
14-11. Cuties son las ventajas e inconvenientes relativos ala compensacin interna y ala adaptada?
14-12. Por qu se emplea la compensacin por el efecto Miller para compensar un Amp@?
14-13. Mostrar dos circuitos que permitan la cancelacin de polo-cero.
14-14. (a) iQu se entiende por compensacin polo-cero?
(b) Cules son las ventajas e inconvenientes de esta tcnica?
14-15. (a) Definir cl ritmo de variacin de la tenrin offset de entrada.
(b) iCmo limita ste la respuestade un Amp-Op?
14-16. Describir cmo se puede mejorar el ritmo de variacin de un Amp-Op.
14-17. Esbozarel diagrama de bloques de un Amp-Op con estruchtra de tres etapas.
14-18. Explicar por quk muchos Amp+ de tres etapas tienen dos circuitos de realimentacin y uno de alimentacin
directa.
14.19. iCules son las ventajas e inconvenientes de una estructura de tres etapas?
14.20. Repetir el Tema anterior para una estructura de una sola etapa.
14-21. (n) Dibujar el esquema de circuito de un amplificador de instrumentacin stmple.
(b) Escribir una frmula de la tensin de salida de este circuito en funcin de la tensin de entrada Y de las
resistenciasdel circuito.
14-22. Comp6xse la composicin y funcionamiento de un Amp-Op monoltico con el del Tema 14.21a.
14-23. (a) i.Ou se entiende sor amoliticador otxracional de transconductancia?
(b) ~&no se controla el f&ionamien& de este amplificador?
14-24. (n) Esbozar la estructura de un Amp-Op NMOS.
(b) Ctense tres razones por las que se emplea esta estructura.
14.25. Repetir el Tema anterior para un Amp-Op CMOS.
14.26. iQu se entiende por amplificador BIMOS o BIFET?
CUARTA PARTE

Procesado de seales
y adquisicin de datos

IA ,lall~lll,\,u,I, mxpcin y proceadodela infrnracinen formadesealesellictricascsrltuye


la base de los sistemas elcctrnico$ modernos para el control, comunicacin y clculo. Muchos de
estos sistemas emplean seales tanto analgicas como digitales para desarrollar sus funciones.
Evidentemente se necesila una variedad de formas de onda distintas de seal. Adems. la fomu de
cas seales (amplitud, fase. frecuencia, duracin, tiempo de subida, etc.) debe ser apropiada a su
aplicacin especfica para tener un procesado efectivo. En los dos captulos de esta parte del libro
trataremos un cierto nmero de circuitos empleados en la generacin y procesado de seales. El
Capitulo 15 trata de la generacin y conformacin de ondas. Incluye oscilaciones senoidales,
generadores de reloj (onda cuadrada) y generadores de la base de tiempos. En el segundo captulo
de csta parte se describirn los convertidores de datos analgicos a digitales (AID) y de digitales u
analgicos (DIA). Adems \c comentarn circuitos de acondicionamiento de seales tales como IOS
amplificadore logartmicos. integradores, multiplicadores, y filtros activos. Los circuitos tratados
en ehta seccin utilkan los bloques constructivos bsicos (puertas lgicas. Amp-Op. conmutadores,
etc.) descritos en Iah anteriores parra del libro.

.
Generacin
y conformacin
de ondas

Existen tres formas bsicas de ondas ampliamente utilizadas, que son: la senoidal (generacin de
frecuencias), la onda cuadrada (funcin reloj) y la en rampa (generacin en una base de tiempo). En este
captulo veremos los osciladores, multivibradores y circuitos en dientes de sierra empleados en la
generacin de tales formas de onda.
Se introduce el comparador como bloque constmctivo bsico y el disparador Schmitt (comparador
regenerativo) que se emplea para generar una variedad de formas de onda.

15-l. OSCILADORES SENOIDALES

En la Seccin 13-2 vimos que si se introduca suficiente desplazannenro de fase en el lazo de


realimentacin cuando la ganancia del lazo era mayor que la unidad, el amplificador realimentado perda
laestabilidad,es decir, oscilaba. Bajo estascircunstancias los polosen lazocerradopasabanal semi-plano
derecho no necesitndose ninguna excitacin para producir una salida. Si los polos en lazo cerrado se
pueden situar sobre el ejej, la respuesta natural del sistema es una senoide cuya frecuencia es la del polo.
Esta idea constituye la base de los circuitos osciladores senoidales. Es decir, un oscilador senoidal es un
amplificador realimentado diseado para que tenga polos en lazo cerrado, sobre el eje j, a una frecuencia
igual a la de salida deseada.
Para aclarar el concepto de oscilador consideremos el grfico del recorrido de la seal de la Fig. 15-1~.
Este grfico es el bsico de un amplificador realimentado de un slo lazo (Sec. 12-3) antes de cerrar el
lazo (la conexin entre X<, la salida de la red suma, y !&,, la entrada a la red amplificadora est abierta). Si
X, = 0 el grfico del sistema ser el de la Fig. 15.lb. Apliquemos ahora una seal X, = 2, directamente
al amplificador. A consecuencia de esta setial la salida del amplificador ser X,, = t,$,. La salida de la
red de realimentacin es X = t,-J, = f,~& = fi siendo 7 la relacin de retorno del amplificador. Supon-
gamos que las cosas se a&an de forma que la skial XC sea idntica a la seal de entrada x, aplicada
644 .Microelectrnica moderna

exteriormente. Puesto que el amplificador no tiene forma de distinguir la fuente de la seal de entrada
aplicada, suceder que si se elimina la fuente exterior y se conecta el punto X con el 2c el amplificador
continuar dando la misma seal de salida X0 de antes. Obsrvese que, naturhmente, decir que X = %
significa que los valores de X, y de X< = $< son exactamente iguales en cualquier momento. La con&cin
X, = ,?, equivale a-T = 1; la relacin de retorno debe ser ipul a menos uno.

Criterio de Barkhausen
En una onda de salida senoidal, la relacin X< = .% equivale a la condicin de que amplitud, fase y
frecuencia de Xc y de .k< deben ser idnticas. Por tanto, surge el importante principio siguiente: La
frecuencia a la que funciona un oscilador senoidal es la frecuenciafO para la que

T(j276,) 1 (15-l)

Tambin puede expresarse la condicin de oscilacin senoidal como


7(.j2rrf;J = I TC.;27if;,) = - 180 (15.2)
0
Parte real T(.j?~~,,I -= I Parre~magmar~a T(j27rj,,) = 0 (15-3)

Las Ecs. (151) a (15-3) indican que para que un circuito sostenga la oscilacin deben cumplirse dos
condiciones:

1. El desplazamiento de fase a travs del amplificador y de la red de realimentacin debe ser de 360
(o 2nn radianes). Recurdese que la definicin de T incluye un signo menos, lo que equivale a un
desplazamiento de fase de 180.
2. Las ganancias del amplificador y de la red de realimentacin deben ser iguales a la unidad.

A la condicin de que T (iw) = -1 se le denomina criterio de Barkhausen. Esta condicin es consistente


con nuestro anlisis de los amplificadores realimentados para los que A, = A,,,, (1 + 7-). Con T = 1, A,
tiende a infinito, lo que puede interpretarse como que existe salida an en ausencia de setial aplicada
exteriormente. En el Cap. 13 se describieron tcnicas de compensacin para evitar oscilaciones y para
asegurar que T (ita) <l cuando LT (iw) = -180. As, el criterio de Barkhausen es equivalente a decir que
tanto el margen de fase como el de ganancia son cero. En consecuencia, las frecuencias de cruce de fase
y de ganancia son iguales. La frecuencia de oscilacin es la frecuencia en la que QM = 0.

I Consideracionesprcticas
Refirindonos a la Fig. 15-l se ve que si 1T 1 ala frecuencia del oscilador es precisamente la unidad,
entonces, con la seal de realimentacin conectada a los terminales de entrada, la supresin del generador
exterior no introduce diferencia alguna. Si 1T 1 es menor que la unidad (margen de ganancia positiva) la
supresin del generador externo supone el cese de las oscilaciones. Pero supongamos ahora que 1T 1 es
mayor que la unidad. Entonces, si por ejemplo aparece en los terminales de entrada una seal inicial de
1 V, despus de una excursin por el lazo regresando a los terminales de entrada, aparecer ah con una
amplitud superior a 1 V. Esta tensin mayor reaparecer con tensin an ms alta, y as sucesivamente.
Generacin y confomacin de ondas 645

Parece pues, que si 1T / es mayor que la unidad, la amplitud de las oscilaciones ir creciendo sin lmite.
Pero naturalmente, este crecimiento puede proseguir nicamente mientras no se vea limitado por el
funcionamiento no lineal de los elementos relacionados con el amplificador. Esta no liiealidad se hace
ms evidente a medida que crece la amplitud. La influencia de la no linealidad para limitar la amplitud de
las oscilaciones es esencial en el funcionamiento de todos los osciladores prcticos como veremos
seguidamente. La condicin de que 1T 1 = 1 no supone una zona de valores aceptables de 1T 1 sino ms
bien un solo y preciso valor. Supongamos ahora que inicialmente fuese posible satisfacer esa condicin.
Luego, debido a los cambios de caractetisticas de los componentes del circuito, y especialmente de los
transistores, debidos al envejecimiento, temperatura, tensin, etc., si se deja el oscilador a s mismo, en
muy poco tiempo, 1T 1 pasar a ser, o bien ms pequeo o ms grande de uno: en el primer caso,
simplemente se parar la oscilacin, y en el segundo, volvemos a estar en el caso de tenemos que valer
de la no linealidad para limitar la amplitud. Un oscilador en el que la ganancia del lazo sea exactamente
la unidad es una utopa completamente irrealizable en la prctica. Por tanto es necesario, al ajustar un
oscilador prctico, arreglarse para tener un I T I algo mayor que la unidad (por e. 5 %) a fm de asegurarse
de que por una variacin incidental de los parmetros del circuito no caiga 1T I por debajo de la unidad.
Mientras que los dos principios citados antes deben satisfacerse por consideraciones puramente tericas,
se puede aadir un tercer principio general dictado por consideraciones prcticas, y que es: En todo
osciladorprctico la ganancia del lazo es ligeramente mayor que la unidad, estando limitada la amplitud
de oscilacin por el inicio de la no linealidad.

15-2. OSCILADOR DE CAMBIO DE FASE

Hemos elegido el oscilador de cambio de fase (Fig. 15-2) como primer ejemplo por consUtmr un
ejemplo muy sencillo de los principios antes citados. Un amplificador JFET de componentes discretos
seguido de tres clulas en cascada formadas por un condensador C y una resistencia R, con la salida de la
ltima combinacin RC reenviada a la puerta. Si se puede despreciar la carga de la red cambiadora de
fase, o sea si R R,, el amplificador desfasa 180 cualquier tensin que aparezca en la puerta, y la red de
resistencias y capacidades introduce un desfase adicional. A una frecuencia determinada, el desfase
introducido por la red RC es precisamente de 180, y a esta frecuencia el desfase total desde la puerta
alrededor del circuito y retorno a la puerta es exactamente cero. Esta frecuencia en particular es a la que
el circuito oscilar suponiendo que la magnitud de la amplificacin sea suficientemente grande.
La determinacin de T (iw) por el mtodo descrito en la Sec. 12-7 (Prob. 15-l) da

Tu+) =
.k&d (15-4)
(1 - 6w$.) + jw,(S - wfy)

Slendo o, = wRC. AplKxndo la Ec. (15-3) resulta vi, = 1/6, de donde la frecue~~:la de oscilacin f,
es

de donde gmRL= 29. Para sostener la oscilacin, la ganancia de la etapa amplificadora JFET debe ser de
por lo menos 29.
646 Microelectrnica moderna

Si se incluye el efecto de carga de la red cambiadora de fase RC (Prob. 1.5-3) disminuye la frecuencia
de oscilacin y debe aumentarse la ganancia de la etapa JFET.
El FET de la Fig. 15-k puede sustituirse por un Amp-Op como en la Fig. 15-2~. Debido a la tierra
virhtal, la resistencia entre el nudo de entrada P y tierra es R, = R y por tanto la red de la Fig. 15-2~ es
idntica a la de la Fig. 15-h. Por consiguiente, la frecuencia de oscilacin viene dada por la Ec. (15-5).
Puesto que la ganancia del Amp-Op es A, = -R,/R y 1A, 1 debe ser de por lo menos 29, RJR deber ser
mayor que 29 (aprox. 5 %).
Es posible sustituir el Amp-Op de la Fig. 15-2~ por una etapa nica a transistor con R2 = m y
R, = R -R, (Prob. 15.4).
Hay que observar que no siempre es necesario emplear un amplificador con ganancia de transferencia
1A 1 > 1 para satisfacer el criterio de Barkhausen. S610 es necesario que 1T 1 > 1. Existen estructuras de
redes pasivas en las que la funcin de transferencia de la red de realimentacin es mayor que la unidad en
alguna frecuencia particular. En el Prob. 15-6 veremos un circuito oscilador formado por un seguidor de
fuente y el circuito RC de IaFig. 15-2 convenientemente conectados.
Generacin y confbmacin de ondas 641

Funcionamiento a frecuencia variable


Un oscilador de cambio de fase es particularmente adecuado para un campo de frecuencias desde unos
cuantos hertz hasta varios centenares de kilohertz incluyendo el campo de frecuencias audibles. La
frecuencia de oscilacin se puede variar cambiando cualquiera de los elementos de impedancia de la red
cambiadora de fase. Para variaciones que abarquen un campo de frecuencias muy amplio, normalmente
se varan simultneamente las tres capacidades. Tal variacin conserva constante laimpedancia de entrada
de la red cambiadora de fase (Prob. 15-2) as como la magnitud de T. Por tanto, la amplitud de la oscilacin
no se ve afectada al ir ajustando la frecuencia. El oscilador de cambio de fase se hace funcionar en clase
A para mantener la distorsin al mnimo.
Pueden emplearse dos cambiadores de fase activos en lugar de la red de realimentacin pasiva de la
Fig. 15-2~ para tener un oscilador senoidal con salidas en cuadratura (ondas senoidales y cosenoidaks)

15-3. OSCILADOR DE PUENTE DE WIEN


La Fig. 15-3a representa un oscilador de puente de Wien en el que. la red de realimentacin es un puente
equilibrado. El puente se.ve claramente en la Fig. 15-3b. Las cuatro ramas del puente son Z,, Z,, R, y R,.
La entrada al puente es la salida Vo del Amp-Op. y la salida del puente entre 1 y 2 proporciona la entrada
diferencial del Amp-Op.
En la Fig. 15-30 existendos vas de realimentacin: larealimentacinpositiva atrak% deZ, y Z, cuyos
componentes determinan la frecuencia de oscilacin, y la realimentacin negativa a travs de R, y R, cuyos
componentes afectan ala amplitud de oscilacin y fijan la ganancia de la etapa del Amp-Op. La ganancia
del lazo viene dada por

Con Z, = (RCs t l)/Cs y Z, = R/(RCs + I), la aplicacin del criterio de Barkhausen da

R, = ZR2 (15-7)

Ca)
Figura 15-3 (o) Osciladorde puentede Wien, (b) red del puente.

.
648 Microelectrnica moderna

As, para mantener la oscilacin, la ganancia de la etapa no inversora del Amp-Op es de 3 (o alrededor
de un 5 % mayor que 3). La mxima frecuencia de oscilacin est limitada por el ritmo de variacin del
amplificador. Para tener una variacin continua de frecuencia se varan simultneamente los dos conden-
sadores (condensadores planos variables, al aire). Los cambios de campo de frecuencias se consiguen
conmutando a distintos valores las dos resistencias idnticas R.

Estabilizacin de la amplitud
Consideremos una modificacin del circuito de la Fig. 15-3 que sirve para estabilizar la amplitud frente
a las variaciones debidas a fluctuaciones motivadas por el envejecimiento de transistores, componentes,
etc. Una modificacin consiste simplemente en sustituir la resistencia R, por un sensistor (una resistencia
con coeficiente tmico positivo).
La amplitud de la oscilacin viene determinada por la cuanta en que la ganancia del lazo sobrepasa
la unidad. Si por cualquier motivo Va, aumenta, la corriente en R, crecer. y A disminuir. El mecanismo
de regulacin introducido por el senslstor acha cambiando automticamente A con lo que la relacin de
retorno es ms constante. La temperatura de R, se determina por el valor eficaz de la corriente que pasa
por ella. Si vara el valor eficaz de la corriente, debido a la inercia trmica del sensistor, la temperatura se
determinar por el valor medio del valor eficaz a lo largo de muchos ciclos. Hay que tener en cuenta que
debido a la inercia trmica del sensistor, su resistencia durante un solo ciclo es muy aproximadamente
constante. Por tanto, a cualquier amplitud fijada de la oscilacin, el sensistor acta enteramente como una
resistencia lineal ordinaria.
Tambinsepuedeusaruntermistorquetieneuncoefcientede temperaturanegativo,perosustituyendo
a R, en lugar de R,.

La Fig. 1.5-4 indica otro procedimiento para estabilizar la amplitud. InicIalmente los dos diodos Zener
no conducen y la ganancia es
/

:(l + $j = ;(l + ,,,,,:: o,8Fj = 1.04 > 1


Generacin y conformacin de ondas 649

y por tanto se inician las oscilaciones. Como la ganancia del lazo es superior a la unidad va creciendo la
amplitud de las oscilaciones hasta tanto su valor de pico supere la tensin de ruptura V, de los diodos.
Cuando esto sucede, la accin en paralelo de la resistencia 6R reduce la ganancia y limita la amplitud en
aproximadamente V,. Con este circuito se puede reducir la distorsin a aproximadamente el 0,5 %.
Los dos mtodos de estabilizacin descritos constituyen ejemplos de control automtico de ganancia.
Tambin se puede emplear un lazo activo de control con un FET como resistencia de tensin controlada.

15-4. FORMA GENERAL DE UN CIRCUITO OSCILADOR

Muchos circuitos osciladores quedan comprendidos en la forma general representada en la Fig. 15-50
En el an!isis que sigue supondremos un elemento activo con resistencia de entrada sumamente elevada
como es un Amp-Op o un FET. La Fig. 15.5b representa el circuito equivalente lineal de la Fig. 15-5~
empleando un amplificador con ganancia. negativa en circuito abierto -Av y una resistencia de Salida Ro
Evidentemente la topologa de la Fig. 15-5 corresponde a una realimentacin paralelo-serie.

Relacin de retorno
El valor de T se halla considerando el circuito de la Fig. 15.5a como amplificador realimentado con
la salida tomada de los terminales 2 y 3 y terminales de entrada 1 y 3. La impedancia de carga Z, est
Formada porZ2 en paralelo con la combinacin en serie de Z, y Z,, con lo que

Combinando las relaciones de la Ec. (15-8) y sustltuyendo Z, resulta una relacin de rcrorno
T=-!!E= AJ,Zz
VI R,,(Z,+ zz + Z,) + z2(Z, + Z,)

Osciladores sintonizables Lc

Los osciladores descritos en las dos secciones anteriores son circuitos RC sintonizables. Es decir, que
650 Microelectrnica moderna

la frecuencia de oscilacin se determina por los valores de resistencias y capacidades empleados. Muchas
veces la frecuencia obtenible con tales circuitos est limitada a unos pocos centenares de kilohertz. Si se
necesitan frecuencias de oscilacin ms elevadas, tales como las empleadas en los receptores de amplitud
y de frecuencia modulada, la sintonizacin se logra variando una capacidad o una inductanca. En la
configuracin de oscilador general de la Fig. 15-5, haciendo Z,, Z, y Z, reactancias puras (ya sean
inductivas o capacitivas) tendremos un oscilador sintonizableLC. Si hacemos Z, = jX,, Z, = jX, y Z, = jX,,
siendo X = WL para una inductancia y -l/wC para una capacidad, la Ec. 15.9) se convierte en
+ 4X,x, (15-10)
T=
JMX, + X2 + X4 - X2(X, + X3)

x, + x2 + x3 = 0

= &X,X, -JJ
-X2(X, + X3) = x, + x,
En la Ec. (15-l 1) vemos que el circuito oscilar a la frecuencia de resonancia de la combinacin de
x,, x, Y x,.
Aplicando la Ec. (15-l 1) ala (15.12) resulta

TE------+.+x, (15.13)
X2
Como T ha de ser positiva y de valor por lo menos la unidad, X, y X, deba tener el mismo signo (A,
es positivo). En otras palabras, ambas reactancias deben ser de la misma clase: o las dos inductivas o las
doscapacitivas.Entonces,segnlaEc.(15-11),X, = -(X, +XJdebeserinductivasiX, yX,soncapacitivas,
0 viceversa.
Si X, y X, son condensadores y X, una inductancia, a este circuito se le denomina Oscilador Colpitts
y si X, y X, son inductancias y X, un condensador, el circuito es un Oscilador Hartley. En este ltimo caso
puede darse un acoplamiento mutuo entre X, y X, (y en este caso no son aplicables las ecuaciones
anteriores).
Tambin son posibles versiones con transistores de los osciladores LC descritos anteriormente. A
manera de ejemplo, se puede ver en la Fig. 15.6a un oscilador Colpitts de transistores. Cualitativamente
este circuito opera en la forma ya descrita. No obstante, el anlisis detallado de un circuito oscilador de
transistores es ms dificultoso por dos razones fundamentales: En primer lugar, la baja impedancia de
entrada del transistor queda en paralelo con Z, de la Fig. 15-5~ con lo que se complican las expresiones
de la ganancia del lazo dadas ms arriba. En segundo lugar, si la frecuencia de oscilacin est sobre la
zona audible, el modelo simple de baja frecuencia no resulta ya vlido. En estas circunstancias debe
emplearse el modelo hbrido-r de la Fig. 3-32. En la Fig. 15-66 est representado un oscilador Hartley de
transistor.

15-S. OSCILADOR DE CRISTAL

Si un cristal piezoelctrico, normalmente de cuarzo, tiene electrodos aplicados sobre sus caras opuestas
y entre estos electrodos se aplica una tensin, se ejercern fuerzas en las cargas del interior del cristal. Si
el dispositivo estmontado adecuadamente se producirn deformaciones en el cristal y se forma un sistema

.
Generacin y conformacin de ondas 65 1

Condensador
de paso
(b)

electro-mecnico que vibrar si se le excita oportunamente. La frecuencia de resonancia y la Q dependen


de las dimensiones del cristal, de la orientacin de las caras respecto a los ejes y de cmo est montado
el conjunto.
Existen en el mercado elementos para frecuencias desde unos pocos kilohertz hasta unos cuantos
centenares de megahertz, y valores de Q desde varios millares hasta varios centenares de millares. Los
valores extremadamente altos de Q y el hecho de que el cuarzo tenga caractersticas muy estables frente
al tiempo y ala temperatura hace que los osciladores con cristales sean sumamente estables.
LaFig. 15-7 representa el circuito elctrico equivalente de un cristal. La inductancia L, el condensado1
C y la resistenciaR, representan respectivamente lamasa, la deformacin (inversa de la constante elstica)
y el factor de amortiguamiento viscoso de un sistema mecnico. Los valores tpicos para un cristal de 90
kHz son: L = 137 H, C = 0,0235 pF y R = 15 K1, correspondientes aun Q = 5500. Las dimensiones de tal
cristal son 30 x 4 x 1,5 mm. Puesto que C representa la capacidad electrosttica entre electrodos con el
cristal como dielctico, su valor (-3,5 pF) es mucho mayor que C.

Y
R-Ch
(inductiva)

l-

l
652 Microrktrnica moderna

Si despreciamos la resistencia R, la impedancia del cristal es una reactancia jX cuya dependencia


respecto a la frecuencia es

donde tu, = I/LCes lafrecuenciade resonanciaenserie (frecuenciade impedanciacero) y w = (I/L)( I/C


+ I/C) es la frecuencia de resonancia en paralelo (frecuencia de impedancia infinita). (orno C C
entonces o,, = w,. Para el cristal cuyos parmetros son los dados ms arriba la frecuencia en paralelo es
tan slo un 0.3 % mayor que la en serie. Para w, <w <w,, la reactancia es inductiva, y fuera de este margen
es capacitiva como indica la Fig. I q-7

Exrstc una variedad de circuitos osciladores de cristal. Si se emplea un cristal en lugar de L, en la


confiyracin bsica de la Fig. 15-5~. una combinacin sintonizada LC en lugar de Z2 y la capacidad C
entre puerta y drnaje cn lugar de Z,, el circuito resultante es el de la Fig. 15-8. Por la teora comen&
en la seccin precedente, la reactancia del cristal, as corno la de la red LC deben ser inductivas. Para que
la ganancia del lazo sea mayor que la unidad vanos que segn la Ec. (15.13) X, no puede ser demasiado
pequaio. Por tanto. el circuito puede oscilara una frecuencia comprendida entre w, y w pero prxima
al valor de la resonanciaen paralelo. Puestoquew = w lafrccuenciadel osciladorestfun;i,,ne,talmente
determinada por cl cristal y no por el resto del ciuit,.

15-6. MULTIVIBRADORES

Los osciladores descritos en las seccionu anteriorcs forman parte de la clase dec,ir-r UUOJ,-r~uw~ u~wu
Observamos que a la frecuencia de oscilacin, 105 osciladores senoidales son amplificadores con
realiment~cinpotitiva. Losmultivibradores formanotroimportantegrupodecircuitosregcnerativosmuy
empleadosen aplicacionesde temporiracin. Losmultivibradores seclasificanen: (I)circuitos biestables.
(2) circuitos monoestables, o (3) circuitos atables.
Lo5 biestables y flip-flop descritos en las secciones 7-l a 7-3 pueden considerarse todos ellos como
n~~r/ii~~ihrodows hiesiahies. En la Sec. 15-l 1 describiremos el comparador regenerativo (disparador
Schmitt) que es otro circuito biestabk Una cualidad importante dc un circuito biestable es que mantiene
un estado de salida dado (nivel) salvo que se le aplique una seal externa (disparo). Una seal externa
apropiada provoca un mmhin de estado. y este nivel de salida re mantiene indefinidamente salvo que se
Generacin y conformacin de ondas 653

l Redes de acoplamiento /

le aplique una seguncta seal. As, un circuito biestable necesita dos disparos exteriores antes no vuelva a
su estado inicial.
El multivibrador monoestable genera un solo impulso de duracin especificada en respuesta a cada
seal de disparoexterior. Como sunembre indica,slaexiste unestadoestable. Laaplicacinde un disparo
hace cambiara un estado cusi-esrabk El circuito se mantiene en ese estado durante un lapso de tiempo
fijado, transcurrido el cual vuelve a su estado primitivo. Efectivamente, se genera una seal de disparo
interna que provoca la transicin al estado estable. Nomxdmente, esta seal de disparo la provoca la carga
y descarga de un condensador.
Los multivibradores atables tienen dos estados casi-estables (no estables) y las condiciones del circuito
oscilan entre ellos. Obsrvese que no se necesita ninguna seal externa para producir los cambios de
estado. El tiempo de permanencia en cada estado lo determinan los valores de los componentes. Debido
a su oscilacin entre estados, los circuitos atables se emplean para engendrar ondas cuadradas. Un control
preciso del periodo de la onda cuadrada, frecuentemente mediante un cristal, permite usar tales circuitos
como generadores de reloj en sistemas digitales.
La Fig. 15-9 muestrr la configuracin de un multivibrador comn. Como se ve en esa figura, los dos
amplificadores inversores Al y A2 forman un amplificador con realimentacin positiva. Frecuentemente,
en lugar de los amplificadores se emplean puertas lgicas. Como ya se describi en la Sec. 6-2 la pendiente
de la caracterstica entre los estados lgicos es mayor que la unidad lo que indica que hay amplificacin
La naturaleza de las redes de acoplamiento entre etapas determina el tipo de multivibrador. Cuando CNI
y CN son ambos resistivos existe un funcionamiento biestable. Una seal aplicada a Al que produzca
una transicin se transmite a travs de CNl motivando el cambio de estado de A2. Una accin semejante
en CN fuerza a A 1 a pem~anecer en este nuevo estado hasta la aplicacin de un nuevo disparo.
Sin embargo, si CNl o CN o ambos contienw condensadores en serie, no se pueden transmitir
indefinidamente seales en continua. Puesto que la tensin del condensador no puede cambiar instant-
neamente, Ia? transiciones en Al (oA2) se transmiten durante un pequeo intervalo. La accin de la carga
(o descarga) de los condensadores como consecuencia de la transicin inicial engendra un disparo
interno haciendo que las condiciones del circuito retornen a su estado inicial. Los circuitos monoestables
654 Microelectrnica moderna

emplean una de taks redes de acoplamiento, mientras que tanto CN como CN son capacitivos en 10s
multivibradores astables.

Multivibradores monoestables
En la Fig. 15-10 vemos un circuito monoestable simple que emplea puertas NOK CMOS de lgica
positiva como elemento de amplificacin. Obsrvese que el acoplamiento entre las puertas NOR 1 y 2 es
capacitivo y entre las puertas 2 y 1 es resistivo (R = 0). Supongamos que las puertas NOR tengan V(1) =
V,,, V(0) = 0, y que VT > 0 es la tensin umbral del transistor NMOS. Adems supondremos para mayor
sencillez que la transicin entre estados en las puertas es instantnea; o sea, que la velocidad de
conmu:acin de las puertas NORes pequea comparada con la duracin del impulso de salida deseado,
Consideremos que la seal de disparo vin es como la de la Fig. 15-l la. Para r < 0 no existe corriente
enRyq=I/,,= V( 1). En consecuencia, la salida de la puerta NOR 2 conectada como inversora es v =
V(0) = 0. Con ambas entradas ala puerta NOR 1 en V(0) su tensin de salida es yoI = V( 1) = V,,, y por ta&
la tensin ;- del condensador es
uc = oo, - , Y uc = v,, - v,, = 0 (15-15)
La aplicacin en el instante f = 0 de la seal de disparo Y, > V, provoca una transicin en la puerta NOR
1, y yoI pasa a ser V(0) = 0 como en la Fig. 15-I lb. Puesto que vc no puede cambiar instantneamente [v
(0) = 01, de la Ec. (15-15) se deduce que Y<(0) = 0. La aplicacin de V(0) a la entrada de la puerta 2 hac;
quev,,(O+) = V,, (Fig. 15-1 Ic). Enconsecuencia, V(1) setransmite alaentradade lapuena~o~ymantiene
vo, = 0. La tensin del condensador vc tiende a cargar a V,, a travs de la resistencia R como en la Fig.
15-120. por tanto, vx tiende a aumentar desde cero hacia V,, (Fig. 15.lkf,~comoindicalaEc. (15.16)
u,(t) = v,, (1 - ,-R=) (15-16)
Generacin y conformacin de ondas 655

Cuando v = V,. la puerta NOR 2 cambia de estado, voz= 0 y se produce una transicin de V(0) a V(1)
en la puerta ka? 1. El instante T, en el que se realiza la transici<in w calcula por la Ec. (15.16) de la
siguiente forma:

E-T,:KC = I)- vr
i:,(T,) = VT = V,m (1 - cm7IRc) o
vrm
Tomando logaritmos de ambos miembros y despejando 7, tendremos
VDD
T, = RC In
VD -VT
Si V, = V,J2 como es el cas en puertas CMOS, la Ec. (15.17) se reduce a

T, = RC In 2 = 0.693RC (15.18)

Justamente antes de la transicin en las puertas NOK, en el instante t = T,, 12,(7,) = -VI. [Ec. IS-1511.
Justamente antes de la transicin en las puertas NOR, en el instante t = T,, vc (T,) = V, [EL. 15-151.
En f = F,, Y,, = V,, y para mantener vc (T,) = -V, yx (T+,) = V,, + V,. La tensin del condensador
Fig. 15-l 1, se representan las formas de onda para varias tensiones, con la condicin de que V,. = VD,,/2.
Obsrvese que la descarga del condensador (t > T,) tiene la misma constante de tiempo KC que durante
el intervalo 0 5 r < T,.
La tensin de entrada vr ala puerta NOK2 sube como indica la Fig. 15-l Id hasta 3 V,,,,J2 en el instante
f = T,. Aveces este nivel de tensin es excesivo pan los MOS de la puerta NOK. Para evitar esto re usa un
diodo de fijacin como se ve en la Fig. 15.13a. El diodo D est en circuito abierto durante la mayor parte
del ciclo. Sin embargo mientras la puerta NOR 2 cambia en f = T,, el diodo D conduce asegurando que ~1,
656 Microelectrnica moderna

Figura 15-14. hgrama de bloques de un multivibrador monoestable TTL

no supere a VT,,>en ms de la tensin de disparo VTdel propio diodo, En realidad, L,es ligeramente superior
a VBn+ V, debido a la pequea resistencia R del diodo. Puesto que R, R su combinacin en paralelo es
aproximadamente igual a R,. As pues, la d!escarga del condensador desde V,, + V hasta V,,, tiene una
constante de tiempo igual a R,C, por lo que tiene lugar rpidamente. En la Fig. 15.(3h est representada
la forma de la onda de 1)para V, = Vi,,,/2 y Vy = 0,6 V.
Losmultivi~doresmonoestablescomoeldelaFig. 1%IOseconstruyenen laprcticausandopuenas
CMOS comercnlizadas. Estando las puertas CMOS doblemente compensadas empleando un suministro
a 5 V tendremos V, = V,,,,/2 = 2,s V. Adems, muchas puertas CMOS tienen las entradas protegidas por
diodos para evitar que se apliquen tensiones excesivas. Por tanto, los diodos de fijacin se fabrican en el
chip. Los nicos elementos conectados exteriormente son los elementos de temporizacin R y C.
Tambin pueden emplearse la familia bsica TTL 54/74 de puertas lgicas para construir circuitos
monoestables en un solo chip. La familia TI 9600 emplea de entrada una puerta NAND TTL y de salida
una etapa normal en totem. Las etapas interiores comprenden la cascada de un biestable, el circuito
monoestable y el disparador Schmitt (Sec. 15-9) como en la Fig. 15-14. Los cinco circuitos se fabrican en
un solo chip. La duracin del impulso se regula con la resistencia R y condensador C conectados
exteriormente.CrrientementeR 2 5 kRyC 2 IOOOpF. Estos valores suponen unanchode impulsomayor
de 1 ps. Sin embargo, con menores valores de R y de C se puede reducir la duracin del impulso hasta
unamagmtuddel ordende 10011s.Si seelimina laetapaen totemy se tomala salidadel disparadorSchmitt,
podremos tener impulsos positivos y negativos (lneas de salida a trazos de la Fig. 15-4).
Multivibradores astables
El circuito de la Fig. 15-10 se puede modificar para formar un multivibrador atable como el de la Fig
I S- 1Su. Obslvese que ambas puertas NORse han conectado como inversoras. Supongamos que las puertas
NORtienen V(0) = 0 y V(I) = V,,, la tensin de alimentacin, y una tensin umbral V, = V,J2. Conside-
remos que como en la Fig. 15-16 la puerta NCIR1 sufre una transicin de \( 1) a V(0) en el instante f = 0

As, en f = 0, )lli, = V,,, y voz= 0. La tensin de entrada a la puerta NOR 1 es v = V, como es alrededor
del cambio de estado. Puesto que L( = v% v~,~,v< (0 ) = V,. Cuando f = O+,inmedkxnente despus de que
la puerta NOR 1 haya cambiado de estado, v,,, (0) = 0, lo que hace que la puerta NOR 2 realice una transicin
haclendo que vo2 (U+)= V,,, (sin embargo, el empleo del diodo de fi&cin limita v en V,, + V). Con
Vas,= 0 y Vo, = V,, el condensador se carga exponencialmente hacia V,, con una c&tmte de &mpo
t = RC. (La tensin IJ( tiende hacia -V,,.) A medida que el condensador se va cargando v va tendiendo
hacia cero. A f = T,, v>= V, y se corta la puerta 1, vo, = V,,, hace que la puerta 2 haga unatransicin. La
tensin de salida \aii2de la puerta NOR 2 cae a cero, y para mantener vc constante durante la conmutacin,
v, decrece por V,,. La tensin del condensador carga exponencialmente hacia + V,,, (JI,,,= V,,, y ~~~~ = 0)
con una constante de tiempo T = RC. A medida que v< tiende hacia V,, lo mismo hace v,, yen el instante
i = T,, yr = V, completndose un ciclo. Este proceso se repite en cada ciclo como se indica en las ondas
de la Flg. 15-16. La onda de salida cuadrada es simtrica teniendo cada una de las puertas una tensin de
salida Vni, durante un semi-ciclo y V(0) durante el otro. La Ec. (15-18) da el tiempo para la mitad del
penodo 7, es decir, T = 2 7, = 2 RC In 2 = l,39 RC. La frecuencia de oscilacinf, = l/Ta

f;, = L = ~~ 1 0.721
=_
T l.39RC RC
65 Microeleclrbzica moderna

Se puede disefiar el circuito para que d una onda cuadrada asimtrica, cambiando ya sea V,, o VT de
forma que V, t V ,,,J2 (Prob. 1S-25). Tambin se emplea la combinacin de resistencia y diodo de la Fig.
15.17 para generar una seal de salida asimtrica (Prob. 15-17). En este circuito los diodos gobiernan el
curso de la carga: haciendo R, #R, se tiene una constante de tiempo diferente para cada periodo de
transicin resultando as una onda cuadrada asimtrica.
El circuito de la Fig. 15-15~ se modifica como en la Fig. 15.15b para formar un generador simple de
reloj en el que la frecuencia f0 del cristal gobierna con precisin el periodo de la onda cuadrada. El
condensador C2 se elige de forma que 2xCJr,f,> = 1 (es decir que la impedancia de R 11 SC, tiene un polo
en s = -112 ~1, y por tanto queda en circu& abierto parafo). El condensador C, se elige para que con&
tenga una reactancia despreciable. As, a la frecuencia de oscilacin, los circuitos de la Fig. 15-15~ y
15.1 Sb son idnticos si consideramos que en la primera el cristal sustituye a C. Los dos condensadores ayudan
a suprimir los armnicos wpeores dando una frecuencia de salidaestable. Este simple generador del reloj puede
trabajara altas frecuencias (~30 MHz) si se emplean inversores CMOS serie 74HC o TTL serie 74LS o
74 ALS.

1.57. COMPARADORES

Los circuitos mono y astables vistos en ij Sec. 15.6 se valen de la accin de conmutacin gobernada
por tensin de las puertas lgicas digitales CMOS. Es decir, el nivel de tensin de entrada determina el
estado binario de la salida, V(0) o V( 1). En las Scc. 3.12 y 10.15 vimos oue el amplificador diferencial (par
acoplado en emisor) mostraba una tensin de salida binaria para seales de entrada 1v, 1 >4 V,. Funcio-
nando de esta forma, el amplificador diferencial actua como comparador analgico lo que resulta til en
la generacin dc ondas.

Un compurudor unal&ico o simplemente compamdor tiene dos tensiones de entrada Y, y v2 y una


salida v,,. Frecuentemente, una de las entradas (v,) es una tensin de referencia constante V,, y la otra es
una seal variable con el tiempo. Recurdese que esta disposicin se emplea en la etapa de entrada de la
puerta OR/NORECL descrita en la Sec. 6.14. El comparador ideal de la Fig. 15-18 con la caracterstica de
transferencia de la tensin de la Fig. 15-19~ tiene una tensin de salida constante y! = V(0) si vi v, = v,
COy otra tensin vnstante distinta v0 = V( 1) si v, >O. Por tanto, si v = VR, una tenslon de referencia; v0 =
V(0) cuando i.<,ib, y v0 = V( 1) cuando v > V,. Claramente, se compara la entrada con la referencia, y la
salida queda en uno de los dos estados digitales: nivel 0 de tensin V(0) y nivel 1 de V( 1). Se pueden
conseguir tensiones V(0) y V(1) compatibles con niveles lgicos TTL, ECL o MOS. Puede disponerse
tambin de otras tensiones limitadoras, tales como f 10 V.
Como ya se ha indicado anteriormente, la caracterstica de transferencia de un par diferencial se
aproxima a la de un comparador ideal. El recorrido total de entrada entre los dos niveles extremos de salida
es = 8 V, = 200 mV, que se puede reducir drsticamente conectando en cascada el amplificador diferencial
con otras etapas de alta ganancia. Puesto que esta configuracin corresponde a la topologa del Amp-Op
de la Fig. 14-1, puede emplearse un Amp-Op (lazo abierto) a manera de comparador. En la Fig. 15.19b
ie representa con trazo continuo una caracterstica de tranqferencia tpica de Amp-Op. Se observa que el
Generacin y conformacin de ondas 659

cambio del estado de salida tiene lugar con un incremento en la entrada Av, de tan slo 2 mV. Obsrvese
que la tensin offset de entrada aporta un error en el punto de comparacin entre I, y VR del orden de
1 mV. En algunas aplicaciones esta tensin offset puede ser excesiva y se hace necesario equilibrarla como
en la Fig. 14-22.
Se han diseado algunos Amp-Op para aplicar especficamente como comparadores de tensin bu@?,
en lugar de como Amp-Op. No estando previsto que un comparador se emplee con realimentacin
negativa, se puede prescindir de la compensacin de frecuencia con lo que se tiene mayor ancho de banda
y ms velocidad. El trmino bufkr indica que el comparador no carga la fuente dc seal debido a su
gran resistencia de entrada. Entre los muchos chips comparadores disponibles estn los Fairchild pA7 10,
el National LM Il 1, el Analog Devices AD604 y el Harris HA 2 1 1 1.

. i
V,ll
,o-------
8-
h-
4-

0 5 I 0 1 2 34&
-?
-1
h
-R
V(O)
-10
r TL
(Ui Ch,

Figura 15.19. Caracterlstica de wansferencia de un comparador: (0) ideal y (h) prctico (comercial).

La regin ambiguaA I; puede ser tan pequea como 15 FV y el tieny~o de ,-e,yn,wstu (el tiempo necesario
para que el comparador cambie de estado) puede ir de 20 a 200 ns. Tambin existen encapsulados de dos
o de cuatro comparadores independientes. Algunos chips han sido disetiados con una entrada inhibidora
de forma que pueda quedar inhabilitado durante los transitorios de entrada.
Para tener tensiones de salida limitadas independientes de las tensiones de suministro de potencia se
atiade una resistencia R y dos diodos Zener en oposicin para fijar la salida del comparador, tal como
indica la Fig. 15.200. El valor de la resistencia se elige de forma que los diodos de avalancha acten a la
corriente Zener recomendada. Las lneas de trazo continuo dan la salida v,, a travs de los diodos, mientras
que las de trazo interrumpido representan la salida \a,,del comparador. Si la seal de entrada se aplica al
terminal no inversor y la referencia VRal terminal inversor se obtiene un comparador no inversor. Si se
intercambian las posiciones de v, y vR resulta la caracterstica del comparador inversor. Las tensiones
lmites de I(>son V,, + V, = Voy (V,? + V,) 2 -Vo, donde V,, (= 0,7 V) es la tensin directa de un diodo
pn. El afiadir los diodos Zener tiene la segunda ventaja de que la limitacin puede ser mucho ms definida
para i.,, que para v,,, pero tiene el inconveniente de la pobre respuesta transitoria del diodo de avalancha.
Los comparadores se emplean mucho en circuitos del procesado de seales y de generacin de ondas.
Cada aplicacin se vale de la facultad del comparador de detectar si una seal es mayor o menor que otra
seal (o tensin de referencia). En este captulo trataremos de los circuitos relacionados con la generacin
de formas de onda, y en el captulo 16 trataremos de otras aplicaciones de procesado de seales.
15-S. FORMACIN DE ONDAS CUADRADAS A PARTIR DE UNA
SENOIDE
El comparador da ondas de forma no lineal porque su salida no guarda ningn parecido con la onda
de entrada. Se emplea frecuentemente para transformar una seal de variacibn lenta cn el tiempo a otra
de camhio abrupto. 1Jno de txtos casos es la generacin de onda cuadrada partiendo de una seal senoidal.

Si se iguala V, a cero, la salida cambiar de un estado al otro muy rpidamente (limitado slo por el
ritmo de variacin) cada vez que la entrada pase por cero. Esta configuracin se denomina dmzcfnv de
rnrr~~or CPIO.Entre las muchas aplicaciones de este detector estn las siguientes:
Si la entrada a un comparador cs una onda senoidal, la salida es una onda cuadrada. Si se emplea un
detector de crwx por cero (Fig. 15-210) la onda cuadrada ser simtrica (Fig. 15-21~). La forma ideal
tiene los lados verticales, pero en realidad se exticndcn por una zona de una fraccin de milivoltios dc la
tensin de entrada r,.
Generacih y conformacin de ondas 661

Sealador de tiempos a partir de una senoide


La salida v. de la onda cuadrada de la aplicacin anterior se aplica a la entrada de un circuito RC en
serie (Fig. 1%Zla). Si la constante de tiempoRC es muy pequea comparada con el periodo Tde la senoide
de entrada, la tensin v a travs de R formar una serie de impulsos positivos y negativos como se ve en
la Fig. 15-21. Si v se aplica a un cortador con un diodo ideal (Fig. 15-21~) la tensin en la carga lai slo
tendr impulsos positivos (Fig. 15-21~). De esta forma la senoide se ha convertido en un tren de impulsos
positivos cuya separacin es T. Esto se puede usar para temporizar (por ejemplo en la tensin de barrido
en un tubo de rayos catdicos).
ObsrvesequelaformacindeondasconseguidaporlaconfiguracindelaFig. 15-21~~ muy notable:
una senoide convertida en onda cuadrada o en un tren de impulsos.
Unas puntas parsitas -positivas y negativas- denominadas ruido superpuestas ala seal de entrada
en las proximidades de V, puede rechinar (cambio de una a otra tensin binaria) varias veces antes de
estabilizarse en su nivel correcto. Esta dificultad se puede evitar, reduciendo simultneamente el tiempo
de transicin, si al comparador se le aade una realimentacinposiriva o regeneracin como veremos en
la prxima Seccin.

15-9. COMPARADOR REGENERATIVO (DISPARADOR SCHMITT)


La caracterstica de transferencia de la Fig. 1%20b acusa una variacin en la salida de -7 a +7 V con
un recorrido de entrada de aproximadamente 1,0 mV. Por tanto, la ganancia es de 14.000, que se puede
aumentargrandementeempleandorealimentacinpositiva. Enconsecuencia,lavariacin total delasalida
tiene lugar en un intervalo de tiempo durante el cual la entrada cambia en mucho menos de 1 mV.
Tericamente, si la relacin de retorno se ajusta para que sea -1, la gananciaA,,con realimentacin se hace
infinita [Ec. (12.4)]. Esta situacin ideal supone una transicin abrupta (tiempo de subida cero) entre los
valores extremos de la tensin de salida. Si se elige una ganancia del lazo mayor que la unidad, la onda
de salida contina siendo virtualmente discontinua a la tensin de comparacin. Sin embargo, ahora el
circuito presenta un fenmeno llamado histresis que explicaremos ms adelante.
El comparador regenerativo de la Fig. 15-22~~se conoce comnmente como disparador Schmitt (por
el inventor de una versin de este circuito con tubos de vaco). La tensin de entrada se aplica el terminal
inversor 2 y la de realimentacin al inversor 1. Suponiendo que la resistencia de salida del comparador
sea despreciable comparada con R, + R, tendremos

RZ
1:x = R, f RZ u,,

Siendo Y, = Y,,con v2 = 0, lao= A,v,, y el anlisis de pequea seal da una relacin de retorno
-RI At
T=
R, + R,
Evidentemente, con A, > 0, T < 0, y la realimentacin es positiva (regenerativb). Con R, = 10 kR,
R, = lOOn y A, = 14 000:

T= 0.1 x 14,000
= -139
10 + 0.1
k;s fcilmente comprobable que la realimentacin es regenerativa. Si la salida aumenta en Av,, la senal
reenwada a Y,, el terminal no inversor, es AVo RJ(R, + R,). Por tanto vcJcrecer adems por A v<,R? Ati
(R, + R,) = TA Y,,lo que indica realimentacin positiva..
662 Microelectrnica moderna

Hagamos Vo 3 v, + V, y supongamos que v2 < v, de forma que v,, = + V,,. De la Fig. 15-22 deducimos
que la tensin en el renninal no inversor es

u, = VA + R (15-20)
I
Si ahora aumenta LS>, I se mantiene constante en V,, y v, = V, = constante hasta que v2 = V,. A esta
tensin umhual, crtica CIde disparo, la salida regenerativa cambia a va = -V,, permaneciendo en este valor
mientras v2 > V,. La caractastica de transferencia es la de la Fig. 15.226.
La tensin en el terminal no inversor para v2 > V, es

, = v, - RIc, 2CV<,
+ V)= v2 (15-21)

Con los parmetros dados en la Fig. 15-22 y siendo V<,= 7 V tendremos

0.1 x 6
v,=1+-= 10.1 1 + 0.059 = 1.059 v

1 -- 0.079 = 0.921 V
Grneracin y conjormacin de ondas 663

Obsrvese que V, < V, y que la diferencia entre estos dos valores es I!amada histeresis V,

v,, = v, - v, = Tl; = 0.138 V ( 15.22)


I *
Si ahora decrece r2, la salida permanece en Vo hasta que vI iguale la tensin del terminal 1 o hasta
que \? = v.. A esta tensin ocurre una transicin regenerativa y como se indica en la Fig. 15.22~ la salida
retorna a <l,> caC instantneamente. La funcin de transferencia completa puede verse en la Fig. 15.22d
en la que las porciones \in flecha pueden ser recorridas en cualquier direccin, pero los otros segmentos
slo se obtienen ri r2 vara como sealan las flechas. Obsrvese que debido a la histresis el circuito dispara
a tensin ms alta con seales crecientes que con seales decrecientes.
Tambin obwrvamos antes que la ganancia de transferencia aumenta desde 14.000 hacia el infinito a
medida que la relacin de retorno decrece desde cero a 1 y que no hay histresis mientras -T sea igual o
menor que uno. Sin embargo, no es factible ajustar la ganancia exactamente a -1. Los parmetros del
comparador, y por tanto la ganancia A,, son variables en el recorrido de la seal. Por tanto, un ajuste que
asegure que el mximo de 1T / sed : 1d unidad supone un campo de tensiones en los que la amplificacin
es menor que uno, con la correspondiente prdida de yelocidad de la respuesta del circuito. Adems, el
crcuito puede no ser suficientemente estable para mantener Texactamente en 1 durante un largo periodo
de tiempo sin tener que hacer frecuentes reajustes, por lo que se elige / 7 1 mayorque la unidad, tolerndose
un pequeo valor de la histresis. En algunas aplicaciones un exceso de histresis no permitira el
funcionamiento correcto del circuito. As. si el valor pico-a-pico de la serial fuera menor que \,, el circuito
Schmitt que hubiese respondido a una tensin umbral con una transicin en un sentido, nunca se repondra
por \i 5010. Dicho de otra forma: una ver la salida ha pasado, por ejemplo, a V, se mantendr en este nivel
nc volviendo nunca a -I/,.
Del mismo razonamiento expuesto en el prrafo anterior se deduce que si vI supera justamente V, se
produce una transicin dc la salida y v,, \e mantiene en V,, aun cuando haya algiin ruido superpuesto a la
wial de entrada. Mientras la tensin PICO del ruido no supere la histresis V,,,vl no puede caer por debajo
de Vz y por tanto se evila un retorno de estado a + V,,. En otras palabras, se ha eliminado el chirrido
mencionado en la Sec. 15-8.
La tcn\in offset de ralida para el dis arador Schmitt de IU Fig. 15-220 es /,,R,,, siendo /,, la corriente
de polarizacin dc entrada, y K,, = K, f R2 I ; d adlLlon . dc una resistencia K, en serie con IU sefial de
entrada 1~~da una tensin offset de salida l,,,R, siendo I,,, la corriente offset de entrada. Puesto que I,,, < I,
la tcn\in offset rewltnte queda reducida.
UnadelasaplicacionesmsimportantesdeldisparadorSchmittesladeconvertirunatensindeentrada
dc variacin lenta en una onda de salida con un cambio brusco, casi discontinuo, que suceda a un valor
preciw de la tensin de entrada. Por ejemplo, en la Fig. 15-23 SC ve I aplicacin de un disparador Schmitt
a manera de circuito de cuadratura. La serial de entrada es arbitraria pero con excursin suficiente para
hacer que la entrada abarque los limites del campo de histresis V,,. Normalmente la salida es una onda
cuadrada asimtrica (como indica la Fig. 15-23) cuya amplitud es independiente del valor entre picos de
la wial de entrada. Evidentemente, la onda de salida tiene los bordes delanteros y traseros mucho ms
rzpidos que los de la onda de entrada.
Se pueden generarondas cuadradas simtricas haciendo V,>= 0 y de las Ecs. (1 S-20) y (1 S-2 1) se deduce
que VI = L, = R,V,, /CR, + K,). Aplicando a tal comparador una entrada \cnoidal dc frecuencia J= l/T y
amplitud de pico lw se tendr na onda de salida simtrica de semi-periodo T/2. Los bordes anterior y
posterior de la onda no suceden en el momento en que la onda senoidal pasa por cero como en el circuito
de la Fig. I S-2 lo. Estos bordes cln de&wdos por 0, Tiendo 0 = sen V,/V,,,.
Existen disponibles en el mercado disparadores Schmitt para usos especiales. El chip Tl132 de la
familia TTL acta como una puerta I*ANII de lgica positiva con salida en totem, e histresis de 0,8 V.
(V, = 1,7 V y V? = 0,9 V). Este encapsulado contiene cuatro disparadores Schmitt NAND de dos entradas.
Esta topologa de comparador regenerativo es la base del multivibrador monoestable Tl9600 (Sec. 15.6).
664 Microelectrnica moderna

Disparador Schmitt acoplado en emisor


El par bsico acoplado en emisor puede convertirse en un comparador regenerativo como en la Fig.
15.24. Las resistencias R, y R, son desiguales (R, > RJ y por tanto Ql y Q2 tienen corrientes distintas
cuando estn saturados. Estas diferencias suponen histresis, ya que se requieren distintas tensiones de
entrada para saturar y COITXQl y Q2.
Consideremos que V,n es suficientemente bajo para cortar Ql. La corriente en R, es suficiente para
saturar justamente Q2 haciendo V<,= V,,- 1, (sao) R,. Para p, 1, la tensin VE = I,, (lal)R,; as, para que
Ql pase a ON debe incrementarse V,, hasta por lo menos V,, i<,~,+ V,. Cuando Vensube por encima de este
umbral, Ql conduce, la tensin V,, decrece, se corta Q2 y hace que Vo = VE,. Si Vz,,es suficientemente
grande para saturar justamente Ql, la tensin vE = I Cl(rariR,. Puesto que R, R,, I,, ,u,) < I,, csd,j.En
consecuencia, ahora un debe descender por debajo de I?, (IB,)R, + V,, (UN)p ara cortar Q 1. Este nivel umbral
est por debajo del requerido para que Q 1 pase a ON, stendo la diferencia entre estos niveles la tensin de
histresis V,,. ObsPrvese que VHdepende drt grado de desajuste en R, y R,.

Figura 15.24. Disparador Schmitt acopladoenemisor.

El anlisis cualitativo del prrafo anterior ha supuesto que Ql y Q2 estn meramente saturados. Esto
no es necesario para el funcionamiento del circuito pues Ql y Q2 pueden llevarse a saturacin.
Corrientemente los disparadores Schmitt con emisor acoplado se disean con V,, del orden de algunas
dcimas de voltio (vanse Prob. 15.43 y 15-44).
Generacin y conformacin de ondas 665

10) (bJ
Figura 15-25.(u)Generadorde ondacuadrada.(b) Ondasde saliday de tensindel condensador.

SIU. GENERADORES DE ONDAS CUADRADAS Y TRIANGULARES

El disparador Schmitt inversor puede utilizarse para obtener un generador de onda cuadrada (multivi-
brador astable) conectando una red de realimentacin RC entre la salida y la entrada inversora. El circuito
est representado en la Fig. 15.25a indicando que la seal exterior ha sido sustituida por la red RC de
realimentacin. En esta figura la tensin de entrada diferencial Y,viene dada por
R2
c, = I, c, = L, R + R c,, = c, pr:,, (15.23)
I
De la caracterstica del comparador ideal resulta que 0 = V, + V ,>= L,, si J,< 0, y si v, < 0, vg = -Va.
Consideremos un momento en el que v, < 0 o v, < gv: = BK,. El condensador C se va cargando exponen.
cialmcnte hacia V,, a travcs de la combinacin RC. La salida permanece constante en Vo hasta que V, se
iguale a +pV,, en cuyo instante la salida del comparador retrocede a -Vo. Ahora 1~~ carga exponencialmente
hacia -V,,.
Las ondas de las tensiones de salida \<> y del condensador Y, son !as representadas en la Fig. 12-25. Si
hacemos I = 0 cuando v, = PV,,durante el primer san-ciclo tendemos (ya que 12~se acerca exponencial-
mente a V,>con una constante de tiempo RC)

c,(1) = v,, 11 (1 + /3)t~:R=] (15.24)

Puesto que a f = 712, v<irj = +pVo hallaremos T resolviendo la Ec. (15.24) lo que nos dar

T=2K(:ln~=?KCln~l+~:)

Obsrvese que T es independiente de V,,.


Este generador de onda cuadrada es particularmente adecuado con frecuencias comprendidas entre los
10 Hz y los 10 kHz. Con frecuencias ms elevadas el ritmo de variacin del Amp-Op limita la pendiente
de las ondas cuadradas de salida. La simetra de la onda depende del desequilibrio existente entre los dos
diodos Zener (Prob. 15-39).
Si se desea que la salida sea kV,>pero que 7, f T, de la Fig. 15-25, se reemplaza la resistencia R entre
los puntos A y B por la red de la Fig. 15.260. Esta tcnica es la misma empleada en el circuito atable
CMOS de la Fig. 15-18. Durante el periodo en que la salida es positiva, DI conduce y 02 est cortado.
666 Microeleclrnica moderna

Por tanto el circuito queda reducido al de la Fig. 15-25 salvo que V,, queda disminuido por la cada en el
diodo. Puesto que el periodo es independiente de V,,, T, viene dado por T/2 de la Ec. (1 S-25). Durante el
intervalo en el que la salida es negativa U 1 est en corte y 02 conduce. Por tanto, la constante de tiempo
de la dacarga es ahora RC y T, viene dado por 112 en la Ec. (15.25) p ero con R sustituida por R. Si R
= 2 R. evidentemente T? = 2 T
Un procedimiento alternativo para formar una onda cuadrada no simtrica consiste en conectar la red
de la Fig. 15.26h al nudo A de la Fig. 15-25~. Supongamos que la reristencia potenciomtrica es pequefia
comparada con R y que la tensin de tal resistencia potenciomtrica tambin es pequea, la tensin del
brazo del potencimetro a tierra es VjJ.Entonces el condensador se carga con una constante de tiempo
RC/2 hacia (V,, + u>/2 pero descarga hacia (Vp-V,J/2 (con la misma constante de tiempo) por consiguiente
T,# T>.

Generadores de onda triangular

En la Fig. 15.2% se ve que la carga y descarga exponencial del condensador C hace que la onda de vc
sea casi triangular. Para linealizar el tringulo se necesita que C se cargue con una corriente constante, es
decir, haciendoque vc vare linealmentecon el tiempo (en rampa) y no exponencialmentecolio la corriente
suministrada a travs de R en la Fig. 15.25. Recurdese que la capacidad de compensacin conectada entre
la saliday laentradadelaetapade altagananciadeun Amp-Opestalimentadaporunacorrienteconstante
con el resultado de la limitacin del ritmo de variacin. Se emplea un Amp-Op con un condensador C de
realimentacin (un integrador) para suministrar una corriente constante a C en el circuito generador de
onda triangular de la Fig. 15.27. Debido a la reversin de fase en el Amp-Op integrador, la salida de esta
etapa se recnva al terminal no inversor del comparador y no al terminal inversor como en la Fig. 15-25.
As, el comparador acta como un disparador Schmitt no inversor. En efecto, la salida de la etapa Amp-Op
se emplea en lugar de la tenGn de referencia V,, en el disparador Schmitt.
Para hallar el valor mximo de la onda triangular supongamos que la tensin de salida v,, del Schmitt
est en su valor negativo (V, + VJ = V,. Con un impulso negativo, la salida v(rJ del integrador es una
rumpu crrcir>ue. La tensin I>,de entrada al comparador no inversor se obtiene por superposicin, y es
VS, d,RI (15.26)
= - R, + R2 +R,fR>
Cuando i, sube hasta V,, el comparador cambia de estado, V,, = + VS,y v,(t) empiera a decrecer
linealmente. Por tanto el pico V,,,axde la onda triangular tiene lugar cuando V, = V,. Segn la Ec. (15.26)

V II.?
= R,+Rz + v,, 5 (15.27)
R
R, RI
Generacin y conformacin de ondas 667

RI + R2 ( 1S-28)
V rn, = VR - v,, gf
R,
y el recorrido pico-a-pico es
RI
V LndX~ V,, = 2V - (15-29)
RI

En IaFig. 15.27hpuedeverselaformadelaondatriangular. DelasEcs. (15.27)y( 15.28)debequedar


claro que el valor medio es V, (R, + RJR ,. Obsrvese que si V, = 0 la onda se extiende entre -uz R,/R, y
+ V,>R,/R,. El desplazamiento en tensin se gobierna ajustando V,, y el recorrido pico-a-pico se modifica
cambiando la relacin RJR,. Calculemos ahora los tiempos de barrido T, y Tz para VT= 0. La corriente de
carga del condensador es

donde v, = -v:, eh Ia tensin del condensador. Para v,>= -V,,, i = V,/R y la velocidad del bari& positivo
es
668 Microelectrnica moderna

T, = V,,,.&, L ZR,RC
(15-31)
VJRC RI
habiendo hecho usodelaEc. (15-29). Puestoquelavelocidaddel barridonegativo tienelamismamagnitud
calculada antes. r, = T, = T/2 = l/Tf, siendo la frecuencia

Obsrvese que la frecuencia es independiente de Vo. La frecuencia mxima queda limitada por el ritmo
de variacin del integrador o por su corriente mxima de salida que determina el ritmo de la carga de C.
El barrido ms lento lo limita la corriente de polarizacin del Amp-Op. Los cambios de frecuencia por
dcadasseobtienenvariandolosvaloresdelacapacidadsegnpotenciasde 10,yloscambiosdefrecuencia
dentro de una dcada. con la variacin continua de la resistencia R.

Modulacin del ciclo de servicio (duty)

Si SCdesean unos intervalos de barrido distintos, T, t T,, deber sustituirse R de la Fig. 15-27~ por la
red de la Fig. 15.26a. Un procedimiento alternativo consiste en aplicar una tensin ajustable V, f 0 al
terminal no inversor del integrador como se indica en la Fig. 15.27~. Ahora la velocidad de barrido es (V,,
+ VJRC y la pendiente de la rama negativa es (V,, V,$)/RC. iPor qu? La amplitud triangular pico-a-pico
no est afectada por la tensin VSde control. Por tanto
3 v,, -- v,
(15-333
T? v,, + v,
Se puede demostrar que la frecuencia de oscilacin (Prob. 15-40) viene dada por la EL. (15-32)
multiplicada por Il- (V,/V)*]. La frecuencia disminuye para V, f 0. El ciclo de servicio 6 de un oscilador
de onda cuadrada o triangular se define por T,/T siendo T = T, + TI. De la Ec. (15.33) se deduce que

El sistema de la Fig. 15.27 con la adicin de V, es un modulador asimtrico. La asimetrfa vara


linealmente con V,, y se extiende desde 0 para V,, = V,, hasta 0.5 para VS=O y hasta 1 para V, = V,.

Oscilador gobernadopor tensin: VCO (Voltaje Controlled Oscillator)

Observemos que VS de la Fig. 15-27 no slo modifica la asimeha del ciclo sino que altera tambin el
periodo 7= lif: Esto constituye un ejemplo de conversin de tensin a frecuencia. No obstantefes una
tuncin no lineal de V, ya que la frecuencia depende de 1 CV,7/V,,>. En la Fig. 15-28 se representa un
para obtener un generador de ondas cuadradas ntriangulares cuya frecuencia dependa linealmente de la
modulacin de una tensin r,,,. El inversor CMOS formado por Ql y Q2 acta como un interruptor de un
solo polo y doble recorrido (SPDT). La etapa separadora de la Fig. 15-28 es un seguidor de tensin que
excita al integradordcsdc unabaja impedancia. Este sistema difiere fundamentalmente del de la Fig. 15-27
en que ahora la velocidad de barrido la determina v,,,pero la amplitud de la onda sigue estando fijada por
los parmetros del comparador, es decir* p Vg,.La tensin negativa-~,,, se obtiene de un Amp-Op inversor
de ganancia unidad.
Supongamos que la salida del comparador Schmitt sea v,,= V,>.excediendo Vg,el mximo valor de v,,,.
Generacin y conformacin de ondas 669

DisparadorSchmitt

Entonces, para el CMOS inversor, et mtertuptor Ql esta cortad (OFF) y el Q2 en conducctn (ON). La
entrada v, al integrador (la salida del seguidor de tensin) es ->J,,~.
Por tanto v,,(t) crece linealmente con una
velocidad de barrido vJRC Vis hasta que v,>alcance el nivel umbral del comparador p V,, = V,,RJ(R, +
R, ), Entonces la salida del Schmitt cambia de estado a IJ#,= -u2 como en la Fig. 15.28h. Ahora conducir
Ql y Q2 estar cortado y la salida del interruptor CMOS pasar a ser +Y,,,resultando una rampa lineal
negativa Y,, = -iz,,,c/RChasta alcanzar el umbral negativo -pV,,. Evidentemente los dos semi-ciclos son
idnticos, y

2; = pv., (-pc,,) = 2R +, v,,


& I 2
La frecuencra ael oscilador viene dada porf= l/T sea

RI + R> uu,
.f = 4RCRZ v, (15.36)

indicandoclaramentequelafrecuenciadeesteosciladorvaralinealmentecnlatensin Y demodulacin
Experimentalmente se ha determinado que esta linealidad abarca ms de tres dcadas {ksde menos de
2 mV hasta ms de 2 V). El sistema de la Fig. 15.28 corresponde al de una onda cuadrada o triangular de
frecuenciamodulada.
15.11. GENERADORES DE IMPULSOS

El generador de onda cuadrada de la Fig. 15.25 se ha modificado aadiendo un diodo de fijacin (DI)
en paralelo con C, como se ve en la Fig. 15.29, para que funcione como multivibrador monoestable. Se
aplica un estrecho impulso negativo v, al terminal no inversor a travs del diodo 02. Para seguir el
funcionamiento del circuito supongamos que est en su estado estable con la salida en v- = + Vo 7 con el
condensador fijado ala tensin del diodo DI en conduccin V, = 0,7 V (con bVcJ> V,). Si la amphtud del
disparo es mayor que PV,; V, el comparador cambiar a una salida v0 = -Vo. Como indica la Fig. 15.29b,
ahora el condensador ir cargndose exponencialmente, con una constante de tiempo T = RC, a travs de R
hacia -V,, porque D 1 queda polarizado en inverso. Cuando ve se haga ms negativo que -pV,, la salida del
comparador vuelve otra vez a + V,,. El condensador ahora empieza a cargar hacia + V,>a travs de R hasta
que $(alcance V, y C queda fijado nuevamente en v, = V,. En el Prob. 15-41 se halla que el ancho T del
impulso viene dado por
1 + v,/v,,
T= RCIn (15.37)
1-P
Si V,, L, y R, = R, de forma que p = 0.5 tendremos T = 0,69 RC.
El impulso de disparo T, debe ser mucho ms estrecho que la duracin T del impulso generado. El
diodo 02 no es indispensable pero sirve para un mal funcionamiento si aparece una punta positiva de mido
en la lnea de disparo.
Puesto que un disparo genera una onda rectangular que nace en un momento dado y que por tanto
puede emplearse como puerta de otras partes del sistema, se le puede denominar como circuito-puerta.
Adems, ya que genera una transmisin rpida en un predeterminado tiempo T despus del disparo de
entrada, se le denomina tambin circuito de retardo de tiempo.
Obsrvese que la tensin v, del condensador de la Fig. 15.29b no alcanza su valor vc = V, hasta que
7 > T. Por tanto hay un tiempo de recuperucin T-7 durante el cual no se puede excitar nuevamente el
circuito. En otras palabras, el prximo disparo de sincronizacin debe retrasarse del anterior impulso de

Di
entrada en por lo menos T segundos. En el Prob. 15-42 se ve una alternativa de circuito monoestable con
recuperacin ms rpida.

Multivibrador monoestablede repeticin

Consideremos la configuracin de la Fig. 15-30~. En estado de reposo (antes de aplicar un disparo) el


JFET est en corte por la tensin de polarizacin inversa puerta-fuente -VG, de Q. El condensador se carga
a la tensin de alimentacin V,, de forma que la tensin en el terminal inversor der comparador es vr = V,,
La tensin de la entrada no inversora es constante e Igual a pVa = Va RJ(R, + R2 ), Puesto que Yo> f,Va, la
salida del comparador est en su nivel bajo Yo,= V,,.
Supongamos que en el mstante t = 0 se aplica una seal positiva estrecha v, con amplitud del impulso
aproximadamente iguala V El JFET conduce con una corriente constante y alta que rpidamente descarga
linealmente C hacia tierra. Con pequeas seales, Yo ya no cae linealmente sino que se aproxima
exponencialmente acero con una constante de tiempo rn< ,,,N)C (Sec. 4-3). En la Fie. 1%30h figuran las
ondas de v<y Yo>. Tan pronto Y, cae por debajo de pV, la sahaa del comparador pasa a su nivel alto Y,,= + Vn.
Supongamos que el ancho T, del impulso es suficientemente grande para que v( = 0 al final de la seal
de entrada. Entonces, a I = T,, el condensador se carga exponencialmente hacia V con una constante de
tiempo RC. Cuando vr,= f3V,, el comparador cambia nuevamente y para Y, > PV,,, Lse mantiene en Vo.
generando la onda positiva de ancho T representada en la Fig. 15.30b. Se puede demostrar que

En la deduccin de esta ecuacin se ha supuesto que T T,,. Ms aproximacin se tiene sumando 7


P
al segundo miembro de la Ec. (15.38).
Obsrvese que a diferencia de las configuraciones monoestables (por ej. la de la Fig. 15.29) no se
necesita ningn tiempo de recuperacin antes de que el sistema de la Fig. 15.30 se pueda excitar de nuevo.
Si aparece un segundo impulso positivo en cualquier momento f (menor o mayor que r), el JFET reduce
acero la tensin en C engendrndose las ondas de la Fig. 15.30b en el instante r = f en lugar de f = 0. Por
672 Microekctrnica moderna

tanto, en f = f se inicia un nuevo intervalo T. Un circuito como ste constituye un multi monoestable de
repeticin.

15124$EL TEMPORIZADOR INTEGRADO 555

El chip temporizadar 555 se emplea mucho como multivibrador tanto monoestable como astable. Fue
introducido primeramente por Signetics empleando tecnologa bipolar, ahora lo suministran varios
fabricantes tanto en tecnologa bipolar como en la CMOS. La configuracin bsica del 555 est
representada en la Fig. 15.3 1 en la que puede verse que consta de dor comparadores, un biestable SR, un
transistor de descarga Q 1 y una etapa de salida en totem. Con V,, = 5 V el temporizador es compatible con
las series 54/74 TTL y con las familias lgicas CMOS.
El circuito de la Fig. 15-310 est conectado como multivibrador monoestable; la resktencia R y el
condensador C son exteriores al chip y sus valores determinan el ancho del impulso. Las tres resistencias
iguales R, establecen las tensiones de referencia V, y V, para los comparadores 1 y 2 respectivamente, ya
que V, = 2 V,, /3 y V, = V, 13. El valor de R, no puede ajustarse con precisin. Sin embargo, la tcnicas de
fabricacin integradacontrolan ajustadamente larelacin de resistencias de formaque V, y V, son.precisas.
Antes de la aplicacin de la tensin dedisparo v,, el biestable SR se repone con Q = V(0) y Q = V( 1).
Estos niveles vuelven v0 = V(0) = 0 y saturan Ql haciendo que la tensin umbral vxsea aproximadamente
igual acero. Puesto que vr <V la salida del comparador 1 es V(0). Tambin la sahda del comparador 2 es
I(0) ya que , > v,.
En el momento t = 0 la aplicacin de un impulso de dhparo Y, <V2 hace que la salida del comparador
2 sea V(l), fijado el biestable. Por tanto, Q = V( 1), Q = V(0) hacen que vrj= V(1) cortando Ql. El
condensador temporizadar carga hacia V,,. con una constante de tiempo 7 = RC. Cuando v, alcanza V, en

r.A
1

Figura 15-31. (n) Configuracin bsica del temporizador 555 IC conectado como multivibrador monoestable. Ondas de: Ch) am-
pulsa de disparo vt, (cj tensin umbral v3, y (d) impulso de salida yo.
f = T,, el comparador 1 cambia y su salida pasa a ser V( 1). Esta transicin repone el biestablr, retornando
la salida 11,a su nivel original V(0). La baja resistencia de saturacin de Ql descarga rpidamente C. Las
ondas correspondientes a v,, v, y v,, son las de las Figs. 15.31h, c y d.
El ancho del impulso I , wene determinado por el tiempo necesario para que la tensin v\ arl
condensador cargue a V,. Para 0 < I < T,

c, = v< < IV,, c(O)I t l< (15.3))

Resolviendo la Ec. (1 S-39) a t = 7, cuando v, (7,) = V, = 2V<( 13 tendremos

v< < V(0)


7, = KCIn (15.40)
vi< 13
Si V(0) = 0, la Ec. (15.41) se reduce u

T, =RCIn3 = I.lRC (35.41)

El multivibrador astable

La Fig. 15.32 muestra la conexin del temponzador 555 a manera de multivibrador atable. Suponga-
mos que en el instante t = 0, vz = V, motivando el cambio del comparador 1 y hacierdo su salida V( 1).
Ahora se repone el biestable saturando Ql y descargando C a travs de K,. A f = T, la tensin umbral es
V, = V,,/3 y la salida del comparador 2 pasa a ser V(l) fijando el biestable. El transistor QI se corta y C
se carga hacia V, ( a travs de R, + R,. En el instante T,, Vg= V, motivando una transicin del comoarador
1 completando as el ciclo. Las duraciones del impulso (Prob. 15-45) vienen dadas por
674 Microelectrnica moderno

7, = R,CIn? T-. T, = (R, + R,J( in 2 (15.42)

En la Ec. (1 -42) se ha supuesto que V(0) = 0. Obsrvese que la onda cuadrada no es simtrica, lo que
se atribuye a las distintas constantes de tiempo durante la carga y descarga.
El periodo de la onda cuadrada es rz y por tanto la frecuencia de osclacibnJ es

15-13. GENERADORES DE BASE DE TIEMPO

Un generador de base de tiempo lineal da una onda de salida de la que una parte de ella muestra una
variacin lineal de tensin o de koknte con el tiempo. Una aplicacin muy importante de tales ondas
est relacionada con el osciloscopio de rayos catdicos. La representacin cn la pantalla de la grfica de
la variacin respecto al tiempo de una onda cualquiera fequiere la aplicacin entre unas placas de deflexin
de una tensin linealmente variable con el tiempo. Puesto que la onda se emplea para hun-er el haz de
electrones horizontalmente a travs de la pantalla, se le denomina rensin & hor-r-ido. Hay adems otra
muchas aplicaciones del circuito de base de tiempos, como en el radar, televisin, mediciones precisas de
tiempo 4 modulacin de tiempos.
La Fig. 15.33~1muestra la forma tpica de una tensin de base de tiempos. La tensin, partiendo de un
cuto valor inicial. crece linealmente con el tiempo hasta una amplitud mxima V5 tras lo cual cae a su
valor inicial. El tiempo 7 necesario para volver al valor inicial se denomina tiempo de rrhahilitacin o
de IP~CW,XI. Muy frecuentemente la forma de la onda durante el retorno, y el intervalo T, carecen de
importancia.
Sin embargo, en algunos casos se desea que el tiempo de retorno sea muy corto comparado con el
ocupado por la porcin lineal de la onda. Si el tiempo de rehabilitacin es extremadamente corto y en el
momento en que termina el anterior se inicia una nueva tensin lineal, la onda ser como la de la Fig.
IS-33h, que por su forma se denomina en C.+P~WS & siewa o en nxn~a. Es costumbre llamarla tambin
de hawi& an CII aplicaciones que no supongan la dcflexin de un haz de electrones.
Evidentemente la tensin triangular de la Fig. 1S-27b es una onda de barrido con un tiempo de barrido
7, y un tiempo de retorno 7,. Si se hace Tz T, se tendr un perfil en dientes de sierra. El tiempo de
retorno no puede reducirse acero por sus limitaciones introducidas por el ritmo de variacin del integrador
o por LLImxima corriente de Aida (ya que la velocidad de barrido es dvldt = /iC).

El barrido

Una onda puede no ser perldlca pero repetne a mtervalo& wre&ulares. En tates casos es conven~~nre
que el circuito de barrido en vez de estar funcionando continuamente se mantenga en reposo esperando
ser excitado por la propia onda. Tambin puede suceder que aunque la onda se repita regulamente, la
parte deellaque interesaseade cortaduracin comparadaconel periodode laondacompleta. Porejemplo,
la onda puede estar formada por impulsos de 1~s con intervalo de 100 )ts entre impulsos. En este caso el
barrido peridico que puede dar la pauta sincronizada ms rpida deber tener un periodo de 100 PS. Si
como es habitual la base de tiempo se extiende wbre 10 cm el impulso ocupar I mm y no se apreciar
ningn detalle sobre la forma del impulso. Por otra parte, si se puede usar un periodo de barrido de 1 os
o algo ms. el impulso aparecer a todo lo ancho de la pantalla. Por tanto, lo que aqu interesa es un barrido
previsto para por ejemplo un intervalo de 1.5 ps y que se mantenga en reposo hasta que el propio impulso
lo ponga en marcha. Este es un circuito monoestable de barrido excitado o provocado.
Generacin y conformacin de onda 675

La Fig. 15.34 es el diagrama de bloques de un sistema de base de tiempo para un tubo de rayos
catdicos. La onda v, que se quiere observar se aplica a travs de un amplitcador de video de alta calidad
(no representado en la figura) alas placas de defiexin vertical del tubo. Esta seal se aplica simultnea-
mente al sistema de barrido como entrada de sincronizacin. En el bloque 1 se selecciona la polaridad de
smcroniracin tomando la salida a travs de una resistencia ya sea de colector o de emisor. El amplificador
(bloque 2) no necesita trabajar linealmente ya que todo lo que se necesita es que la salida 1; sea
suficientemente grande y rpida para poder excitar el generador de puerta (monoestable). El algunas
aplicaciones se emplea un disparador Schmitt, para obtener impulsos escarpados en la parte creciente o
decreciente de la seal, a voluntad. Puesto que el disparador se emplea para iniciar el barrido, aparece en
la pantalla la parte deseada de la seal de entrada.
El tercer bloque de la Fig. 15-34 es un multivibrador monoestable cuyo ancho de puerta viene
determinado por la constante de tiempo RC(Fig. 15.29a).Una onda de disparo negativa (Vg,en la Fig.
1%29h) sc aplica al generador de barrido (bloque 4) cuya velocidad de barrido depende de una resistencia
R, y un condensador C, (Fig. 15-35). La salida del generador de barrido se amplifica linealmente (bloque
5) y se aplica a las placas de deflexin horizontal del tubo de rayos catdicos.
En el caso de que el tiempo de barrido sea COITOen comparacin con el tiempo entre uno y otro barrido
el haz de rayos catdicos permanecer en un punto durante la mayor parte del tiempo, y si para evitar
quemaduras en la pantalla se reduce la intensidad, los trazos rpidos quedan muy desvanecidos. Para
reforzar el trazado durante el barrido se aplica a la rejilla del tubo una entrada positiva derivada de las
salidas del multi. De hecho, en presencia de esta real intensificadora puede ajustarse el brillo de forma
que al principio el punto no sea visible, pero hacindose visible el trazado tan pronto se inicia el barrido.

Figura 15-34. Diagrama de bloques del sistema generador de la base de tiempo para un tuba de rapa cat~a~us

Generadoresde barrido
El bkdo ms sencillo se obtiene cargando el condensa dor C, desde una tensin de ahmentacin Vc<,
676 Microelectrnica moderna

a travs de una resistenciaR, tal como se indica en la Fig. 15-350. En el instante f = 0 se abre el intenuptor
S, y la tensin v,(r) del barrido ser

L:, = V<<.ll - c b( ) ( I S-44)

Para el razonamiento actual, la forma fsica del interruptor S no tiene importancia. Despus de un
intervalo Ts cuando la amplitud del barrido llega a llT el intenuptor se cierra nuevamente. La onda de
barrido resultante es la de la Fig. 15.35(, (suponiendo nula la resistencia del interruptor).
Obsrvese que la tensin de barrido es exponencial y no lineal. En el caso de un osciloscopio de rayos
catdicos se precisa que la velocidad de barrido sea constante. Por tanto, una definicin razonable de la
desviacin respecto a la linealidad podra venir dada por el etwr de pendiente o de velocidad de barrido,
P
Diferencia de pendiente al principio o final del barrido ( 15-45)
ex =
Valor inicial de la pendiente

Si aplicamos esta definicin ala Ec. (15.44) hallaremos (Prob. 15.50) que independientemente de la
consfan~e de tiempo, para una amplitud de barrido dada VSy una tensin de alimentacin V,,
V,
e, v (15.46)

La Imeahdad memora a medida que decrece la relacin V /c. Por tanto, el circuito simple de la Fig.
15-35~~slo resulta til en aplicaciones con tensiones de baldo del orden de los voltios o de las decenas
de voltios. Porejemplo, se puedeconseguirun bamdode20Vconunerrorenlavelocidadde barridomenor
del 10% empleando una tensin de alimentacin de por lo menos 200 V. Una tensin de barrido de
centenares de voltios requerira una alimentacin de miles de voltios, lo que es un gran inconveniente.
Se consigue una gran mejora en la linealidad empleando el Amp-Op integrador (Miller) de la Fig.
15-35~ en lugar del circuito simple de la Fig. 15.3% Si la ganancia de tensin del amplificador es A,, si
la resistencia de entrada es R, = - y si la resistencia de salida es R,, = 0, entonces v = A v,. La entrada I,
es V<, cuando la amplitud del barrido en la salida del amplificador es V,. Por tanto, seg& la Ec. (15.46),
e, = Vg/A, V lo que significa que

r,(Fig. 15.3Sc) = 1 r,(Fig. 15-33~) (1.47)


Gvzeracin y con@macin de ondm 671

Siendo A, = 100.000, el circuito integrado engendra tensiones en rampa sumamente lineales.


Tambin puede obtenerse un barrido aproximadamente lineal con la configuracin hoorsrrop* del
Prob. 15-54.
El intenuptor S puede ser un JFET excitado por un generador de cebado como indica la Fig. 15-36~
(que se corresponde con la Fig. 15.35a). La seRa de video Y$que se pretende observar est representada
en la Fig. 15-366. Como se ve en la Fig. 15-34 la seal se amplifica para formar un disparo I, para el
multivibrddor monoestable cuya salida vg>es la de la Fig. 15.36~. Existe un pequeo retraso (no
representado) entre el inicio del impulso en la Fig. 15.366 y el principio del cebado en la Fig. 15.36~. En
estado de reposo Q est en conduccin porque v,>= + V,>y la tensin del condensador se mantiene prxima
a cero ya que T,~ ,ONIR,. Durante el intervalo T, el FET est cortado por la tensin de puerta Vcxy el
condensador se carga engendrando el barrido Y,,de la Fig. 15.36d. Al final del intervalo T,, vcJvuelve a
+V,, poniendo en conduccin el FET, descargndose rpidamente C durante un cono tiempo de retroceso
como se ha explicado en relacin con la onda Y, de la Fig. 1%30h (El diodo D evita que la puerta de Q
soporte una corriente apreciable).
Se observa tambin que la velocidad de barrido viene detemkudd por R, C, del generador de barrido,
mientras que su anchura la determina RC del generador de cehndn Si la amplitud del barrido ha
de mantene~e nommalmente constante, debern ajustarse los controles R y C siempre que se varen los de
velocidad R, y C,. Los condensadores C, y C se maniobran simultneamente para cambiar el campo
de velocidades de barrido, y la resistencia R, que se emplea para la variacin continua de velocidad est
relacionaddconR. Nosehaintentadomantenerconstantelaamplitudconalgunaprecisin. Dichaamplitud
se hace deliberadamente tan grande que el final del barrido caiga fuera de la pantalla del tubo con lo que
no se observan las variaciones de amplitud.

1514. GENERADORES DE ONDAS EN ESCALERA

La simple disposicin de la Fig. 15.370 se emplea para engendrar la onda en escalera de la Fig. l5-37h.
Se aplica a un Amp-Op integrador un tren de impulsos negativos 13,del reloj. La salida I,, del integrador
ube linealmente durante la duracin T,>d,e cada impulso mantenindose constante durante el tiempo entre
impulsos (Fig. 15.37~). Si T, 7 = perlado del reloj, la onda v se aproxima a la forma ideal de escalera.
Obsrvese que ni el contador ni el interruptor S intervienen en la formacin de la onda en escalera, slo
se necesitan para reponer v,, acero despus del nmero de escalones deseado (como veremos ms adelante).
Si V cs la amplitud del impulso, la velocidad del barrido es V/RC y la altura v de cada escaln es

= !!G (15.48)
RC
SI he desza terminar la escalera despus de por ejemplo siete escalones. se emplea un contador
ancrono de tres etapas. La salida de cada uno de los tres FLIP-PLOP (biestables) se aplica a una puerta ANO
(Fig. 15.37~). Despur del sptimo impulso hay coincidencia y la salida II,, de la puerta AND pasar a estado
alto mantenindose as hasta despus del octavo impulso (diagrama de la Fig. 15-34). La onda resultante
se usa para gobernar el interruptor S de la Fig. 15-370 que descarga rpidamente C hasta cero como en la
Fig. 15.37~. Modificando el contador asncrono con una puerta de realimentacin adecuada, tal como se
explic en relacin con la Fig. X-16 se puede conseguir la reposicin a cualquier nmero de escalones
dewado.

Contador de almacenamiento
El paso de un escaln al siguiente de la Fig. 15.37~ tiene lugar durante un tiempo Tjs (el ancho de un
nnpulso). Se puede tencr una subida mucho ms escarpada con la configuracin del contador- de
ulmuwnrrmiento de la Fig. 15.38. Para comprender el funcionamiento, supongamos que el condensador
C, est dexargado y el CI cargado a una tensin v. Un impulso de entrada har que C, se cargue a travs
del diodo nI. La constante de tiempo a la que se carga es igual al producto de C, por la suma de las
resistencias del diodo y del seguidor de tensin. Esta constante de tiempo puede ser muy pequea en
comparacin con la duracin del impulso y C, cargar plenamente v, = V con la polaridad indicada.
Durante el tiempo de carga de C, el diodo 02 no conduce y la tensin a travs de C, se mantienen en t;,,. Al
terminar el impulso de entrada el condensador C, queda a la tensin v, = V que ahora aparece a traves de
D 1. La polaridad de esta tensin es tal, que III no conducir. No obstante, el condensador C, descargar
jobre C2 a travs de LI2 y de la resistencia de salida del amplificador. La tierra virtual en los terminales
de entrada del Amp-Op no toma corriente alguna. Por tanto, toda la carga C,V que abandona C, debe
transferirw a CI. El incremento de tensin a travs de C, ser pues

= c,v (IS-4Y)
c>
reducindose i\ cero la tensin a travs de C,. Siguiendo el mismc razonamiento, el siguiente impulso
carga nuevamente C, a la tensin V durante y;, transfiriendo abruptamente la carga C,V a C, al finalizar el
impulso, de forma que L decrece otro escaln de la misma magnitud V dada por la Ec. (15.49).

Aplicaciones

La onda en escalone> trecuenkmente \e emplea para var,ar escaionadamcntr una tensn. Iarnbln sr:
utiliza con fine\ de muestreo (a muy alta frecuencia). Asimismo SC emplea esta onda cn escalones para
trazar sobre la pantalla de un osciloscopio una familia de carackrsticas tensin-corriente del BJT o FET
Generacin y conformacin de ondas 679

0, -

En esta aphcacin cada escaln corresponde a un valor constante de la corriente de base o tensin de
plUT&
680 Microelectrnica moderna

Figura 15.39. Amplificador positivo-negativo de ganancia gobernada: A = f 1, ai R, = R4

15-15. MODULACIN DE UNA ONDA CUADRADA

La variacin de caracterstica de una yorrudoru de alta fkxuencia proporc~onalmer~te una seal de


baja frecuencia se denominamodu/a[,i6ri. El parmetro que se modula puede ser la frecuencia, la amplitud
o el ancho del impulso. El sistema de oscilador de tensin gobernada de la Fig. 15-28 es un ejemplo de
onda cuadrada de frecuencia modulada (FM). La Ec. (15-36) indica que la frecuenciafcs proporcional a
la magnitud de la seal moduladora v,

Modulacin de amplitud
Multiplicandocualquieronda portadora por una kial moduladora i,,,x ubticnc una s3ial dc amplitud
modulada ya que el valor instantneo de la portadora es proporcional a v,,,.Para una portadora senoidal se
puede emplear un multiplicador analgico (Sec. 16.14).
Si la portadora es una onda cuadrada puede llevarse muy fcilmente a cabo la multiplicacin con un
amplific~udor hifsko (Fig. 15.39). Si Y,,= + V,,, la tensin de salida cs L, = -v,,,; con 10 = -\J,, , ). = +v,~,.El
anlisis se de,ja para el lector en el Prob. 15-41. En la Fig. 15.40~ la seal de modulacin v,,, se ha
representado (por facilidad de dibu.jo) co trazos rectilneos, siendo la portadora la onda cuadrada I,, de
IaFig. l5-40h. Laondaresultante,deamplitudmodulada(AM)estesboradaen IaFig. 15-40~,. Obsrvese
que cuando -;,, cs positivo, v = I~,y cuando -II,, es negativo v = -I,,,. En otras palabras. la onda cuadrada
queda multiphcadapor la seal de modulacin. A este sistema a veces se le denomina moduludor-de i~irui-u
de impulso o modulador de rrmplitud de impulso (PAM).

Modulador recortadur
Se obtiene un modulador de amplitud sencillo recortanao la sena medianre un Interruptorgobernado
sincrnicamente por la onda cuadrada. El interruptor S, de la Fig. 15-41 est gobernado por el negativo
de la onda cuadrada de la fig. 15.4Oh. La funcin de S, la puede muy bien desempetir el interruptor JFET
Generacin y conformacin de ondas 681

nannnnnridhnnn

III III
i (Cl
-,
w4

S de la Fig. 15-36 o el interruptor analgico CMOS de la Fig. 6.32. Durante el periodo T, cuando Vg,(en
las Figs. 15-40 y 15-41) es negativo, S, est abierto y v = vm. Durante T, cuando v,, es positivo, S, est
cerrado y Y = 0, supuesto que la resistencia de S, cerrado sea mucho menor que R. Con las seales de
modulacin Yo y de corte v,, de las Figs. 15.40a y 15.40h, respectivamente, la onda ves tal como indica
la Fig. 15.41b. Obsrvese que la onda v es una versin recortada o muestrada de la onda vn,, por lo que
al circuito de la Fig. 15.41a se le llama recortador.
682 Microelectrnica moderna

Observemos que cuando S, est abierto la serial II reproduce la seal de entrada v , y tal como se ve en
la figura en cada intervalo en el que S, est abierto se produce una apreciable variacin en la tensin I,.
Es decir, que cuando I,es positivo, los extremos positivos de la onda \an,no se mantienen a tensin constante
y lo mismo sucede en los extremos negativos cuando I,,,es negativo. Lo ms corriente es que la frecuencia
de trabajo del intenuptor lea muy elevada (unas 100 veces mayor) frente a la frecuencia de la seal v,.
Por tanto, no hay cambio apreciable en v,,, mientras S, ert abierto. De acuerdo con esto, es apropiado
describir la onda I#,como una onda cuadrada de amplitud proporcional a I, y con un valor medio (sealado
a trazos) tambin proporcional a la seal i,, Tambin se puede decir que Y es una onda cuadrada de la
frecuencia del intermptor, modulada en amplitud por la sefial de entrada y superpuesta a una seal
proporcional ala propia seal de entrada Vg,.
La frecuencia inferior de corte del filtro paso alto es tal que la onda cuadrada de frecuencia
relativamente alta pasa con poca distorsin mientras que la frecuencia de la seal est bastante por debajo
del punto de corte. En consecuencia, ala salida del filtro se tiene la onda de la Fig. 15-41~ que corresponde
a ~~perohabindolerestadoelvalormedio. Obsneseque L,es unarplicaatenuadadelaondavmodulada
en amplitud, obtenida en la Fig. 15-40.

Demoduladores
El proceso de recuperar la sekl moduladora de IU seal modulada en amplitud v,,, se denomina
demodulacin. El amplificador de ganancia positiva-negativa empleado como modulador funciona
igualmente como demodulador. Esta afirmacin se justifica con el siguiente razonamiento. Si la onda
modulada V de la Fig. 15-40~ se utiliza como entrada 13~ala Fig. 15.39, tendremos que en el intervalo
(Fig. 15.406) cuando Y = -v,~,,la ganancia A es -1, y en el siguiente semipetiodo Tz cuando V = vn,,A = +l
Por tanto, la salida I (en la Fig. 15-39) en cualquier periodo es v, (en la Fig. 15.40). Evidentemente se
ha reconstruido la seal original L,,,.
En la Fig. 15-42 se indica una variante de demodulador que se corresponde con el modulador recortador
de la Fig. 15-41. El interruptor S, est gobernado por + v,, y por tanto acta cn sincronismo con S, de la

Fig. 15-41. Por ejemplo. en el intervalo , de la Fig. 15-4lc, S, est cerrado y la salida es nula. Por tanto,
durante T, el extremo negativo de v, est conectado a tierra y la tensin a travs de C es -V, como se aprecia
en la Fig. 15-42. En el semiciclo siguiente r, de la onda cuadrada SI est abierto, v, = + V,, y vI = V, + V ,
qut: es la amplitud de Y (Fig. 15-41) durante Tz. A consecuencia del efecto de acoplamiento de C y del
intxruptor gobernado Sz la onda v, se reconvierte en la seal modulada recortada Y de la Fig. 15-4 1h. Si
esta onda Y se pasa por el filtro paso bajo de la Fig. 15.42 que rechaza la alta frecuencia de la onda cuadrada
y transmite la seal de baja frecuencia, la onda resultante vi es la modulacin b,,,de la Fig. 15.400. El
conjunto de condensador C, intermptor S, y filtro de paso bajo forman un demodulador .sncrono.
Amplificador recortador estabilizado
Veremos ahora un sistema modulador-demodulador que tiene una aplicacin particularmente mtere-
sante. Supongamos que se necesita amplificar una pequea seal v,(t) (por ejemplo del orden de los
milivoltios) y que dv,,, /dt es extremadamente pequea. Por ejemplo, si laseales peridica, el periodo puede
ser de minutos y an de horas de duracin. Un amplificador de alterna con el acoplamiento entre etapas
acostumbrado no es factible ya que los condensadores de bloqueo deberan ser prohibitivamente grandes
y se hara necesario un acoplamiento directo entre etapas. Pero con tal amplificador de continua no
podramos distinguir entre los cambios de la tensin de salida debidos alas variaciones de la tensin de
entrada y los debidos a variaciones en algunos componentes activos a causa quizs de la temperatura.
Si el amplificador es de gran ganancia, aun un pequeo desplazamiento del punto de trabajo de la
primera etapa, amplificado por las etapas siguientes, puede suponer una gran variacin en la salida.
Resumiendo, para este objeto se requiere un amplificador de continua extremadamente estable.
Para soslayar esta dificultad se utiliza un amplificador de alterna precedido de un modulador y seguido
de un demodulador. Este procedimiento queda representado en la Fig. 15-43. Puesto que la seal de entrada
v,,,de variacin lenta es recortada, puede admitirla fcilmente un amplificador de alterna convencional
(que es un sistema de paso alto). Seguidamente se demodula la onda amplificada para reconstruir una
rplica aumentada de la entrada v,~,.A este sistema se le denomina amplificador recortador estabilizado.
Obsrvese sin embargo que el amplificador no est estabilizado por el recortador sine ms bien porque la
combinacin sncrona de modulador-demodulador elimina la necesidad de un amphtado; directamente
acoplado exento de desviaciones.
La respuesta en frecuencia de un amplificador recortador estabilizado es muy pobre. No obstante
existen amplificadores estabilizados en alta frecuencia, de varios fabricantes, que aumentan el recortador
con un Amp-Op acoplado en alterna, de alta frecuencia, de forma que la respuesta global se extiende hasta
la frecuencia cero. Por ejemplo, el HA 2900 de Harris Semiconductor o el 3292 de Bur-Brown tienen las
excelentes caractersticas siguientes: desviacin de tensin offset de + 0.3. pV/C; desviacin de corriente
offset k 1 pA/T; ancho dc banda ron ganancia unidad, 3 MHr, y ganancia mnima en lazo abierto
equivalente a 140 dB.

Modulacin de ancho de impulso


Si se aplica una onda triangular viti a un comparador cuya tensin de referencia V, no sea constante,
sino ms bien una seal de audio I;,,,(t), se obtendr una sucesin de impulsos. El ancho oe estos impulsos
refleja la informacin audio. La Flg. 15.440 representa el sistema de modulacin de ancho de impulro.
Si I > Y, la salida del comparador es v,, = V,, y si I <V,,, entonces v,>= V,, como se indica en la Fig.
15-446. Cuando 1,= 0 el ancho del impulso es T/2 siendo T el periodo de la onda triangular. A medida
que I, va creciendo se va reduciendo linealmente el ancho de los impulsos de salida L,,.El tren de impulsos
tiene un valor medio proporcional a la sefial de modulacin. Por tanto, un detector de valor medio puede
utilizarse corno demodulador. Obsrvese que los retrasos de conmutacin iguales, positivos y negativos
se compensan en el comparador v no afectan al ancho del immdso.
684 Microelectrnrca moderna

,*, (Di

Figura 15-44. (a) Un comparador usado como modulador de ancho dc impulso. (h) Como referencia se emplea la onda triangular
vr. La seal moduladora es vn1y cl tren de impulsos de salida es vg.

El sistema descrito es tambin un modulador asimtrico lineal (Sec. 15-10). La asimetra viene dada
por 6 = 0,5( I- v,,/V, siendo V el valor de pico de la onda triangular.

REFERENCIAS

1 Hodges. D.A., y H.G. Jackson: Analysis and Design ot Digital Integrated Circuitr, McFraw-Hill Book
Company, Nueva York, 1983.

2 Grebcne, A.B.: Bipolar and MOS Analog Integrated Circuit Dzsigi; John Wiley and Sons. Nueva York,
1984.

3 Ghausi, MS.: Electronic Devices and Circuits: Discrete and Intcgrated, Holt, Nueva York, 1985.
4 Schilling, D. y C. Belovc: Electronic Circuits: Discrete and Integrated, McGrdw-Hill Book Company,
Nueva York, 1979.

5 SOCIOS.S.: Applications ufAnalo& Integrated Circuits, Prentice-Hall, Englewood Cliffs, NJ., 1985.
6 Taub, H., y D. Schilling: Digital Integrted Electronics, McGraw-Hill Book Compa,,y,Nueva York, 1977.

7 Sedra, A.S., y K.C. Smith: Microelectronic Circuits, Holt, Nueva York, 19x1.

8 Millman. J., Y H. Taub: Pulse, Digital and Switching Wavefonns. McGraw-Hill Book Company, Nueva
York, 1965.

TEMAS DE REPASO

15-l. EQablecer el criterio de Barkhausen, cs decir, las condiciones nz&xarias para bOSWlW osAKxme\ seno,-
dales.
15.2. iCules han los mrgenes de ganancia y de fase necesarios para sostener oscilaciones senoidaleb?
15-3. Esbozar el oscilador de desplazamiento de fase empleando: (a) un Amp-Op. y (2) un JFET.
Generacin y conformacin de ondas 685

15.4. (0) Esbozar la topologa de un oscilador de circuito resonante generalizado empleando las impedancias Z,
zz Y z,.
Ch) i,A qu frecuencia oscilar el circuito?
iEn qu condiciones la configuracin se reduce a un oscilador Colpitts? ,y a uno Hartley?
1.5-s. iu; Esbozar el circuito de un oscilador de puente de Wien.
Ch) iQu componentes determinan la frecuencia de oscilacin?
(4 iY cules la amplitud?
15.6. (o) Dibujar el modelo elctrico de un crktal piezoelctrico.
(h) Esbozar la reactancia en funcin de la frecuencia.
Cd iSobre qu parte de la curva de reac:ancia se desea que se produzcan oxilaciones cuando se emplea el
cristal como parte de un oscilador senoidal? Explquese.
15.7. Esbozar el circuito de un oscilador gobernado por cristal.
15-8. Comparar y contrastar los tres tipos de multivibradores.
15-9. Dibujar un multivibrador monoestable dc puerta NOR y explicar su funcionamiento.
15-10. iCul es la funcin de un diodo en el multivibrador CMOS?
15.11. Repetir el tema 1 S-9 para un circuito atable.
15.12. (a) Esbozar la caracterstica de un comparador ideal con tensin de referencia VR.
(h) Repetir el punto anterior para un comparador real.
15.13. (a) Citu dos mejoras en Las caractersticas del comparador que se pueden conseguir conectando el Amp-Op
en cascada con una combinacin en serie de una resistencia R y dos diodos Zener en oposicin.
(h) iQu determina la magnitud de la resistencia R!
15.14. (a) Esbozar el sistema indicado en el tema anterior pua un comparador inversor con una referencia VR
(h) Dibujar lacaracterstica de transferencia realstica si la tensin de salida se toma en el terminal de salida
del Amp-Op. y tambin si se toma a travs de 105 dos diodos Zener.
15-15. Esbozar el circuito para convertir una senoide en: (u) una onda cuadrada y (h) una serie de impulsos positivos,
uno por cada ciclo.
15.16. Explicar cmo se mide la diferencia de fase entre dos senoides.
15.17. Si se presentan picos de ruido en la seal de entrada de un comparador en las proximidades de la amplitud
V iPor qu la salida puede rechinar?
15.18. <a\ E,bs ovar un comparador regenerativo (disparador Schmitt) y explicar su funcionamiento.
(h) iQu parmetros determinan la ganancia del lazo?
(c) i,Cules la histresis?
(d) Esbozar la caracterstica de transferencia c indicar la histresis.
15.19. Repetir el tema anterior para un disparador Schmitt de emisor acoplado
15.20. (a) Dibujar el sistema de un generador de onda cuadrada empleando un comparador.
(h) Explicar su funcionamiento dibujando las ondas de tensin del condensador y de la salida.
(L) Indicar un mtodo para obtener una onda cuadrada no simtrica (T, t TJ.
15.21. (a) Empleando un comparador y un integrador dibujar el sistema de un generador de onda triangular con
T, =T,
(h) Explicar el funcionamiento dibujando la onda de tensin del condensador.
15.22. Dibujar la configuracin de un amplificador positivo-negativo de ganancia controlada, y explquese su
funcionamiento.
15.23. (u) En un oscilador gobernado por tensin iCul es la caracterstica gobernada por la tensin exterior
aplicada?
(b) iQu se entiende por modulacin de asimetra del ciclo?
15-24. (a) Dibujar la configuracin de un generador de impulso (de disparo nico) empleando un comparador.
(b) Explicar su funcionamiento haciendo referencia alas ondas del condensador y de salida.
15.25. (n) Un condensador C se carga desde una alimentacin Va travs de una resistencia R. Se emplea un JFET
de canal n a manera de interruptor en paralelo con C, y est polarizado de forma que el transistor Q est
cortado. La tensin I< del condensador se aplica al tem~inal inversor de un comparador con tensin de
referencia V, CV. En el instante f = 0 un impulso de cebado Y, pone a Q en conduccin. Esbozar las ondas
I,, Y, y v,, (salida del comparador).
(h) Explicu el funcionamiento y demustrese que ata configuracin funciona como multivibrador mo-
noestable redisparable.
15.26. Dibujar el diagrama de bloques de un sistema de base de tiempos para un osciloscopio de rayos catdicos.
15-27. Esbozar la configuracin de un generador de barrido con onda de salida,(a) exponencial, (b) lineal, (c)indicar
una forma de interruptor de reposicin.
15-28. (a) Esbozar un sistema a base de un integrador para generar una onda escalonada Y, partiendo de un tren de
impulsos L;.
(b) Esbozar \aP,yv y explicar sy funcionamiento.
(c) Explicar como reponer el sntema despu& de N impulsos.
15.29. Repetir el tema anterior para un generador de escalones, contador de almacenamiento.
1530. Explicar cmo modular en amplitud una onda portadora senoidal vt mediante una onda de menor frecuencia
lm.
15-31. (u) Explicarcmo modularlaamplitud de unaportadoracuadrada~ mediante unaondademenorfrecuencia
I~ empleando un amplificador de ganancia controlada positivo-negativo A.
(b) Explicar por qu A puede emplearse tambin como dcmodulador de amplitud.
1532. Esbozar el sistema de modulador-recortador y explicar su funcionamiento.
15-33. i,Qu es un amplificador recortador estabilizado? Explquese.
15-34. (u) Explicar cmo se emplea un comparador amanera de modulador de ancho de impulso.
(b) Dibujar la onda moduladora II, y la correspondiente onda de salida Y,>.
Los s~sremasde control, comun~cac~on~s,clculo e instrumentacin electrnicos pueden considerarse
como procesadores de la informacin contenida en las seales elctricas presentes en el sistema. Er,
captulos anteriores se ha indicado que la informacin reside en las caractersticas de la onda de seal. Por
ejemplo, el dato puede estar contenido en la frecuencia, fase, amplitud, duracin del impulso o en la
presencia o ausencia de un impulso en un momento dado. En el Cap. 15 se han tratado circuitos para la
generacin de una variedad de tales ondas. En este captulo se describe un cierto nmero de circuitos
empleados en el acondicionamiento y conversin de datos, especficamente aquellos que se traducen en
una caracterstica de la seal apropiada a una aplicacin particular. Se incluyen los convertidores de
analgico a digital (AiD), de digital a analgico (D/A) (llamados tambin ADCs y DACs respectiva!mmte),
los filtros activos RC comprendiendo los circuitos gobernados por capacidad, as como otros vanos para
el clculo analgico.

16-l. SEhALES Y PROCESADO DE SEALES


Para describir las ondas elctricas conviene clasificarlas en ondas continuas y ondas discretas. En la
Fig. 16.1 se representan dos seales continuas yen la Fig. 16-2 dos discretas. Como se ve en la Fig. 16-I una
seal continua se expresa por una funcin del tiempo definida para todos los valores de t, es decir, que I
es una variable continua. La seal discreta existe slo en determinados instantes. Su descripcin funcional
es vlida slo para los intervalos discretos de tiempo.
Se puede percibir otra particularidad de las ondas de las Figs. 16-l y 16-2 si consideramos la seal de
la Fig. 16.la como una tensin representativa de una cantidad fsica (quizs puede ser la tensin de salida
de un micrfono). La seal discreta de la Fig. 16-2~ tiene la misma amplitud en los instantes f = 0, T,, T,
y T que la seal continua de la Fig. 16. 1a. Ambas ondas de tensin tienen una correspondencia biunvoca
en bempo y amplitud con la cantidad fsica representada. La onda de la Fig. 16-k es una seal de muestreo

@ura 16.1. Dos ondas de seales continuas.


688 Microelectrnica moderna

de datos o simplemente una seal de muestreo, y los sistemas que utilizan tales seales forman los sistemas
de muestreo.
En este contexto, la secuencia de los impulsos en cada intervalo de tiempo de la Fig. 16-2h es una
representacin numrica o digital de las correspondientes muestras de tensin representadas en la Fig.
16-2. Ni la amplitud ni el tiempo de las seales de las Figs. 16-l h y 16.2h corresponden a la cantidad
fsica v,. Esencialmente son seales en las que la informacin est coatenida en la presencia o ausencia
de un impulso durante un perodo de tiempo dado.
LasondasdelasFig. 16.lay 16.2uson seales analgicas y las de las Figs. 16-l h y 16.2hson digitales.
Ambos tipos de seales se encuentran frecuentemente en los sistemas electrnicos modernos. Evidente-
mente se necesitan circuitos que procesen estas seales pasndolas de uno a otro tipo. Las siguientes
descripciones ayudarn a exponer las distintas funciones que el circuito debe cumplimentar.
La Fig. 16-3 representa un sistema comercial de radio modulada en amplitud. El principal objetivo del
sistema es transferir una informacin audio desde el extremo emisor al extremo receptor. El primer paso
del proceso es convertir una energa acstica en una seal elctrica. La conversin la realiza un transductor,
corrientemente un micrfono. Como la salida del transductor es una seal de bajo nivel se hace necesaria

Emisora

Cambiadorde

,
Infomlacin Seald6ctricaen Sealen radio-frecuencia(rf)
audio audio-frecuencia

Rece@

Figura 16-3. Representacin grficade un sistemacomercialde radm en amr>litudmudutada.


Acondicionamiento y conversin de datos 689

una amplificacin. Las seales en radio-frecuencia (seales de frecuencia superior a los 500 kHz) se
propagan por el aire mucho mejor que las de audio-frecuencia (20 a 20.000 Hz). Por ello frecuentemente
la informacin audio se traduce a frecuencias de radio por un proceso denominado modulacin.
En el receptor, el proceso para extraer la informacin es casi inverso al de transmisin. La seal que
llega es dbil y debe ser amplificada, pero adems, como a la antena receptora llegan muchas seales
(estaciones emisoras) hay que identificar y separar la deseada, funcin ala que se denomina seleccin de
frecuencia. Por consideraciones prcticas se realizan dos traslados de frecuencia (demodulacin), el
mezclador y el detector, antes de extraer la seal de audio deseada. El transductor final, normalmente
altavoz, reconvierte la seal elctrica en la onda acstica audible.
En un sistema de amplitud modulada (AM) la informacin de audio est contenida en la amplitud de
la pomadora de radio-frecuencia (Fig. 16.4a), mientras que en el sistema de frecuencia modulada (FM)
la informacin reside en las variaciones de frecuencia de la onda de radio. En los procesos de modulacin
y de demodulacin en el sistema de FM intervienen convertidores de tensin-frecuencia y de frecuencia-
tensin.
Si la informacin audio a emitir es la msica contenida en un disco digital, la seal digital almacenada
se convierte en una onda analgica mediante un convertidor D/A. (Recurdese que un disco gramofnico
puede considerarse como una memoria de slo lectura ROM.)
Las transmisiones telefnicas modernas emplean seales moduladas por impulsos codificados (PCM)
en los que la informacin oral se convierte en una seal digital en el transmisor reconstruyndose luego
corno seal analgica en el receptor. Para transmisiones a gran distancia las seales PCM son ms
efectivas que las analgicas, porque los datos digitales se ven menos afectados por el ruido que las ondas
analgicas. Para tener en cuenta las prdidas de transmisin, las seales analgicas deben amplificarse
peridicamente (aproximadamente cada 50 km). El ruido introducido por estos repetidores (amplificado-
res) es acumulativo pudiendo resultar unas seales seriamente perturbadas. En el sistema PCM los
repetidores detectan la seal de Ilegaday la regeneran y limpian para transmitirla al repetidoro estacin
siguiente. En consecuencia, a la seal se le suma el ruido introducido en un solo enlace. Obsrvese que
los circuitos digitales, al tener un margen de ruido grande (Cap. 6) hacen la transmisin eficaz.

Figura 16-4. Ondas de: (a) amplitud modulada (AM), y (b) frecuenaa modulada (FM)
690 Microelectrnica moderna

En la Fig. 16-5 se representa, simplificado, un sistema telefnico. La seal de audio, generada por un
micrfono, se muestrea, (circuito de muestreo v retencin) y se convierte en digital mediante un convertidor
A/D. La seal digital se emplea para modular la seal PCM transmitida. En el receptor se demodula la
portadora y se reconvierte en seal analgica. En la transmisin de datos sta funcin la realiza un
modulador-demodulador.
En la misma Fig. 16-5 figuran tambin dos filtros (seleccin de frecuencia). El filtro unti-amhigrdad
se usa el transmisor y elimina la ambigedad en la seal muestreada. Para comprender el origen de esta
ambigedad consideremos dos seales senoidales, v, = 2sennx 1Ot y v = 2sen 7nlOt como en la Fig.
16.6. Las frecuencias de estas sezales son 0,5 y 3,s kHz respectivamente. Si se muestran v, y v a un
ritmo de 4 kHz (es decir a cada 0,25 ms), los valores tomados son los indicados por los puntos sealados
sobre la senoide ms amplia (de menor frecuexia). Como puede verse en la figura, V, y v2 tienen en esos
instantes el mismo valor. Por tanto, existe una ambigedad que imposibilita una reconstruccrn nica de
la seal original. Sin embargo si V! y v, se muestrean al ritmo de 8 kHz (cada 0,125 ms) ya no existir esa
ambigedad como se ve en la propn fi&ra. Para evitar que se produzca tal ambigedad la frecuencia de
muestreo debe ser por lo menos el doble de la mayor frecuencia contenida en la seal analgica. El objeto
del filtro es limitar la frecuencia mxima de la seal analgica que se debe muestrear a una mitad de la
frecuencia de muestreo. El filtro de reconstruccin se necesita a veces para alisar la onda de salida del
cnwertidor DIA.
La represenracin de la Fig. 16-5 es aplicable a muchos sistemas que emplean el procesado digital. Por
ejemplo, la seal de entrada puede ser proporcional a la velocidad del desplazador utilizado para transportar
el portador de la oblea en la fabricacin de circuitos integrados. Para la utilizacin de la potencia de un
computador digital (o micro-procesador) en el proceso de control, se muestrea la seal de entrada y se
convierte en su equivalente digital. El computador trabaja sobre esta informacin y proporciona una seal
(digital) que indica si hay que corregir la velocidad del desplazador. La salida digital se transforma en una
seal analgica que se amplifica (normalmente) y se aplica al sistema de transporte. Si, adems, para el
control senecesita la posicin del desplazador, la seal de velocidad puede integrarse y convertirse tambin
en una seal digital. El multplex permite el muestreo de las seales de velocidad y de posicin
(desplazamiento) con el mismo circuito.
Las descripciones cualitativas de los prrafos anteriores muestran la variedad de funciones que se deben
Acondicionamiento y conversin de datos 691

cumplir para el procesado eficaz de seiiales. En las siguientes secciones se tratar dc la conversin mutua
de seales analgicas y digitales. En lo que resta del captulo veremos una variedad de circuitos tiles en
el acondicionamiento y adquisicin de datos.

16-2. TOMA Y RETENCIN DE INFORMACIN


Un si%ema tpico de adquisicin de datos recibe setiales de un cieno nmero de fuentes distintas y las
transmite en forma adecuada a una calculadora un canal de comunicacin. Un multplex (Sec. 16.3)
selecciona secuencialmente cada seal, para convertir seguidamente la informacin analgica en una
tensin constante durante el tiempo de sensibilizacin por medio de un sistema de adquisicin y retencin:
La salida constante de este circuito puede convertirse para su transmisin en una seal digital mediante
un convertidor analgico-digital AID (Sec. 16.13).
El circuito de retencin en su forma ms sencilla est formado por un interruptor S en serie con un
condensador, como en la Fig. 16-7~. La tensin a travs del condensador sigue la seal de entrada durante
cl tiempo 7< cuando una puerta de control lgica cierra S y mantiene el valor instantneo alcanzado al
final del intervalo Te cuando la puerta de gobierno abre S. El intermptor puede ser un intcnuptor bipolar de
tran\i~nr, un tiOSFET &obemado por una seal de excitacin o una puerta de transmisin CMOS.
692 Microelectrnica nmterna

La configuraciyn de la Fig. 16.7h corresponde a uno de los sistemas de retencin prcticos ms


sencillos. Un impulso positivo en la puerta del NMOS pone en conduccin el intenuptor y el condensador
de retencin C carga hacia el valor instantneo de la tensin de entrada, con una constante de tiempo (RO
+ rBsLouJC siendo K,, la resistencia de salida (verdaderamente baja) del Amp-Op seguidor de tensin de
entrada * , Y ri>i(iiN,la resistencia en conduccin del FET (Sec. 4-2). En ausencia de un impulso positivo
se corta el intermptor y el condensador queda aislado de cualquier carga a travs del Amp-Op A2,
manteniendo la tensin alcanzada. Se recomiendaque en los circuitos de componentes discretos o hbridos
se empleen condensadores con dielctrico de policarbonato, polietileno, poliestireno, Mylar o Teflon. La
mayor parte de los dems condensadores no retienen la tensin almacenada, a consecuencia de un
fenmeno de polarizacin que hace descender esa tensin con una constante de tiempo de varios segundos.
Esto es la resistencia de prdida de condensador.
Recordemos que la clula bsica en un RAM dinmico MOS (Sec. 9-5) deba reponerse cada unos
pocos milisegundos. Como un MOSFET es un condensador, la prdida de carga en un circuito integrado
es anloga a la descrita ms arriba. Sin embargo, si un sistema de toma y retencin integrado se excita
frecuentemente (por lo menos una vez cada unas pocas dcimas de milisegundo, como es lo corriente),
habrn pocas fugas ya que el tiempo entre tomas es considerablemente menor que la constante de tiempo.
Otros dielctricos de los mencionados ms arriba presentan tambin un fenmeno denominado
uhsorcirn dirlcwica que hace que un condensador recuerde parte de su carga anterior (si vara su
tensin). An cuando no se den los fenmenos de polarizacin y de absorcin, la corriente en el interruptor
cortado (< 1 nA) y :a de polarizacin del Amp-Op circularn a travs de C. Puesto que la corriente de
polarizacin mxima de entrada es menor que 1 nA, se deduce que con una capacidad de 0,5 pF el ritmo
de variacin durante el perodo de retencin es de menos de 2 mV/s.
Dos factores adicionales influyen en el funcionamiento del circuito: el tiem/x~ de apertura (nomal-
mente menor de 100 ns) que es el tiempo transcurrido desde que se aplica el impulso al intermptor hasta
que ste se cierra, y el riemp clcurlquisi<~in que es el requerido por el condensador para pasar de un nivel
de tensin de conservacin hasta el nuevo valor de entrada una vez cerrado el interruptor.
Cuando el condensador es mayor de O,O5 pF se debe incluir una resistencia de aislamiento de unos
10 kcl entre el condensador y la entrada + del Amp-Op. Esta resistencia se necesita para proteger el
amplificador en caso de un cortocircuito en la entrada o que el suministro de potencia caiga sbitamente
estando el condensador cargado.
si K<,Y ~m<,N,son tan pequeos que se pueden despreciar, el tiempo de adquisicin queda limitado
por la corriente mxima / que el Amp-Op seguidor de entrada pueda suministrar. La tensin del condensador
vara a un ritmo d</dt = //C. Puesto que la corriente de cortocircuito de un Amp-Op es limitada (25 mA
en el chip 741) se emplea un seguidor de emisor complementario exterior para aumentar la corriente
Acondicionamiento y conversin de datos 693

disponible para cargar (o descargar) C con rapidez extrema. En la Fig. 16-8 puede verse esta disposicin
situada entre el intermptor de toma y el condensador. Obsrvese que A 1 no acta ya como seguidor, sino
que su terminal de entrada negativo est ahora conectado ala salida Y. Esta conexin asegura que durante
el inter-valo de muesfr~~, II = v,. Durante el intervalo de retencin, I se mantiene al valor alcanzado por
>,al final del tiempo de muestreo, salvo las variaciones muy pequeas de tensin a travs de C debidas a
la corriente de polarizacin del Amp-Op de salida y alas corrientes de fuga del interruptor y del seguidor
de emisor. Cuanto mayor sea la capacidad de C tanto menor es la variacin de tensin durante la retencin.
Sin embargo, cuanto menor sea C menor ser el tiempo de adquisicin y por tanto mayor la fidelidad con
que la salida sigue a la entrada durante el muestreo. Adems el condensador de retencin crea un polo
adicional con el que se debe contar al considerar la transmisin del lazo y la estabilidad. Por tanto, debe
elegirse C como compromiso entre estas tres circunstancias conflictivas, dependiendo de la aplicacin del
caso.
Se puede adquirir un sistema de toma y retencibn (S-H) en un solo chip monoltico (por ej. el HA 2420
de Han% Semiconductor o el LF 198 de National Semiconductor) con el condensador de almacenamiento
exterior. El terminal inversor de A 1 es accesible desde una patilla exterior, y por tanto este chip se puede
emplear para construir un sistema S-H ya sea inversor o no inversor que si se le aade la resistencia exterior
habitual (Prob. 16.5) dar una ganancia.

16-3. MULTPLEX Y DEMULTPLEX ANALGICOS


Tal como indica la Fig. 7.17h un multpkn selecciona una de entreN fuentes y transmite las seriales
(analgicas) a una nica lnea de transmisin. De todos los interruptores (mencionados en la seccin
anterior) capaces de pasar las seales de entrada al canal de salida la mejor actuacin se consigue con la
694 Microelectrnica moderna

puerta de transmisin CMOS (Fig. 6-32). Si en la fabricacin de esta puerta se emplea aislamiento
dielctrico se puede obtener una corriente de fuga de slo 1 nA a + 12SC, con un tiempo de conmutacin
de 250 ns. Para esta aplicacin existen muchos dispositivos distintos de tales puertas CMOS.
En la Fig. 16.9 se representa un diagrama de bloques de un conmutador analgico de 16 entradas. Se
obtiene un multplex divisor de tiempo si el conmutador MOSFET complementario Sl se cierra (es decir,
si est en su estado de baja resistencia) durante un tiempo T, el intenuptor S2 cierra durante el segundo
perodo T, S3 transmite durante el tercer perodo T, yas sucesivamente. En la Fig. 16-9 el smbolo C, (k
= 1,2,.. ,I6) representa la tensin de gobierno digital y C, su valor complementario, obtenido de un inversor
(no representado). Si C, es igual al binario 1, la puerta CMOS transmite la seal analgica vi a la salida,
pero si C, es el binario 0 no hay transmisin alguna.
La Fig. 16-10 corresponde al diagrama de bloques para obtener las tensiones de gobierno digitales
necesarias para el multplex de la Fig. 16-9. El control C, es la salida de la k-sima lnea de un deccditicador
de 4 a 16 lneas (Sec. 7-6). Las cuatro lneas de direccin A, fl, C y D son las salidas de un contador binario
excitado por un generador de impulsos. Si el intervalo entre impulsos es T, se obtiene la distribucin de
tiempos con el sistema de las Figs. 16-10 y 16-9 correspondiendo auna conversin paralelo-serie vista en
la Sec. 7-7.

Figura 16.10. Sistema de generacidn de tensones de gobierno digitales C, para el multplex

Demultplex analgico
El multplex arriba descrito ha entrado el dato analgico en un canal nico, ocupando cada seal
analgica su propio lugar en el tiempo. Al final de la lnea de transmisin, debe separarse cada seal de
las dems y situarla en un canal individual. Este proceso inverso constituye la demodulacin, estando
representado esquemticamente en la Fig. 7-170. El conmutador de mltiples posiciones de esta figura
se sustituye por IV puertas de transmisin CMOS y la serie de datos se aplica a la entrada de todas estas
puertas. Las seales de gobierno C, se obtienen de la forma indicada en la Fig. 16-10. Los sistemas para
C, en los extremos emisor y receptor del canal deben estar sincronizados. Este sistema multplex-
demultiplex economiza el volumen, peso y coste de N-1 canales de transmisin ya que todas las seriales
analgicas se transmiten por un solo canal (N puede ser varios centenares). El CD 405 IM de la National
Semiconductor es un multplex~demultplex analgico de ocho canales.

16-4. CONVERTIDORES DE DIGITAL A ANAL6GICO (DIA)


Muchos sistemas admiten una informacin digital a manera de seal de entrada y la traducen o
convierten en una tensin o corriente analgica. A este sistema se le denomina converridor de digitul o
unul~i<~u o converridor DIA (o DAC). La informacin digital se presenta en una variedad de cdigos,
siendo los ms usuales el binario puro o el codificado binario-decimal (BCD),
La salida V,, de un convertidor D/A de N bit viene dada por
v,, = (2,\ Cl\. , + 2,* %,\ 2 + + 20, + 20, + o,,)L
acondicionamiento y conversin de datos 695

Inte~~ptorgobernadodigitalmente

siendo V un factor de proporcionalidad detcmkado por los parmetros del sistema, representando los
coeficientes a,, la informacin binaria, siendo a!, = I(0) si el n-simo bit es l(0). En este circuito se emplea
una tensin de referencia estable VR. El bit mas significativo (MSB) es el correspondiente a ayo, siendo
su valor ponderado 2 V mientras que el bit menos significativo (LSB) corresponde a LI,,siendo su valor
2 z 1,.
Consideremos por ejemplo una informacin dc cinco bit (N = 5) de forma que la Ec. (16-l) pasa a ser

C,, = (16~1, ~- Xu; + -lcil + 211, + LI.,)\ (16.2)

Para mayor sencillez supongamos V = 1. Entonces si u,>= 1 y todas las dems il son cero, tendremos Ll,,
z 1. Si u, = 1 y todas las dems a son cero obtendremos \ = 2. Si a,, = a, = 1 y todos los dems son nulos,
V,! = 2 + 1 = 3V. etc. Evidentemente V es una tensin analgica proporcional a la entrada digital.
La Fig. 16-l 1 representa esquem&icamente un convertidor D/A. Los bloques S,,, S,, .Y?...S,,, son
interruptores electrnicos gobernados digitalmente. Por ejemplo, cuando hay un 1 en la lnea del bit ms
significativo, el conmutador S,~, conecta la resistenciaR a la tensin de referencia V,. Por el contrario,
si en la lnea del bit ms significativo hay un 0, el conmutador conecta la resistencia a la lnea de tierra.
Por tanto, este interruptor es un conmutador electrnico unipolar de dos direcciones (SPDT). El Amp-Op
acta como convertidor corriente-tensin (Sec. 10.22). Vemos que si el bit ms significativo es 1 y todos
los dems 0, la corriente a travs de la resistencia R es V, /R y la salida es V,R/R. Anlogamente, la
salida del bit menos significativo ser V,>= V$/l6R (si N = 5). Si los cinco bit son 1 la salida ser
VKR
v,,=(l+++$+h+&)~=(16+8+4+2+ ,)- (16.3)
16R
Lo que concuerda con la Ec. (16.1) si V = V,R/l6R. Este ruonamiento confirma que la tensin
analgica V,, es proporcional a la entrada digital.
696 Microelectrnica moderna

Son posibles diversas variantes en los interruptores &ohernados digitalmente de la Fig. 16-l 1, dos de
las cuales se indican en la Fig. 16-12. En la Fig. 16-12~ un excitador MOSFET en totem alimenta cada
una de lar resistencias conectadas a la entrada del Amp-Op. Las dos entradas de puerta complementarias
Q y Q provienen de un MOSFET SR hiestahle o registrador que mantiene la informacin digital para
convertirla cn un nmero analgico. Supongamos que la Kgica 1 corrzsponde u 10 V y la lgica 0 a 0
V (lgica negativa). Un 1 en la lnea de bit pasa el hiestahle en Q = I y Q = 0, y por tanto el transistor Q I
conduce, conectando la resistenciaK, a la tensin de referencia \:, mientras el transistor Q2 re mantiene
en corte. Anlogamente un 0 en la lnea del bit de entrada conecta la resistencia al terminal de tierra.
La Fig. l6-l2h es una excelente variante de interruptor electrnico unipolar de dos direcciones. Esta
configuracin consiste en un inversor CMOS que alimenta un Amp-Op que a su vez excira R, con
resistencia de salida muy hala. Queda indicado un sistema de lgica positiva con V( 1) = V, = + 5 V y
I(0) = OV~EI complemento Q del bit Q = T,, en consideracin, se aplica a la entrada. Por tanto, si u,, = 1,
entonces Q = 0, -salida del inversores Iglca 1 y quedan 5 V aplicados aR,. Por otra pate, si el n-simo
es un binario 0, Q = 1 y la salida del inversor es de 0 V de forma que K, queda conectada a tierna. Esto
confirma el funcionamiento correcto del circuito de la Fig. l6-12h como conmutador unipolar de dos
direcciones.
La precisin y estabilidad del convertidor DIA de la Fig. 16- ll depende principalmente en la precisin
absoluta de las resistencias y de su variacin con la temperatura. Siendo todas las resistencias distintas y
la mayor de ellas igual a 2 K, en que R es el valor de la menor se llega a valores excesivamente grandes,
resulta dificultoso y caro conseguir resistencias estables y precisas de tales valores. Por ejemplo, para un
convertidor DIA de 12 bit la resistencia mayor es de 5.12 MR y la menor de 2.5 k0. La cada de tensin
a travs de tan gran resistencia debido a la corriente de polarizacin afecta a la precisin. Adems, la
fabricacin prctica de resistencias tan grandes queda excluida. Por otra parte, si la resistencia ms grande
tiene un valor razonable (51,2 ka) la menor (25 n) puede ser del orden de la resistencia de salida del
conmutador, afectando ruxunente a la precisin. Por ello, este tipo de convertidor se emplea raramente cuando
se precisan ms dc 4 bit. El convertidor tipo escalera que describiremos a continuacin soslaya esta dificultad de
resistencias excesivamente grandes y se emplean frecuentemente en sistemas de convenin de datos.

Convertidor DIA tipo escalera


En la Fig. 16-13 podemos ver un circuto que utiliza doble nmero de resistencias que el de la Fig.
16. Il para el mismo nmero N de bits, pero de valores R y 2R nicamente. La escalera de este circuito es
un dispositivo divisor de corriente y por tanto la relacin entre resistencias tiene ms importancia que su
Acondicionamiento y conversin de datos 697

valor absoluto. Observemos en la figura que desde cualquier nudo la resistencia es 2R tanto hacia la
izquierda como hacia la derecha o hacia el interruptor.
Por ejemplo, hacia la izquierda del nudo 0 la resistencia a tierra es 2R; hacia la izquierda del nudo 1
existe la combinacin en paralelo de dos resistencias 2R atierra en serie con R, con resistencia total K +
R = 2R,y assucesivamente. Portanto, sicualquierinterruptor,porej.el N-2, reconectaaV,, laresistencia
vista por V, es 2R + ZR /I 2R = 3R, y la tensin en el nudo N-2 es (V, /3R)R = V, /3.

Consideremos ahora que el bit ms significativo sea de lgica 1 de forma que la tensin en el nudo
N-I sea V, 13.
La salida ser
V, R, + RI
v,, = T EGE
(16.4)
RI
Anlogamente, cuando el segundo bit ms significativo (N-2) es el binario y todos los dems son 0, la
tensin de salida en el nudo N-2 es VJ3, pero en el nudo N-l IU tensin es la mitad de este valor, por
motivo de la atenuacin debida 8 la resistencia R entre nudos y a IU resistencia I desde el nudo N-l a
tierra. Por tanto, para el segundo bit ms significativo (N- 2) se tiene V,, = V/2. De igual forma se puede
demostrar (Prob. 16-6) que el tercer bit ms significativo da una salida V/4, y as sucesivamente.
Evidentemente, la salida es de la forma de la Ec. (16-l) con V = 2V.
Debido a las capacidades parsitas entre nudos y tierra se produce un retraso de tiempo en la
propagacin de izquierda a derecha de la escalera. Cuando se cierra S0 el retraso en la propagacin cs
mucho mayor que cuando se cierra el intermptor del bit ms significativo. As, cuando cambia la tensin
digital aparece una onda transitoria en la salida antes de que V,, se establera en su propio valor. Estos
transltonos se evitan con un cambiador D/A dc escalera invertida (Prob. 16.7).

Convertidor DIA multiplicador


Un convertidor D/A que emplee una seal analgica variable 1, en lugar de una tensin de referencia
fija se denomina wnvr~tido~-DIA nu~/ri/~licadw. Por la Ec. (16-I) vemos que la salida es el producto de
la informacin digital y la tensin analgica V,,( = 2~ V) dependiendo este valor de la informacin binaria
(que representa un nmero menor que la unidad). A esta disposicin se le llama a veces atenuador
progr-amable porque la salida V,, es una fraccin de la entrada V L,y la posicin del atenuador se puede
gobernar con lgica digital. Este tipo de convertidor se emplea a veces para gobernar la frecuencia central
o ancho de banda de un filtro de estado variable (Sec. 16-l 1).
El D/A bsico descrito en esta seccin debe aumentarse con circuitos adicionales. Entre estos circuitos
adicionales se incluye la tensin de referencia, el Amp-Op y las conexiones y circuitos lgicos necesarios
para la entrada de datos. Se pueden conseguir comercialmente sistemas monolticos conteniendo todos
estos circuitos. El AD 558 de Analog Devices es un D/A bipolar de 8 bit en el que los circuitos digitales
se fabrican con tecnologa IL (lgica de inyeccin integrada). Tambin se emplea la escalera R-2R en el
AD 7541 que cs un D/A dc 12 bit (que puede funcionar como un convertidor D/A multiplex).
Frecuentemente para manejar 16 bit se utiliza una arquitectura segmentada de dos etapas. Los 4 bit
ms significativos se decodifican digitalmente para seleccionar una tensin de una cadena de resistencias
similar a la usada en el comparador de la Fig. 16.16. Esta tensin pasa 8 ser la de referencia V, de un D/A
en escaleraR-ZR, que convierte los 12 bit menos significativos. Efectivamente, los 4 bit ms significativos
dividen ;, en 16 segmentos desde 0 a 15 V, /lh V. Los 12 bit menos significativos dividen luego la
fraccin apropiada de V, cn 4096 (2) partes. As, para V, = 10 V, el D/A de 16 bit da una salida analgica
de 0 a 10 V con incrementos de 153 pV. Esta arquitectura se emplea en el AD 7546 que incorpora la
escalera bsica del AD 754 1.
Tambin se fabrican convertidores D/A de 16 bit con tecnologa BIMOS (Sec. 14.10) para aprovechar
la baja potencia de la lgica CMOS junto con la alta velocidad de los circuitos analgicos bipolares (AD
569). Recientemente sehan introducido convertidores D/Aconcircuitos CMOS gobernados por capacidad
que han sido m;y eficaces en la reduccin de la potencia consumida ya que se han eliminado la mayor
parte de las resistencias (Sec. 16-12).

16-5. CONVERTIDORES DE ANALGICO A DIGITAL (AID)


Frecuentemente se hace necesario que datos tomados de un sistema fsico se conviatan a formadigital. Estos
datos normalmente aparecen en forma analgica elctrica. Por ejemplo, una diferencia de temperatura
puede estar representada por la salida de un termopar, el esfuerzo de un elemento mecnico se puede
representar por el desequilibrio elctrico de un puente medidor de esfuerzos, etc. De aqu nace la necesidad
de dispositivos que conviertan la informacin analgica a forma digital. Se han ideado muchos de tales
dispositivos. Seguidamente trataremos de los cuatro sistemas ms corrientes: (1) Convertidor analgico-
digital con contador (AID), (2) el comparador A/D por aproximaciones sucesivas, (3) el comparador A/D
en paralelo, y (4) el comparador A/D de relacin o de doble pendiente.

Convertidor AID con contador


Expondremos este sistema haciendo referencia a la Fig. 16.14~. Un impulso de borrado pone el
contadora cero. El contador registra en forma binaria el nmero de impulsos de la lnea del reloj. El reloj
es una fuente de impulsos igualmente espaciados en el tiempo. Como el nmero de impulsos contados
crece linealmente con el tiempo, la informacin binaria que representa esta cuenta se usa como entrada a
un convertidor D/A cuya salida es la onda en escalera de la Fig. 16.14h. Mientras la entrada analgica
V,>sea mayor que V,i el comparador (que es un amplificador diferencial de gran ganancia: ver Sec. 15-7) tiene
una salida alta y la puerta AND est abierta para la transmisin de los impulsos del reloj al contador. Cuando
v, supera a v0 la salida del comparador cambia a su valor bajo y se cierra la puerta AND. Con esto se
detiene la cuenta en el momento en que Va = V li y se puede leer en el contador la informacin digital que
representa la tensin de entrada analgica.
Reloj c+

/ Comparador

Convertidor AID por aproximaciones sucesivas


En lugar del contador binario como en la Fig. 16-15 este ststema se vale de un programador. El
programador pone el bit ms significativo en 1 y todos los dems en 0, y el comparador compara la salida
del DIA con la seal analgica. Si la salida del D/A es la mayor, el 1 del bit ms significativo se elimina
y pasa al siguiente inmediato inferior. Si es la entrada analgica la que es mayor. el 1 permanece en su
lugar. A continuacin se prueba un I en cada bit del decodificador D/A hasta tener al final del proceso el
c&dente binario de la seal analgica. En un sistema de N bit el tiempo de conversin es de N periodos
del reloj frente al caso ms desfavorable de 2 intervalos de pulsacin para el convertidor A/D con
contador. El AD 7582 (de Analog Devices Co) que es un encapsulado CMOS de 28 patillas en dos lineas,
es un convertidor A/D de 12 bit que cmplca las tcnica de las aproximaciones sucesivas.

Convertidor AID comparador en paralelo (Flash)


Este sistema es con mucho el ms rpido de todos lu~ convertidores. Su funclonanxnto se comprende
kilmente basndose en el convertidor de A/D de 3 bit de la Fig. 16-16. La tensin analgica v,, SCaplica
simultneamente a un banco de comparadores con umbrales igualmente espaciados (tensiones de rcfc-

Tabla 16-l Tabla de la verdad del convertidor A/D de la Fig. 16.15.

(1 0 0
0 0 /
0 1 0
0 1 t
t 0 0
t 0 1
t t 0
t t 1
Acondicionamienru y conversin de datos 701

s,
ana

H
Comparadores

hdificador
e prioridad

renciaIV,,= V/X, lVR1= 2 V/X. etc). Este tipo de procero puede llamarse de convcn~n por secciones ya
que la entrada analgica queda incluida en un campo de tensin determinado por los umbrales de los
comparadores adyacentes. Obsrvese que las salidas W dc los comparadores toman una forma bien
distintiva: salida baja (lgica 0) para todos los comparadores con umbral por encima de la tensin de
entrada y salida alta (lgica 1) para cada comparador con umbral por debajo de la entrada analgica. Por
ejemplo. si 21/X < IY 7 3V/8 tendrcmw W, = 1, CI = I y todos los dems W iguales a cero. En esta
situacin la salida dtgltal sari (YI = 0, Y, = 1. Y,, = O), lo que significa una tensin analgica de entrada
comprendida entre ZV/X y ?\j/X.
La tabla 16-l es ladc laverdad con entradas Uy salida\ Y. Comparndolacon la tabla 7-3 sedemuestra
que I lgica es la de un codificador de 3 bit co prioridad; los valores de <<X>> cn la Fabla 7-1 se han
sustituido por I . La columna sealada W,, en la Tabla 7-3 no figura cn la Tabla 16. I porque si v,, < V/X
entonces dude W, a M/, son todas 0, y tambin lo son Ia\ salidas (Y. = 0, Y, = 0, Y,, = 0).
El liempo de conversin viene limitado nicamenre por la velocidad del comparador y del codificador
de prioridad. Usando el AMD 686 A de eAdvanccd Micro Devicerx y conversin con codificador de
prioridad Tl 147, se pueden conseguir retardos del orden de 20 ns.
Esta tcnica tiene el inconvcnicnte de la compkjidad del conjunto. El nmero de comparadores
necesarios es de 2\ wndo N el nmero de bit deseados (slete comparadores. par el convertidor de 3
102 Microelectrnrca moderna

bit de la Fig. 16.16). Por tanto, el nmero de comparadores aproximadamente se duplica por cada bit
aadido, y adems cuzmto mayor sea N mayor ser la complejidad del codificador de prioridad.

Convertidor AID de relacin o de doble pendiente


En la Fig. 16.17 se representa este sistema, muy empleado. Consideremos un funcionamiento unipolar
con Va > 0 y VR< 0. Inicialmente S, est abierto, S, celrado, y el contador borrado, En el instante t = t,, S,

Borrada

Figura 16.17.Representacin
esquemticade un convertidorAP de doble pendiente.

conecta 1,,al integrador y SCabre S,. La tensin analgica muestreada, y por tanto constante, \ ,,. se Integra
a lo largo de un nmero fijado IZ, de impulsos del reloj. Si el periodo del reloj cs 7 la integracin tiene
lugar durante un lapso de tiempo definido conocido T, = n,T y la onda La la salida del integrador (Sec.6-7)
sz indica en la Fig. I6- IX.
Si se emplea un contador asncrono de N etapas y SI n = i7, en cl inamre il (al final de la integraun
de L,,>todos los biestabla del contador estarn a cero. Esto se ve claramente en la onda de la Fis. 8- 14
para un contador asncrono de cuatro etapas en el que despus de contar 11,= 2 = 16, Q!, = 0, Q, = 0. QI
= 0 y Q, = 0. Dicho de otra forma, el contador vuelve automticamente a cero por si mxmo al final del
intervalo f,. Obsrvcsc tambincn IaFig. 8. 14queenel impulso22clestadodeQ,, (bit mksignificativo)
cambia de 1 a 0 por primera vu. Este cambio de estado se puede emplear como se~%Jde gobierno del
interruptor analgico o la puerta de transmisin (Fig. 6- 32).
Debido al funcionamiento del contador descrito cn el pkrafo anterior, la tensin de refercnu I, queda
automticamente conectada a la entrada del integrador en el instante f = t2 en cuyo momento la lectura del
contador es cero. Como V, es negativo, la onda I tiene la pendicntc positiva de la Fig. 16. IX. Hemos
supuesto que VR 1 > Vo de forma que el tiempo de integracin T, es menor que T,. Mientras v sea
negativa. la salida del comparador ser positiva y la puerta A~U permite contar los impulsos del rc1o.i.
Cuando v cae acero, en f = f,, la puerta AND se inhibe y no entran ms impulsos del reloj en el contador.

Figura 16.18. Ondade sahdadelintegradorde la Fig. 16-17.


Acondicionamiento y convmin de datos 703

Demostraremos ahora que la lectura del contadoren el instante f, es proporcional ala tensin analgica
de entrada. El valor de v en el momento f, viene dado por

Siendo / V, 1 y N constantes queda demostrado que \J, es proporcional a la lectura del contador n2
Obsrvese que este resultado es independiente de la constante de tiempo RC.
El sistema comprende un secuenciador lgico automtico (no representado en la Fig. 16-17) que borra
el contador entre i; y f,. toma una nueva muestra de la tensin analgica. retrocede S, VS,en el instante
t, repitindose el proceso, obtenindose as una nueva lectura de Vo cada t, = t, + T, + T, segundos. Esta
tcnica puede ser muy precisa. Los voltmetros digitales con seis cifras emplean este proceso. El contador
alimenta un decodificador de lmpara de forma que la salida se hace visible. En cada ciclo se obtiene una
nueva lectura de la tensin.
El sistema de doble pendiente ch de por si inmune al ruido debido it la integracin de la seal de entrada,
cs decir, que las inevitables interferencias de 60 Hz (en Europa SO Hz) pueden eliminarse escogiendo un
tiempo de integracin que sea mltiplo entero del periodo de la lnea de potencia. Esto pone en evidencia
un inconveniente del sistema corno es el del tiempo de conversin muy largo ya que 1160 s = 16 tris. Tales
convertidores A/D pueden adquirirse en dktintos grados de complejidad de empleo. El ICL 7 1OYde Date1
Intersilx es un AID monoltico de doble pendiente de 12 bit compatible con los microprocesadores.

Figura
16.19.
Integrador
Miller.

16-6. CIRCUITOS DE INTEGRACIN Y DIFERENCIACIN


El integrador analgico es muy til para muchas aplicaciones de procesado de sekles. El integrador
ideal introducido en la Sec. lo-22 y repetido para mayor conveniencia en la Fig. 16-19 emplea un Amp-Op
ideal. En el Cap.15 re describieron varios circuitos generadores de onda que llevaban incorporado el
circuitomostradoen IaFig. l6-l9.El objetivodeestaseccines el tratardecircuitos integradores prcticos
en los que se tiene en cuenta el comportamiento no lineal del Amp-Op

Corriente de polarizacin y offset en continua


La etapa de entrada del Amp-Op es generalmente un amplificador diferencial. La tensin offset de
entrada en continua V,,,aparece en la entrada del amplificador, y se integrar apareciendo en la salida como
una tensin creciente linealmente. La corriente de polarizacin de entrada circular tambin a travs del
condensador de realimentacin, cargndolo y dando lugar a una componente adicional de la tensin de
entrada, creciendo tambin linealmente. Estos dos efectos (fuentes de error) aumentan continuamente la
salida hasta que el amplificador llegue a su punto de saturacin. Vemos que existe un lmite en el tiempo
posible de integracin debido a los componentes de error anteriores. El efecto de la corriente de
polarizacin puede reducirse aumentando la capacidad del condensador de realimentacin C reduciendo
al mismo tiempo el valor de R para una constante de tiempo RC dada.

Ganancia finita y ancho de banda


El integrador proporciona una tensin de salida proporcional a la integral de la renxon de entrada.
supuesto que el Amp-Op de la Fig. 16-19 tenga una ganancia 1A, / infinita y un ancho dc banda tambin
infinito. La ganancia de tensin como funcin de la variable complejas es, despus de transformar la Ec.
(10-17)

resultando evidente que el integrador ideal tiene un polo en el origen.


Supongamos que en ausencia de C el Amp-Op tiene un polo dominante en f, 0 s, =. 27cf,. Por tanto,
la ganancia de tensin A, es aproximadamente de
Acondicionamiento y conversin de daros 705

Secciones del intemptar


r---------

Si suponemos que la resistencia Ka de salida del Amp-Op es cero y la de entrada K, tiende a infinito.
conA,,, 1 yA,,,RC l/ ls, / seobtiene
PA,.,
(16-X)
A() = (1 + s/A,,,/sll)(l + .\RCA,.,,)

siendo A,,, la gananc~ de tensin baja frecuencia del Amp-Op

La funcin de transferencia anterior tiene dos polos en el eje real negativo en comparacin con un polo
en el origen del integrador ideal. En la Fig. 16-20 se ven las curvas de Bode de las magnitudes de las Ecs.
(16.6) a (16.8). Observemos que la respuesta de un integrador real difiere de la ideal tanto en baja corno
cn alta frecuencia. Para altas fncuencias el funcionamiento del integrador queda afectado por el ancho de
banda finito ( s,/Zn) del Amp-Op, mientras que a bajas frecuencias la integracin est limitada por su
ganancia finita.

Circuito prctico
Un integrador prctico puede ir equipado con un circuito exterior para introducir las condicione
iniciales como se ve en la Fig. 16-21, Cuando el intermptor S est en la posicin 1 la entrada es cero y el
condensador C se carga a la tensin V, fijando la condicin inicial v0 = V. Cuando el interruptor S est en
su posicin 2 el amplificador queda conectado como integrador siendo su salida V, ms la integral respecto
706 Microelectronica moderna

al tiempo de la tensin de salida Y por un factor constante. Si R, = R, la corriente de polarizacin a travs


de C es I,,, (ipor qu?) en lugar de 1, reduciendo as el error debido a esa corriente de polarizacin.
El condensador C debe tener muy pocas prdidas y normalmente tiene el dielctrico de Teflon, de
poliestireno, o de Mylar con valores de la capacidad entre 0,001 y 10 wF.

El circuito de la Fig. 16-22 se denomina a vece? intqrador diferencial porque SII tensin de qalida v0
se puede expresar (como en el Prob. 16-15)

o en el campo de frecuencias como


/>= v, - v2 (16-10)
RCs
Obsrvese que este circuito es el equivalente integrador del amplificador de instrumentacin (diferen-
cia) de la Fig. 14-39. El circuito de la Fig. 16-22 se emplea en varias estructuras de filtros activos
(Secs.l6-lO y 16-11).

Diferenciador
Si en el circuito de la Fig. 16-19 se intercambian las posiciones de R y C como en la Fig. 16-23, el
circuito resultante es un diferenciador. Con una tierra virtual en la entrada del Amp-Op tendremos

i,=C% y
&= -2
Puesto que lc = lR despejando v,, se tiene

u,, = -Ri = ~RCd- (16-11)


dt
Por tanto, la sahda es proporcvx~al ala derivada de la entrada respecto al tiempo. Si la seal de entrada
es v = senwf, la salida ser Y,,= RCwt cos~t. As, la magnitud de salida crece linealmente al crecer la
frecuencia y el circuito diferenciador tiene ganancia elevada a altas frecuencias. Con esto se amplifican
las componentes de alta frecuencia del ruido del amplificador lo que puede tapar completamente la seal
diferenciada. A menor frecuencia, sin embargo, la variacin lineal de v,>con la frecuencia de la excitacin
senoidal hace que el diferenciador acte como un simple convertidor defrecuencia a tensin.

16-7. CLCULO ANALGICO ELECTRNICO


El Amp-Op es el bloque constructivo fundamental en calculadoras analgicas electrnicas. A manera
de ejemplo. veamos cmo programar la ecuacin diferencial
d20 du
- + K, - + K2u - T>, = 0 (16-12)
dt2 dt
Acondicionamiento y conversin de datos 101

Figura 16-23.Circuitodiferenciador

siendo v, una funcin dada del tiempo, y K, y K, dos constantes reales y positivas.
Empecemos por suponer que tenemos d Wdt i en forma de una tensin. Por medio de un integrador
tendremos una tensin proporcional a dvldt, y un segundo integrador nos dar otra tensin proporcional
a Y. Un sumador (y cambiador de escala) nos da K, (dvldt) K,v + v,. Segn la ecuacin diferencial
(16.12) esto es igual a &v/dt2 y por tanto la salida de este amplificador sumador se enva al terminal de
entrada donde en principio habamos supuesto que disponamos de d Y /dt l.
El proceso descrito est representado en la Fig. 16-24. Se supone la tensin dzvldt accesible en un
terminal de entrada. El integrador (1) tiene una constante de tiempo RC = 1 s y oor tanto su salida en el
terminal 1 es - dvldt. Esta tensin se enva a un integrador similar (2) y la tensin en el terminal 2 es + v.
La tensin en el terminal 1 se aplica al inversor y cambiador de escala (3) siendo su salida en el terminal
3 + K, (dvldt). Este mismo Amp-Op (3) se utiliza como sumador. Por tanto, si la tensin v.ttl se aplica
tambin a dicho amplificador tal como se indica, el terminal de salida 3 contendr tambin el trmino
-vi y la salida completa ser +K, (dvldt) - vl, El cambiador-sumador (4) se alimenta de los terminales 2
y 3 y por tanto en el terminal (4) habr una tensin &v K, (dvldt) + tiI. De acuerdo con la EC. (16-12)
esto debe ser iguala d%/dt2 que es la tensin supuesta en el temkxd de entrada. La calculadora se completa
conectando el terminal 4 al de entrada. (Este ltimo paso no est en la Fig. 16-24 para mayor claridad en
la explicacin), Las condiciones iniciales especificadas (el valor de dv/dt y de Y en el momento t = 0) deben
incluirse ahora en la calculadora. Obsrvese que las tensiones en los terminales 1 y 2 de la Fig. 16-24 son
proporcionales a dv/dt y a v respectivamente. Por tanto las condiciones iniciales se obtendrn (como en
la Fig. 16-2 1) aplicando las tensiones correctas V, y V, a travs de los condensadores de los integradores
1 y 2 respectivamente.

Integrador Sumado]
RC= I R
R, K?

L
708 Microelectrnica moderna

La solucin se obtiene abriendo simultneamente los intermptores S, y S, y cerrando S (por medio de


rels) en el instante j = 0 y observando la forma de onda en el terminal 2. Si deseamos tambin la derivada
d4dt se puede tener SUforma de onda en el terminal 1. El indicador puede ser un tubo de rayos catdicos
(con barrido por disparo) o un registrador, o para un anlisis cualitativo de cantidades de variacin lenta,
un voltmetro de alta impedancia.
Tambin se puede resolver la Ec. (16-12) con una calculadora que tenga diferenciadores en lugar de
integradores. Sin embargo, invariablemente se prefieren los integradores a los diferenciadores en aplica-
ciones de clculo analgico, porque la ganancia de un integrador disminuye con la frecuencia mientras
que la de un diferencidor tericamente crece linealmente con la frecuencia, por lo que es ms fcil
estabilizar el primero que el segundo frente a oscilaciones espreas. A consecuencia de su ancho de banda
limitado, un integradores menos sensible a las tensiones de mido que el diferenciador. Adems, si la onda
de entrada cambia rpidamente, el amplificador de un diferenciador puede sobrecargarse. Finalmente,
como cuestin prctica, es conveniente introducir las condiciones iniciales en un integrador.

16-S. FILTROS ACTIVOS RC


Los sistemas representados grficamente en las Figs. 16-3 y 16-S y descritos en la Sec. 16-I acusan la
necesidad de una seleccin de frecuencias en el procesado de seales. Losfiltros activos RC son un tipo
de circuitos selectores de frecuencia en los que los nicos componentes utilizados son resistencias,
condensadores y Amp-Op (elementos activos). El hecho de no necesitar inductancias supone una ventaja
importante ya que la fabricacin moderna de circuitos integrados excluye el uso de inductancias. An en
los circuitos de componentes discretos deben evitarse las inductancias, si es posible, por ser voluminosas,
pesadas y no lineales. Adems engendran campos magnticos parsitos y pueden disipar mucha potencia.
Por ejemplo, a 61 = 2n x 10 rad/s una reactancia de 10 ka requiere una inductancia de 1.6 hcnry
(H), y para construir una bobina de 1,6 H se necesitan muchas espiras. Por tanto resulta grande fsicamente
y su resistencia puede disipar una energa considerable.

Caractersticas ideales
Consideremos el sistema representado en la Fig. 16-25~ en el que la saial de entrada v,(t) contenga
varios componentes de distintas frecuencias. Se utiliza el filtro para separar una banda de frecuencias de
entre las presentes. Es decir, que la seal de salida del filtro v2(f) contenga solamente alguna de las
frecuencias componentes de v,(t). Conviene describir las propiedades selectivas del filtro en trminos de
la funcin de transferencia 17 013) = VJV, como en la Fig. 16.25h. En la Fig. 16.26 estn representadas
las cuatro caractersticas ideales de la respuesta en frecuencia, tiles en la clasificacin de los filtros.
La caractensticapaso-bajo de la Fig. 16-26~ indica que todas las frecuencias desde cero (continua) a
la de corte j,,, se transmiten sin @didas. L.x entradas con frecuencia de sus componentes f > f,, dan salida cero.
Es decir, que H 1 (, 2rf) 1 = H (j 2nf) = H,, para f < .f,, y II (i 27~s) = 0 para .f > f,,. El funcionamiento en
alta frecuencia de las etapas en emisor y en fuente comn descritas en el Cap. 11 aproxima esta respuesta.
Acondicionamiento y conversin de datos 709

,
i /,.

Figura 16.27. Caractersticas reales de frecuencia de un filtro: (LI) paso-baja, y (h) pasa-banda.
La Fig. 16.26h corresponde a la caracterstica paso-banda e indica que hay transmisin entre& y i; y
rechazo para cualquier otra frecuencia componente. As tenemos H(j2Q) = 0 para f < f, y f > f, y HO 27zf)
= H, si f, 5 f < ,f,. La respuesta paso-alto de la Fig. 16.26~ seala que HQ 2nf) = 0 si f < f, y una
transmisin uniforme Hj 2rr.f) = H,, si f > f,. Los condensadores de acoplamiento y de paso de la Sec.
1 l-10 aproximan la respuesta paso-alto. Para rechazar una banda de frecuencias entre f, y f, se emplea
el filtro de rechazo de banda cuya caracterstica es la de la Fig. 16.264 en la que la salida es cero si f,
< f < f, y H, para cualquier otra f.

Caractersticas reates de respuesta en frecuencia


Las caractersticas ideales de la Fig. 16-26 son slo aproximadas para los circuitos prcticos. La Fig.
16-27~ corresponde ala respuesta real de paso-bajo. La banda de paso sealada en la Fig. 16-27a es la
zona de frecuencias que se transmiten sin excesiva atenuacin. Obsrvese que no es necesario que H(j
2~fi sea constante en la banda de paso; la diferencia H, H, se denomina rizado y, y normalmente no es
mayor de 1 dB, usualmente 0.5 dB. La frecuencia f, en la que HCj Znf,,) = h. 3 (en dB) se utiliza
frecuentemente para sealar el extremo de la banda de paso, o sea, la frecuencia de corte. A veces es
conveniente tomar f, de la Fig. 16-27~ como frecuencia de corte. Obsrvese que en la caracterstica de
paso-bajo H(j 2rf) = H<; y para todas las frecuencias f 5 fc Como f, es la mxima frecuencia en la que
/-/ti 2xf) = H, aveces se denomina banda de rizado.
La banda de cierre comprende la zona de frecuencias que se atenan, entendiendo por atenuacin la
diferencia (en dB) H,, H,. La frecuencia f, de cierre es la mnima en la que se alcanza la atenuacin.
Obsrvese que puede existir un rizado de paro,
El cambio de la banda de paso a la de cierre en un filtro real no es abrupto como en la respuesta ideal
representada en la Fig. 16-26. La diferencia entre las frecuencias de paro y de corte (f, f,, o f, f,)
constituye la banda de transicin. Frecuentemente se elige f, una octava por encima de la frecuencia de
corte (.fs = 2fJ. Es corriente una atenuacin superior a los 20 dB en f, = 2f,
La respuesta en paso-banda de la Fig. 16-276 acusa dos bandas de paro y dos de transicin,
respectivamente, por encima y por debajo de las frecuenciasf, yf, que definen la banda de paso. Se pueden
trazar unas caractersticas similares de los filtros paso-alto, y de los de rechazo de banda, e identificarlos
con las distintas bandas de frecuencia.

Especificacinde filtros
Emplearemos la respuesta de paso-bajo de la Fig. 16-270 para definir la informacin que precisa el
diseador de un filtro. Como mnimo, el diseador ha de conocer las siguientes especificaciones:

1. La frecuencia de corte f, (o f,), es decir, el campo de las frecuencias paso-banda.


2. La atenuacin H. -H, de la banda de cierre.
3. La frecuencia de corte, o sea f,,
4. El rizado tolerable y= H,, -H,. Si no se tolera rizado alguno, y= 0 y H, = H,.

El rizado tolerable y = H, - H,. Si no se tolera rizado alguno, y = 0 y H, = H,


Otra particularidad que se acostumbra a especificar es el nivel de impedancia en la entrada y salida del
filtro (los intermedios entre la fuente de seal y la carga). La caracterstica de la Fig. 16-27~ es la magnitud
de la funcin de transferencia HGw). A veces se especifican tambin la respuesta en fase (retardo) y la
respuesta transitoria (tiempo de subida, sobrevalor) del filtro.
Acondicionamiento y conversin de datos 711

Como los Amp-Op adquiribles en el mercado tienen un ancho de banda con ganancia unidad por encima
de 100 MHz, se hace posible disear filtros hasta frecuencias de varios megaherzt (*)
A causa de las limitaciones debidas al ritmo de variacin y a las diferencias de uno a otro ejemplar de
Amp-Op en cuanto al producto ganancia-ancho de banda y a la ganancia en lazo abierto, se emplean
muchos filtros activos integrados en frecuencias audio. EvidqXemente, al disminuir el ancho de banda de
ganancia unidad del Amp-Op, disminuye la frecuencia mxima del filtro.

16-9. FUNCIONES BUTTERWORTH Y CHEBYSHEV


Las respuestas en trecuencia representadas en la Fig. 16-27 son aproximacmnes de las caractersticas
ideales de paso-bajo y paso-banda de las Figs. 16-26~~y 16.26h respectivamente. Pueden hacerse unas
aproximaciones similares para el paso-alto y el rechazo de banda de las Figs. 16-26~ y 16.26d. Para
disear un filtro real deben expresarse matemticamente las caractetisticas de la Fig. 16-27. La forma
general de la funcin de transferenciaH(s) puede expresarse
A(s)
fK7) = B(J)

Tabla 16-2 Funciones de transferencia bicuadrticas

SUI~U ,4(,>)y R(s) polinomios en la frecuencia variable s. Evidentemente para que haya estabdtdacr tos
ceros de B(s) residen en el semiplano izquierdo. La localizacin de los ceros de A(s) no tiene restricciones.
Sin embargo, se supone que el nmero de polos finitos de N(s) es igual o menor que el nmero de ceros
de B(s) es decir, los polos de H(s).

La funcin bicuadrada
Consideremos Ir(s) en la forma
7 12 Microetectrontca ntoderna

La expresin de la Ec. (16.14) se denominafun<~in hicuadrricrr o simplemente hiuudmdu porque


tanto numerador como denominador son de segundo grado en s. Las cuatro respuestas de la Fig. 16-26
se pueden aproximar por la Ec. (16-14) ajustando apropiadamente el valor de los coeficientes. En el caso
de paso-banda de la Fig. 16.26h, H(s) = 0 para s = j 2nj igual a cero e infinito. El coeficiente a0 = 0 si
H(0) = 0; anlogamente si Mj 2@+0 cuandofi- es necesario que al = 0. Unos razonamientos anlogos
aplicados a los tres COSOS
restantes conducen a los resultados dados por la Tabla 16-2.
La funcin paso-bajo en la columna izquierda de la Tabla 16-2 puede escribirse
ff,,
() = (s/w;J+ (I/Q) (dw,,) + 1 (16-15)

s,endo H,,=K/w;,. La Ec. (16.15) tiene la misma forma que la Ec. (13.13) para el amplificador
realimentado de dos polos. La respuesta en frecuencia de esta funcin est representada en la Fig. 13-13
a medida que vara el factor de amortiguacin k = 1/2Q. Como se ve en esta ltima figura, la funcin de
dos polos no amortigua mucho a s/w, = 2. Por ejemplo si k > 0.6, se supone que el pico est minimizado,
siendo la amortiguacin a s/w, = 2 menor de 14 dB lo que da poca selectividad, insuficiente para muchas
de las aplicaciones de los filtros. En general se necesitan funkones de orden superior para conseguir las
atenuaciones tpicas que se dan en la prctica.

Polinomios de Butterworth
El uso de los polinomios de Butterwotth eh una aprwumacin a la caracterstica de pax-bajo. Abi. H(s)
= f@(s) siendo B(s) un polinomio cuya magnitud viene dada por
2n
B(w) = 1 + w (16-16)
0 w,,
Los filtros que se valen de estos polinomios se denominanJl/rros dr Burf~rwx/h. En la Fig. 16-28 se

-..
Acondicionamiento y convemin de datos 713

representa la respuesta en frecuencia normalizada para varios valores de n. Obsrvese que la magnitud de
H(;w)/H,, es 3 dB con o = w,, para cualquier valor de II, siendo luego decreciente. Cuanto mayor es n tanto
ms se aproxima la curva ala respuesta ideal de la Fig. 16.26~. Se observa tambin que no existe rizado
cn cl paso-banda siendo la respuesta esencialmente constante para w < w,,. Ls plinmis de Butterworth
son parte de una clase de filtros de nlu:jlifrrd-/>/ojlu-nrd.\inlu (MFM): es decir, que las (II- 1) primeras
derivadas de H(;w) calculada\ o= 0 son idnticamente ccr.
Si nrmalizans la frecuencia suponiendo o,, = I radis, vemos que la Tabla 16.3 da los trminos
Butterworth 8,i.y) para II hasta ch (hasta el octavo orden). Obsrvese que si n es par los trminos son
productos de factores de segundo orden de la f<xma del denominador de la Ec. (Ih-IS). Los trminos
impare\ contienen todos ellos un factr (s + 1). Estos plinmis tienen la propiedad de que sus races
estn situadas sobre una circunferencia unidad.
El valor de ti (o sea el orden del filtro) viene determinado por la atenuacin requerida como se ver en
el siguiente ejemplo.

.Tabta 16.3. Polinumius de Hutterworth normalizados

Determinar el orden de un filtro Butterwonh pasu-bq que deba dar una atenuacin de 40 JH cuando
wiw,, = 2.

Solucin
Segn la Ec. (16.16) la magmtud nonnahzada de la funcin de transferencia del fil& ch
Htjw) I
l-l H., I + (wiw,,)
Una arenuaun de 30 dB corresponde H(/w)/H,, = O,Ol y por ramo

(0.010) = & 0 p = 10 ]

DespeJandO n, tomando logaritmos de ambos miembros


!n = log (IO4 - 1)
Y w = 6.64
log 2
y puesr que el orden del filtro debe ser un nmero entero tomaremos n = 1
714 Microelectrnica moderna

Filtros Chehyshel
Cuando la especificacin tolera un rizado moderado en el paso- banda, se emplea frecuentemente la
aproximacin de todo polo del filtro de Chebyshev. La funcin de transferencia es de la forma
fc
HZ(ju) = (16.17)
1 + tT:(W/W,)
en donde C,, (w/w,) son los trminos definidos por

(16.18)

El parmetro E est relacionado con el rizado de paso-banda yen dB por


$ = , - ,
(16-19)

Tabla 16.4. Polinomios normalizados para filtros Chebysbev

I 5 1 I 9hi
,\l b I 09x\ + 1.1,13
3 (5 + 11.494,(5. - ,1.4<)4<+ 0 YY4,
4 0) + ,,.?,Ui - 0#7, ,,,A + Oh741 + t279,
s (5 - 0 2x9, (5 a 0.179, T 0 9X8,,< + ,1.46X\ 1 0 tz),
6 ,>? / ,~.,?44< + ,,.YY,,7,($1 i o.IIux.> + ,, SS771/> i O4642< + 11.1247~
7 0 . 0 2054, fS1- tw,l> * ,/ 992,) ,; + 0 ?Sh?., i ,,.h535, (52 - ti 3,021 + 0 2304,
x ,,T 0 ,,7< + ,,.Y)t?,,<J / 0 1944.>+ 0 7236, ,.\ + 0 2994, + 0.340x, o> + 0 3518s 4 ,1.,170?,

Para un rizado de 0.5 dB, E = 0,3493 y para y= 1 dB, t = 0,5089. La frecuencia f,- = w(, /2x es el
ancho de banda del ri/ado (Fig. 16-27). La frecuencia de 3 dB ,f,, est relacionada con .f, por
Acondicionamienro y conversin de datos 715

En la Tabla 16-4 se dan los ocho primeros polinomios para rizados de 0.5 y de 1 dB. Cada uno est
normalizado para 0,5 y I .OdB de rizado y w, = 1 rad/s. Se puede dekostrar que las races de las funciones
presentadas en la Tabla 16-4 estn sobre una elipse cuya excentricidad depende del rizado.
En la Fig. 16.29a figuran la respuestas en frecuencia normalizadas de un filtro Chebyshev de 1 dB
para distintos valores de n. El rizado de paso-banda est representado en la Fig. 16.196 paran = 3 y y=
1 dB. Obsrvese nuevamente que al ir creciendo n la respuesta se auroxima cada vez ms ala caracterstica
ideal.

Ejemplo 16-2

(a) Determinar el orden de un filtro Chebyshev de un 1 dB de rizado que d una atenuacin de 40 dB


aw/w, = 2; (b) determinar el ancho de banda de 3 dB del filtro.

Solucin

(a) La respuesta normalizada segn la Ec. ( 16.17) es


H*C@) 1
fc 1 + x~(w/w~
Una atenuacin de 40 dB corresponde a Mjw)/H,, = 0,Ol. Por tanto

(O.O1)
= 1+ (.SO89)T~(2)

116 Microelectrnica moderna

c: (2) = lo4 - = 3.86, x 104


(0.5089~

C,,(2) = 196.5
Empleando la Ec. (16-1X) tendremos 196,5 = co?h (n cosh~2). Despejando n se llega a n = 4,536,
tomndose n = 5.
(h) El valor def,,iS, se deduce de la Ec. (16.20) con n = 5:
fil 1 1
z = cosh s cosh I o.so89 = 1.~34

De la comparacin entre los resultados de los Ejemplos 16-l y 16-2 se deduce que SI se tolera algn
rizado en paso-banda, puede usarse un filtro de orden inferior. Es decir, que un filtro Chebyshev necesita
menos etapas (es de orden inferior) que las necesarias para conseguir la misma atenuacin que un filtro
Butterworth.
Esta observacibn se deduce tambin comparando las caractersticas de respuesta de las Figs. 16-28 y
16.29~. Para aproximar las caractersticas de filtro se emplean tambin otras varias funciones.

Transformacin de frecuencia
Las funciones de los filtros Butterwonh y Chebyshev se emplean tambin para aproximar las respuestas
de paso-banda, paso-alto y rechazo de banda. Las siguientes transformaciones convierten las funciones
de paso-bajo en cualquiera de las otras.
Para transformar la funcin de paso-bajo en otra de paso-alto se sustituye

PP I s=w;
0 (16.21)
wo S/% P

en la expresin def/(s). As, la funcin de paso-bajoH(s) = l/ [ l+ (s/w,)J se convierte en H(p) = (p/w,)/[ I


+ (p/wJ que es la funcin de paso-alto.
Las transformaciones de paso-bajo a paso-banda y de paso-bajo a rechazo de banda son las dadas por
las Ecs. (16-22) y (16-23) respectivamente. Se deja para el lector la comprobacin de estas transfor-
maciones (Prob. 16-I 8):

,Ih-22)

w,,s JIW,,
P=--- (16.23)
s2 + w, = Q[(</W,,) + -l

hiendo Q = W,/W,,,~~,= W,,/2r I frecuencia central y f;, = wJ2 x la de 3 dB.


Las Ec\. (16-21) a (16-23) se usan para convertir las funciones de los filtros pax-ba~~ Butterwoflh y
Chebyshcv de las Tablas 16-3 y 16-4 en sus equivalentes de paso-alto. paso-banda y rechazo de banda,
Obsrvese que la funcin paso-bajo de 5 polos se convierte en funcin paro-bajo 0 dc rechar & banda
de 10 POIOS.En el de paso-banda. CIICO polo5 proporcionan la atenuacin a frecuenciaaf <;, y cinco
polos dan la atenuacin para f > f,,.
Acondicionamiento y conversin de datos 717

16-10. SECCIONES DEL AMPLIFICADOR SIMPLE


Frecuentemente los filtros se construyen conectando en cascada un cierto nmero de secciones, cada
una de las cuales realiza una de las funciones de transferencia bicuadrticas que figuran en la Tabla 16-2.
As un filtro Chebyshev paso-bajo de seis polos y rizado 0,5 dB tiene tres secciones. Cada seccin se
emplea para facilitar uno de los factores cuadrticos de la Tabla 16.4. A continuacin examinaremos
algunas de las secciones bicuadradas ms empleadas que utilizan nicamente un Amp-Op.

Seccionespaso-bajo
El circuito Sallen y Key de la Fig. 16.30~ emplea una etapa de Amp-Op no inversora para suministrar
realimentacin negativa. La funcin de transferencia de este circuito se puede expresar como (Prob.
16-21):
Ab (16.24)
() = R,R,C,CZs2 + s [C,(R, + RJ + R,C,(I A,)l + 1

siendo A, = 1 + K,>/R,, la ganancia de la etapa Amp-Op. Comparando la Ec. (16.24) con la funcin
paso-bajo de la Ec. (16. 15) se tiene
I R,RL-,Cl
w,, = (16.25)
VR,R,C,C,
_ = R,C, (1 A,) + CJR, + R,)
Evidentemente los cinco parmetros del circuito R,, K,, C,, CI y A, proveen un grado de libertad
superior al necesario para especificar w,, y Q. A veces, para simplificar la fabricacin C, = C, = C y K, =
R1 = R con lo que las Ecs. (16.24) y (16-25) se reducen

4 (16-26)
H(s) =
R2Cs2 + RCs(3 - A,) + 1

1 Q=- (16.271
% = E 3 AV
718 Microelectrnica moderna

Observemos que igualando ambas resistencias y ambas capacidades se tiene una constante de tiempo
RC que establece W, y la ganancia A,. que determina Q, Adems observemos que para tener estabilidad,
4, < 3. Si A , > 3, segn la Ec. (16.26) el coeficiente s es < 0 indicando que H(s) tiene polos en el
semiplano derecho.

Ejemplo 16-3

Diseria un filtro paso-bajo con rizado no mayor de 1 dB desde continua hasta 1 kHz y que d una
atenuacin mnima de 40 dB a 2 kHz.

Solucin

En el Ej. 16-2 se demostr que con un rizado de 1 dB se obtiene una atenuacin de 40 dB con un filtro
Chebyshev de quinto orden. La caractetstica polinomial normalizada, deducida de la Tabla 16-4 es

B(s) = (s + 0.289) (s2 + 0.179s + 0.988) (s + 0.468s + 0.429)

Puesto que H(s) = H,JB(s) tiene tres factores, se usa un filtro de tres secciones, siendo stas

HV, fC2
H,(s) = H?(s) =
s + 0.289 s2 + 0.179s + 0.988

K,,
H,(s) =
s2 + 0.468s + 0.429
Cada una de las funciones dadas ms arriba est normalizada a la frecuencia angular de corte w,. = 1
rad/s. La frecuencia de corte deseada es& = 1 kHz o wc = 21% 10 radis.
Las funciones no normalizadas, escritas en la forma de la Ec. (16-15) son:
H,,, Ho2
H,(s) = HI(s) =
(siO.289wc) + 1 (s2/0.988w;-) + (0.179s/O.988~,-) + I

H.,,
HI(s) =
(sVO.429~:) + (0.468siO.429~~) + 1

Tanto H1 como H, re pueden lograr con secciones paso-bajo Sallen y Key (Fig. 16-30~) con R, = R2
y C , = C2. La comparacin de H, y H, con las Ecs. ( 16.26) y (16-27) da

woz = v?%ii$ = 0.994~~ = 0.994 x 2~ x lo1 rad/s

I
-=~ 0.179
Q2 = 5.55
Qz x6!%

w.3 = VO.429~: = 0.655w,- = 0.655 x 2~ x 10 radis


I
~=~ 0.468
Y Q, = 1.4
Q3 ViEz
Las Ecs. (16.27) relacionan Q y w0 con los parmetros del circuito. Obsrvese sin embargo que la
especificacin de w0 no permite una determinacin nica de R y de C sino slo su producto. En la
Acondicionamiento y conversin de datos 119

7
IC IbI
Figura M-31. Doscircuitosquesitanun ceroen el ejereal negativo,(a) no inversor,y (b) inversor.

fabricacin de filtros, especialmente en tecnologa hbrida, aveces resulta conveniente emplear el mismo
valor de la capacidad en todas las secciones. Elegiremos C = 0,05pF. Por tanto
1
RIEL= = 3.20 kn
W2C 0.994 x 27r x 10 x 0.05 x 10-h

1
R,=L= = 4.86 kil
W,,,C 0.655 x 271 x 10 X 0.05 X 10mh
Resolviendo la Ec. (16-27) y despejando A, tendremos A,. = 3 l/Q, y por tanto.

A Li = 3 & = 2.82 Ai, = 3 A = 2.285

Puesto que A, 1 para un Amp-Op comercial, A, = l+ R,, /R,. Para minimizar tanto el nmero de
valores de resistencia usados como la dispersin de valores de los elementos (relacin entre la mayor y
la menor de las resistencias) elegiremos Ru = 4,86 kcl en ambas secciones, y entonces
R h2 = (AL.2 I)R,,, = (2.82 114.86 = 8.84 kf2

R i>>= Mv, IIR,,, = (2.285 - 1)4.X6 = 6.4 k0


La seccin restante H, (s), contiene un polo real de s = -0.289 W = 0,289 x 2~ x lOiad/s. El
seguidor de tensin que excita un circuito RC como se muestra en la Fig. 16.31a tiene una funcin de
transferencia

!L- - 1
VI RCs + 1
y se utilia para lograr el polo real en H,(s). Comparando las functones de transferencia se llega a
1
RC = 0.289~~
La eleccin de C = O,OSpF da:
1
__ x 271 x 10 x 0.05 x 10mh = 11.0 kll
R = 0.289
La Fig. 16-32 corresponde al circuito final.
Tambin se usan circuitos de realimentacin negativa empleando etapas Amp-Op inverxwas para
obtener funciones de transferencia de paso-bajo. El circuito de la Fig. 16.3Ob corresponde a una seccin
bicuadrada de paso-bajo. Se pueden conseguir polos reales con el circuito de la Fig. 16-3 1h. Las funciones
de transferencia de estos circuito> son:
720 A4icroelectrnica moderna

ff, (16-28)
H(s) =
a2s2 + rrls + 1

R,W,C, + K,C, + R&)


u, =
R, + R,(l + A,)

R,RzR&,G AIR,
ll? = H<> =
R, + R,(l + Av) R? + R,(l + AG)

3- RZ 1
( 16-29)
I RI RCs + I
siendo Av = - R,/R

Figura 16-32.Esquemadel circuitoparael filtro paso-bajoChebyshevde 1dB y 5 polosdescritosen el ejemplo6-3

Seccionespaso-alto
Los circuitos de la Fig. 16-30 se convterten fcilmente en secciones de paso-alto intercambiando las
resistencias y los condensadores como se representa en la Fig. 16.33. Asimismo, intercambiando la
posicin de R y de C en la Fig. 16-3 1 resulta un circuito con funcin de transferencia de la forma H(s) =
A,RCs/(RCs + 1).

Seccionespaso-banda
En la Fig. 16-34 se ven dos secciones utilizadas para obtener la respuesta de paso-banda. El circuito
de la Fig. 16.34a usa un Amp-Op no inversor (realimentacin positiva), mientras que en la disposicin
de la Fig. 16-346 se emplea realimentacin negativa. Obsrvese que en la Fig. 16.34b se supone un
Amp-Op ideal (ganancia infinita) mientras que en el circuito Sallen y Key de la Fig. 16.34a se emplea
una etapa de ganancia finita. Las relaciones de transferencia de tensiones las dan las Ecs. (16.30) y ( 16.3 1)
para las Figs. 16-34~ y 16-346 respectivamente:
Acondicionamrento y conversin de datos 721

Il(s) = 2
4
AvR>R&.zsI (R, + R,j
H(s) = (16-30)
sR,RzR,CIC~ + ~[C&I CR,+ RJ + GR,R, (1 Av) + C,R,R,I + ,
R, +R, RI +R?
VI - RIC,s
(16-31)
H(s) = v, = R,R,C,C$ + SR, (C, + C2) + 1

Se deja para el lector (Prob. 16-2X y 16.29) la comprobacin de estas ecuaciones.


La adicin de realimentacin positiva (R y R,>de la Fig. 16-35) al circuito de la Fig. 16.34h mejora
el funcionamiento del circuito. La combina& de realimentacin positiva y negativa permite la fabrica-
cin prctica de circuitos de Q ms alto en filtros de orden elevado. Se puede demostrar (Prob. 16-3 1) que
la funcin de transferencia de este circuito viene dada por:

V, -AVR2C,sI(Ay 1)
(16.32)
H(r) = v, = R,R,C,C,s + s [R, (C + CI) ~ R>C,I (A,~ 111 + 1

siendo A, = 1 + B /r,

Lasseccionespaso-bandadelasFigs. 16.34~ 16-33 son tiiesparaformarclrcuitosde bandaestrecna;


es decir, que el paso-banda es una fraccin de la frecuencia del centro. La respuesta de los circuitos de
banda estrecha es similar a la obtenida con un simple circuito resonante en serie o paralelo con una Q
moderada. En estas circunstancias las frecuencias superior e inferior de ZB, f? yf, respectivamente
(Fig. 16.26h) estn tan prximas entre s que la frecuencia central& = d,fJ es muy aproximadamente
igual a (f, + f,)/2 y el ancho de banda f,- f, = ,fJQ. En algunas aplicaciones f; f, > f, y se necesitan
circuitos pasa-banda de banda ancha. Se pueden tener estas caractersticas poniendo en cascada secciones
de paso-bajo y de paso-alto como en la Fig. 16-36~. Si OI,, > w, (Fig. 16-366) resulta la respuesta
paso-bandadelaFig. 16-36c.Obsrveseenestaltimafiguraquelaatenuacinabajasfrecuencias(w/w,)
cs debida a la red de paso-alto mientras que si w > CO,,la atenuacin proviene de la seccin de paso-bajo.
Ambas redes transmiten la wial en la banda de paso (w,. 5 w 5 wJ.
122 Microelectrnica moderna

6) (b)
Figura 16-34. (n) Seccin paso-banda na inversora Sallen y Key, (b) un crcuito paso-banda no inversor.

La estructura en cascada no puede emplearse en el caso de banda estrecha debido a la variabilidad


(sensibilidad) de los componentes. Si w, y w, fueran casi iguales, un pequeo cambio en una de ellas, o
en las dos, provocara un error significativo en la banda de paso.

Seccionesde rechazo de banda


La configuracin en paralelo de la Fig. 16.37~ se emplea para formar un filtro paso-banda de banda
amplia. Si las redes de paso-bajo y de paso-alto tienen :as respuestas en frecuencia representadas en la
Rg. 16.36b y w,, < 0 L, la Fig. 16-37b es la respuesta del circuito de la Fig. 16-37a. Tanto la seccin de
paso-alto como la de paso-bajo atenan en la banda entre w,, y wL. Cuando o < w,, la transmisin se hace
a travs de la seccin de paso-bajo y si w > w, la seal se transmite por la seccin de paso-alto.
A los circuitos de rechazo de banda estrecha se les denomina a veces como filtros dentados. Esto
puede deducirse de la entrada rechazo de banda de la Tabla 16-2 en la que HG co,) = 0 cuando s = j(4).
Obsrvese que Hu co) f 0 para todas las w # o , como se ve en la respuesta en frecuencia representada
en la Fig. 16.38. Para tener la respuesta de la Fig. 16-38 corrientemente se emplea el circuito de la Fig. 16-39.
Los elementos pasivos forman una red en doble T que habilita los ceros del eje;. Como se ve en la Fig.
16.39, la eleccin de R, = R, = R, C, = C, = C, R, = R/2 y C, = 2C da una funcin de transferencia: (con
Y=O):
Acondicionamiento y conversin de datos 123

Hsl
=2 AV (RZCZs2 + 1)
RZC2s2 + 2RC (2 - AV)s + 1
(16-33)

)u7H,------ -- ------------- ~
H-/---~ zw
WL wtl

En la Ec. (16.33) vemos que co0= w , = l/RC y Q del diente viene determinada por la ganancia A, =
1 + Ra /R, de la etapa del Amp-Op. Esta misma ecuacin indica que la estabilidad requiere que A, < 2.
Frecuentemente se desea que o z wr Los circuitos en los que m, > w o se denominan dentados de paso
alto, y cuando w < w, lo son de paso-bajo. La adicin de la admitancia Y (representada punteada en la
Fig. 16.39) con&rte el circuito en una red ya sea de paso-alto o de paso-bajo. La eleccin de Y = l/R4
lleva a un dentado de paso-bajo, y se obtendr de paso-alto si Y = s C,. En ambos circuitos. C, = C, + Ch
y l/R, = 1/R, + IIR,.

Redespasa-todo
La seleccin de una de las funciones del filtro Butterworth o Chebyshev (Sec. 16-8) para aproximar
724 Mrcroelectrnica moderna

Magnimd

F@ra 16-38. Reqmesu de un <<diente.

lamagnitudde larespuestadel filtr~specifcarambin su caractersticadefdse 1 /,(lw). ~~~~~~~~~~~~~~~


interesa tambin controlar respuesta de fase del propio filtro. Un procedimiento consiste en conectar en
cascada una seccin pasa-todo con el filtro. La red pasa-todo tiene una magnitud HGw) = 1 a todas las
frecuencias. Sin embargo la respuesta en fase varia con la frecuencia. El circuito dc la Fig. 16.40 cs una
red pasa-todo de un polo cuya funcin de transferencia es
1 - RCs
/f(J) = ? = (16.34)
I + RCs
acondicionamiento y conversin de datos 125

el cero est en el san-plano derecho. La inspeccin de esta ecuacin indica que Huw) = 1 para todas las
tu excepto para

L H( iw) = -2 tan- RC Il h-35)

La caractcristtca de fue estz representada en la Fig. 16-41. La lnea de treos rectilneos es la


aproximacin de Bode. Ajustando la constante de tiempo RC del circuito se puede aadir un desplaza-
miento de fase de 0 a 180 sobre el campo de frecuencia aproximada l/lO RC < w < lO/RC a la
caracterstica del filtro.
726 Microekctronica moderna

Se pueden preparar redes todo-paso bicuadrticas de la forma de la Ec. (16.36) empleando el circuito
de la Fig. 16-42.

(16-36)

La Ec. (16-36) indica que cuando w < w,, i H@) < 0 y cuando w > wO,i Htjw) > 0. A la respuesta
de fase del filtro se le puede aadir un desplazamiento de fase tanto positivo como negativo.

Seccingeneral bicuadrada de Friend


Modificando el circuito de la fig. 16-35 (los elementos %%dados con trazo menos intenso) se llega a
una seccin bicuadrada general desarrollada por Friend en los laboratorios de la Bell Telephone. Este
circuito, llamado rrsonudoractivo-normalizado de rantalio puede usarse para formar todas las funciones
de transferencia bicuadrticas, excepto las de paso-bajo, suprimiendo (abriendo el circuito) las resisten-
apropiadas. Su ventaja en sistemas de comunicacin a gran escala estriba en el hecho de que se puede usar
la misma topologa en todas las secciones de filtro y en todos los filtros de un sistema multplex. Los
elementos adicionales introducen vas de alimentacin en sentido directo entre la entrada y la salida. Estas
vas dan razn de S y de los trminos constantes aadidos al numerador de la Ec. (16.32). En consecuencia,
H(s) del circuito de la Fig. 16-42 tiene la forma dada en la Ec. (16.14).

6-11. SECCIONES BICUADRADAS DEL AMP-OP MLTIPLE


En la fabricacin de los filtros prcticos la actuacin de las secciones se mejora introduciendo etapas
de Amp-Op adicionales. El coste de la mayor potencia consumida por los Amp-Op adicionales, frecuen-
temente queda ms que compensado por la menor sensibilidad ante las variaciones de los componentes,
la mayor facilidad de sintonizacin (ajuste de w,>y de Q en cada seccin) y la normalizacin de la topologa
para tener tres o cuatro respuestas en frecuencta bsicas. Uno de tales circuitos, representado en la Fig.
16.43 puedeemplearsecomofiltropaso-bajo, paso-bandaopaso-alto. Lasetapas~,, y A,, dedichafigura
son amplificadores de tensin ideales de ganancia finita y se construyen empleando etapas de Amp-Op
bsicas (Fig. 10-42). La funcin de transferencia de este circuito (Prob. 16-43) se demuestra que es:
- Acondicionamiento y conversin de datos 721

(16-37)
H(s) = (Z, + Z,) (Z, + Z,) - ZaZOAvIAw

Dos de las cuatro impedancias ZA, Z,, Z, y Z, son resistencias y las otras dos son condensadores. La
eleccin en cada caso determina la naturaleza de las respuesta como se ve en la Tabla 16-5.

Tabla 16.5. Seleccin de impedancia en el circuito de la Fig. 16-43

Paso-bajo R, IISC, R? lISC,


Paso-banda R, IlSC, I/<C: R
P%O-alt0 I/.,C, R, 1/<(> R?

La secccin de filtro universal o de estado variable


La seccin bicuadrada universal o de estado variable de la Fig. 16-44 puede dar s:lmultncamentc
salidas de paso-bajo, paso-banda y paso-alto. Como puede verse en dicha figura el circuito consiste en
dos integradores y una etapa de ganancia inversora. la realimentacin negativa alrededor de las tres etapas
R.
/ l

fil
- i
128 Microrlecrrnica moderna

la proporciona R, mientras que K, y R, forman un lazo de realimentacin positiva alrededor de las dos
primeras etapas. Las tensiones v,,, v, y vc son las salidas de paso-alto, paso-banda y paso-bajo respectiva-
mente.
Cualitativamente podemos demostrar que vc es la salida paso-bajo, con el siguiente razonamiento:
Consideremos que el circuito de la Fig. 16-44 es un amplificador realimentado en el que R, y R, forman
un lazo de realimentacin resistivo alrededor del amplificador contenido en el rectngulo sombreado. La
ganancia sin realimentacin A(s) es igual a AJD(s) teniendo D(s) dos races atribuida una a cada uno de
los integradores del amplificador. La relacin de retorno T= PA(s) = pAJD(s) contiene los mismos
dos polos que A(s) ya que p es real porque la red de realimentacin es resistiva. Por tanto A, (s) =
A(sJ/[ 1 + T(s)] = A,J[D(s) BA,). Evidentemente A, tiene dos polos y ningn cero finito y es una funcw..
bicuadrtica de paso-bajo.
El circuito de la Fig. 16.45 es el mismo que el de la Fig. 16-44. En este amplificador realimentado,
un integrador forma parte de la red de realimentacin (en trazo menos intenso). As pues, p no es real,
pero contiene un polo en s = 0 debido al integrador, es decir, p = K/s siendo K una constante real. El
amplificador incluye slo un integrador y as tiene un solo polo A(s) = AJ( 1 + sin, ). Empleando
nuevamente la Ec. (12-5) tendremos
MI + J/P,J A:,J
Al(s) =
1 + [A:,/(l + sipl)] x (Kis) D(.y)

R I7

siendo D(s) una cuadrtica. Comparando A,(s) con la entrada paso-banda de la Tabla 16-2 se ve que son
equivalentes.
De igual forma podemos demostrar que vAes la salida paso-alto ya que los dos integradores son parte
de la red de realimentacin, y el amplificador bsico consistente nicamente en la etapa de Amp-Op
inversora es independiente de la frecuencia.
Las diversas funciones de transferencia se pueden expresar (Prob. 16- 44) como

(16.38)
Acondicionamiento y conversin de datos 129

siendo

(16-39)

La seccin bicuadrada de la Fig. 16-44 es adquirible en el mercado procedente de varios fabricantes,


incluidos Burr-Brown, Inc. y General Instruments, Inc. Estas secciones normalmente contienen los
condensadores de precisin de 1000 /IF (C, y CJ y cuatro resistencias (generalmente R,, R,, R, y Rh)
tambin de precisin. Las otras tres resistencias las fija el diseador para alcanzar los valres deseados
de o,,, de Q y I mxima ganancia.

16-12. FILTROS GOBERNADOS POR CONDENSADOR


Los urcuitos activos RC descritos en las tres secciones anteriores son todos ellos filtro:, a tiempo
continuo, o sea, que en todo momento existen seales de entrada y de salida. Lo ms frecuente es emplear
una tecnologa hbrida consistente en emplear Amp-Op monolticos y resistencias y condensadores de
pelcula fina para formar las secciones de segundo orden que comprenden estos filtros. Estos filtros son
sistemas de muestreo de datos analgicos (Sec. 16-l) conteniendo slo condensadores, Amp-Op e
intenuptores analgicos. Si las frecuencias de la seal sonmuy inferiores a las de conmutacin de los intermptores
analgicos. estos filtros de muestreo de datos constituyen un substitutivo alternativo, pero equivalente.
de los filtros activos RC. Entre las ventajas que pueden resultar de esta sustitucin estn:
1. Todo el filtro puede fabricarse en forma monoltica.
2. PuedeemplearselatecnologaMOS dealtadensidaddecomponentes, loquefrecuentementepermite
situar en un chip nico sistemas que requieran un procesado de seales analgico o digital.
3. En las secciones bicuadradas (de segundo orden) descritas anteriormente, la frecuencia angular w
(Tabla 16-2) normalmente depende de la constante de tiempo RC. Con el sistema gobernado por
condensador puede hacerse que o,, dependa de la relacin de capacidades. Puesto que la relacin entre
componentes se puede ajustar con ms precisin que los valores individuales, se consigue tambin ms
precisin en la relacin de transferencia del filtro fabricado.
4. La supresin de resistencia disminuye el consumo de potencia.
Las topologas de muchos de estos filtros se derivan de los filtros de tiempo continuo descritos en las
dos secciones anteriores. En esta seccin describiremos los fLmdamentos del funcionamiento de tales
filtros y de su empleo en las etapas Amp-Op de ganancia e integradora.

Resistenciasimulada
Consideremos el circuito de la Fig. 16-46~ en el que los interruptores S, y S, sean complementarios.
El interruptor S, (o SJ est cerrado (o abierto) durante T, segundos y abierto (o cerrado) durante r,
730 Microelectrnica moderna

segundos. El periodo de un ciclo de conmutacin e> T = T, + Tz y j; = l/T e, la frecuencia de intermptor.


Las tensiones V, y VI son fuentes ideales de tensin, y para nuestro razonamiento supondremos que
V, > V,. Con S, cerrado y S, abierto, C se carga a V,. En el instante f = T, se abre S, y se cierra S,
descargndose C hasta V,. El ciclo se repite a f = T = T,+T?. Durante un ciclo la carga Q transportada del
nudo 1 al 2 es Q = C(V, -V2 ). Puesto que esto sucede en T segundos, esto equivale a una corriente Ie,

I,, = ;v = $ CV, - V,) = Cf (V, - V,) (16.40)

La corriente ILqes la misma existente en Reqde la Fig. 16-466, o sea

v, v2 = CL(VI - V*)
Re, (16-41)

R =
-2 (16-42)
CfS

La Ec. (16-42) demuestra que conmutar peridicamente el condensador equivale a conectar una
resistencia como en la Fig. 16.46b. Obsrvese que esto es cierto si la frecuencia de conmutacin J, es
mucho mayor que las de las seales V, y V,.

Integradores
El circuito de la Fig. 16-47~ es la realiLacin de condensador conmutado del integrador a tiempo
continuo de la Fig. 16-476. La funcin de transferencia del circuito de esta ltima figura viene dada por
la Ec. (16-6) repetida como en la Ec. (16-43)

(16-43)

Sustituyendo R de la Ec. (16.42) en la (16.43) nos dar la funcin de transferencia del integrador de
condensador conmutado

,,</ ,/>,

ngura 16.47. (a) Integrador con condensador conmuado, y (h) su equivalente en tlaW ~~nt~r~~.
Acondicionamiento y conversin de datos 131

Los transistores MOS temporizados en el integrador de la Fi. 16.48a representan los interruptores
S, y S, de la Fig. 16-47~. Las seales I$Iy I$Iprocede de un reloj de dos fases sin sobreposicin, de
frecuenciaf, (Fig. 16-486). El valor V(1) del impulso del reloj debe ser mayor que la tensin umbral V,
del transistor NMOS. Anlogamente, V(O) < V, de fomu que el MOSFET es un intermptor abierto.

Etapa de ganancia
Una etapa de Amp-Op mversora se convierte en su equivalente de condensador conmutado sustitu
yendo cada resistencia de la etapa por la configuracin de la Fig. 16.46~. Esto est representado en la Fig.
16-49 con intermptores MOSFET. Puesto que ambos pares mtermptores trabajan a la misma frecuencra
f,. la funcin de transferencia del circuito ser

*+ -2 (16.45)
E
La ganan& A, puede ajustarse co precisin ya que depende de la relacin de 10, valores de los
componentes.
Sin embargo, el circuito de la Fig. 16-490 es imprctico. Puesto que los dos interruptores empleados
para fomxR,,u, oestn nunca cerrados simultneamente no se fotmaningunarealimentacin alrededor
del Amp-Op. ha salvar esta dificultad se emplea la disposicin representada en la Fig. 16.49b. Cuando
9 = 1, C, se carga a la seal de entrada y C2 se descarga (de forma que no queda retenida la carga
previamente almacenada). Cuando 9 = 0 la tensin en C, se aplica al Amp-Op y C2 constituye la va de
la realimentacin. La ganancia viene dada por la Ec. (16.45)

Seccionesunipolares
Las tablas 16-4 y 16-5 indica que cualquier filtro de orden impar tiene un polo en el eje real. El circuito
de la Fig. 16-31 da polos en el eje real negativo en los filtros de tiempo continuo. La implantacin de
condensadores conmutados en la Fig. 16-31 puede verse en la Fig. 16-50. De la Ec. (16-29) y Ejemplo
16-3, la sustitucin de las Ec. (16-45) y (16-42) permite expresar la funcin de transferencia como:
( 16.46)

Comparando la Ec. (16-46) con la Tabla 16-l se tiene

( 16.47)

Tanto w#,corno Q dependen de la relacin entre capacidades, que puede ser ajustada con precisin.
Adems, oes directamente proporcional aJ que a su vez es la frecuencia del reloj de dos fases. Como
la frecuencia del reloj puede ajustarse muy exactamente, se pueden conseguir w y Q con gran precisin.
El circuito de la Fig. 16-S 1 se pude sintonizar variando la frecuencia f. del reloj. La especificacin de la
relacin entre condensadores detemnina Q; por tanto, aumentando (o disminuyendo) w,, ajustando la
frecuencia del reloj, aumenta (o disminuye) el ancho de banda w,,/Q.
ExIsten en el mercado filtros conmutados por capacidad de vatios fabricantes. El MF6-100 (Natlonal
Semiconductor) es un filtro Butterworth paso-bajo de 6 polos fabricado con tecnologa CMOS. La
frecuencia de corte vara entre 0,I y 20 kHz, necesitando una frecuencia del reloj de 100 veces la de corte.
Acondicionamiento y conversin de datos 733

1.a &wmcia de paso-banda es igual a la unidad, de forma que realmente se puede tener un filtro de 12
polos conectando en cascada dos circuitos.
La firma EG&G tiene una serie (R 56Xx) de filtros Chevyshev paso- banda de 6 polos cuya frecuencia
central puede variarse entre 0,5 a 20 kHz. En esta misma serie existen tambin filtros de paso. alto y
dentados.
Se puede disear un filtro universal monoltico (National Semiconductor MF 10) que d caractersticas
de paso-bajo, paso-alto, paso-banda, rechazo de banda y pasa-todo. Se puede disear un filtro de cuatro
polos que requiere un reloj externo y ocho resistencias exteriores.

16-13. AMPLIFICADORES LOGARTMICOS Y EXPONENCIALES


En la Fig. 16-52 est representado un Amp-Op con la resistencia de realimentacin R sustituida por
el diodo 01. Este amplificador se usa cuando se pretende tener una tensin de salida &oporcional al
logaritmo de la tensin de entrada.
Segn la Ec. (2-3) la caracterstica tensin-corriente del diodo es

;, = ,,(t,sl ,) _ /,tIsb

supuesto que , ,/qV, >> 1. Entonces:

( 16-48)
Puesto que i, = v, /R debido a la tierra virtual en la entrada del amplificador, tendremos

c,,=- L1,
=-lJvT
In2 - InI* (16.41))

Amplificador logartmico con transistores aparejados


Vemos en 1aEc. (16-49)que ~,,ddependede la temperaturadebidoal factorde escalaq\, y a lacornente
de saturacin I,. El factor TJcuyo valor normalmente depende de la corriente del diodo puede eliminarse
sustituyendo el diodo por un transistor con base a tierra. Otra ventaja importante de utilizar un transistor
en lugar de un diodo es que la relacin exponencial entre corriente y tensin abarca un campo de tensiones
mucho ms extenso. Ampliando la Fig. 16.52 con un segundo transistor aparejado se puede eliminar de
la expresin de v,, la corriente de saturacin inversa 1, (que se duplica por cada lo de aumento de
temperatura). El sistema final, representado en la Fig. 16-53 comprende una etapa Amp-Op no mversora
de salida con ganancia A, = I + R,/ R,

Seguidamente vamos a deducir la expresin logartmica de L. Para esta argumentacin prescmdiremos


del potencimetro de equilibrado de alta resistencia. Para transistores emparejados y siendo i, < i, la
entrada positiva a A2 est la tensi6n

c= VB,Q -Vxt, = V,lnic2 V,lni,, = -V,ln* (16.50)


Ic2
Puestu que v es igual a la pequea diferencia entre las tensiones base-emisor de Q2 y de Ql.
despreciaremos Lfrente a la tensin de referencia V,. Puesto que i,? < iC y debido a la tierra virtual en la
entrada de A 1, tendremos
Acondicionamiento y conversin de datos 135

Puesto que ;12 cs un Amp-Op no inversor, L,,= v (R, + R,) /R,. La cumbinacin dt: esta ecuacin con
las(16~5O)y(l6-5l)nosda
R, + &
ti., = -v, (16.52)
R3
Expermientalmen~e he ha hallado que la Ec. (16.52) se ~tisface dentro de un campo dinmico de
cuatro dcadas con tensiones de entrada desde 2 mV hasta 20 V. Por encima de los 20 V, los mayores
valores de las corrientes de los transistores que circulan por las resistencias hmicas de colector y de base
dan una componente de cada de tensin lineal que conduce a una desviacin respecto a la relacin
logartmica. Con una tensin de entrada por debajo de unos 2 mV, la corriente de entrada se hace
comparable a la de polarizacin y ya no resulta vlida la relacin logartmica entre Vg,y v$.
El potencimetro PI se emplea para equilibrar la tensin offset de A 1, es decir, con v, = 0 se regula
P 1 hasta hacer Y= 0 (menos de 50 kV). El sistema se anula de la siguiente forma: Con v, = V, R,/RI se
va variando P2 hasta que v<,= 0, satisfaciendo as la Ec. (16.52).
Obsrvese que segn la Ec. (16.52) la pendiente de la caracterstica es.
do,, Ua + Ra
I (16.53)
Nn Li,) R,
Este raultado ha sldo comprobado experimentalmente. Puesto que v, eh proporcwnal a la
temperatura, debe elegirse para R, una resistencia sensible a las variaciones de temperatura. Si K, crece
linealmente con T puede hacerse que la pendiente de la Ec. (16.53) se mantenga prcticamente constante
aun variando la temperatura.
Se pueden construir amplificadores logartmicos concampos dinmicosdetiincordel>esdemagnitud
736 Microelectrnica moderna

con Amp-Op de poca corriente de polarizacin. El empleo de tales amplificadores logartmicos en el


procesado de seales puede explicarse de la siguiente forma: Consideremos que hay que convertir en seal
digital una seal de entrada analgica cuyo campo dinmico es de cinco rdenes de magnitud. Se necesitar
un convertidor A/D de 20 bit si su poder de resolucin ha de ser del 10% de la seal ms pequea. Un
convertidor A/D en paralelo (Flash, Fig. 16-16) necesitara 2* Amp-Op lo que evidentemente no es
practicable. El convertidor A/D ms lento de la Fig. 16-15 y con un reloj de 20 MHz necesita 1~s por
conversin. El amplificador logatmico estrecha el campo dinmico de la seal de salida v,, con lo que es
suficiente un A/D de 8 bit.

Despus del procesado, el campo dinmico reducido de la salida del amplificador logartmico debe
presentar frecuentemente el mismo campo dinmico de la entrada original. Es decir, la salida del
convertidor A/D empleado para reconstruir una seal analgica debe desplegar tambin el campo
dinmico amplio de la sea analgica de entrada. Para este objeto se emplea un amplrfcador exponencial o
antilogarrmico. Este sistema est representado en la Fig. 16-54 y debe compararse con el de la Fig. 16-53.
En el amplificador exponencial la corriente de realimentacin i,, es constante y se deduce de la tensin
de referencia V,, mientras que i,? depende de la seal de entrada. En el amplificador logartmico la
conversin es efectiva.
Debido a la tierra virtual en las entradas de A 1 y AZ, el colector y la base de Q I estn a la misma tensin
- = ti,., V,,,. Despreciando Y frente a vx tendremos

Del atenuador de entrada es evidente que

en donde se ha empleado la EC. (16-50). Substituyendo las corrientes I, e I,., de la Ec. (16-54) en la
(16-S) se tiene
Acondicionamiento y conversin de datos 737

(16.57)

El s~rlema se equilibra para las tensiones offset y desajustes poniendo la entrada v, = tJ, y ajustando
luego el potencimetro P hasta que v,>= R, V, /RI.

Multiplicador logartmico
Lo\
,, ~ amplkddore\
,, ;, ~ logdntmlw\
,. y nnt~log~~mn~cor
. pucaen empledne; para multiphcar o ulvldir las
1 tcns~~nes analog~cas 11, y L,?.En la Flg. 16-55 se toman los logaritmos de ambas entradas, se suman estos
dos logaritmos y finalmente se saca el antilogaritmo de esta suma. Vamos a comprobar que la salida es
proporcional al producto de las dos entradas.

Empleando las abreviatura


R, + R4 K? _ AL
K, =G v, Y ( 16-58)
R, RIVR

i,, = -K, In K+, (16.59)

Para Cl i<nlplltKador ex~>onencial CC,,,entrada ,., y salida 1. la Ec. ( 16.57) se puede exribir en la
turma:
I (16.601
i,,
Et *
DC nzuado wn esta notacin, la salida v,, del Amp-Op sumador de la Fig. 16-55 es

(16.61)
738 S4icroelecrrnica moderna

Puesto que i,, eh laentrada al amplificador antllogartmico, v,, = v,, y segn las Ea. ( 16.60) y (16.61)

c,; = $ exp(ln K;c~,L.,~) = K+<~L.,~ (16.62:

tn el Prob. 16-X8 veremos que es posibleelevarde entradav, acualquierpotenciaponiendoencascada


amplificadores logartmicos y antilogaritmicos.
Se puede hallarel cociente de las seales de entrada si restamos el logaritmo de v<,del de \><2
y tomamos
el antilogaritmo. Debemos puntualizar que el multiplicador o divisor logartmico slo vale con entradas
unip&tres, a lo que a veces se le denomina operacin en un cuadrante. Existen otras tcnicas para la
multiplicacin precisa de do5 seales; en la prxima seccin describiremos una de ellas.

16-14. MULTIPLICADORES ANALGICOS


En Ia> Ecr. (10.86) y (10-8X) se ve que la tensin de ulida de un amphtlcador dlterenclal depende
de la corriente de fuente/,,, es decir, que ,q,,,es directamente proporcional al,,. El amplificador diferencial
puede funcionar como multiplicador variando la corriente de fuente como en la Fig. 16-56~. Aplicando
una seal II<, la corriente de referencia y por tanto I,, varan en razn directa de L,~.Si adems se aplica
una seal Y<)al amplificador diferencial, la salida ser proporcional al producto de las dos seales vii y
i(,. Las dos salidas, inversora y no inversora, del amplificador diferencial excitan un amplificador
diferencial (Sec. 14.12). Esto tiende a eliminar los componentes de salida de modo comn. El smbolo
de un multiplicador es el representado en la Fig. 16.566. La constante K es un factor de escala que afecta
al campo dinmico de las seales de entrada.
El circuito de la Fig. 16.56a es un multiplicador de dos cuadrantes, puesto que v,? > V,,.,,,, de Q3 y
Q4. Esta limitacin se solventa empleando la ciuia mulfiplicadoro Gi[herl de la Fig. 16.57 (que sustituye
la etan diferencial Y la fuente de corriente en la Fig. 16.560). La seal diferencial, que puede ser positiva o

Amplificadordiferencial
Acondicionamiento y conversin de datos 139

negativa, hace variar las corrientes de emisor i,,, e lfI en los pares diferenciales @l-Q2 y Q5- Q6. La
multiplicacin de vSi y vSJse lleva a cabo en cada uno de estos pares diferenciales. El funcionamiento en
cuatro cuadrantes se obtiene ya que i, e i, son las diferencias entre las corrientes de colector de los
amplificadores diferenciales.
El AD534L es un multiplicador-divisor monoltico, de una precisin bsica del 0,25%, un ancho de
banda de 1 MHz y un ritmo de variacin de 2OV&s. El circuito est completamente pre-ajustado, es decir,
que no necesita ninguna red exterior de ajuste.

Cuadrados y races cuadradas

Puedeemplearse el multiplicadoranalgicoparaobtenerel cuadrado y laraacuadrada de una funcin.


Conectando las dos entradas como en la Fig. 16.58a hace la salida v0 igual a vs2/K. Si la seal de entrada
se amplifica por K antes de excitar el multiplicador, va = v7?
El circuito de la raz cuadrada de la Fig. 15.58b emplea el multiplicador en el lazo de realimentacin
de una etapa Amp-Op inversora. La tierra virtual en la entrada del Amp-Op hace que

i,=- i2=-
RI R2
la sahda del multlphcador es vi= v:, /K y puesto que I, = -$, combinando estas relaciones y
140 Microeleclrnica moderna

despejando v,, se tiene

Modulador equilibrado
El multiphcador analgico puede emplearse para engemiru una serial rnuduladla cr, amphtud (AM).
Si vy, = V, cos ~,t y vs2 = VI cos w,f, siendo wr la frecuencia angular portadora y w, la frecuencia angula
de la seal, la salida IV,,del amplificador de la Fig. 16.S6h cs

c,, = v, v2 cm Id,., cos w,, = (VTV? CL>\ Lu,f) cos w< I (16-65)

La b.c. (16-G) demuestra que la amplitud de la portadora varia directamcmc wn la wial. Haciendo
u>u de la identidad cos (x + y) = cos 1 cos y + sen ,I sen ?. en la Ec. (16-56) I,, puede expresarse

(0 Ihl
Figura 16-5. Empleo de un multiplicadora manera de circuito para: (0) elevar al cuadrado, y (h) extraer la ra.? cuadrada

Puato que la frecuencia portadora /, = 0,/2 x no aparccc explicira en la Ec. (16.661, cl circuiu se
<l><,<P iOnl0 nr/>,lrhl//o,- v/r,iiihi-odn.

16-15. CONVERTIDORES ALTERNA-CONTINLA DE PRECISIN


Si se aplica una wxoide cuyo valor de pico sea inferior a Ia tensi&~ umbral o de corte \/y(=O,hV) al
circuito recrificador dc la Fig. 2-I? vcrcmw que en todo momento la salida cs nula. Para poder rectificar
w?ales de mV. es cvidentc que dcbcr reducirse lf;!. Colocando cl diodo cn cl Iu de realimentacibn de
un Amp-Op la tensin de corte queda dividida poi la gananaa A, en lazo abierto del amplificador. Por
tanto, V,queda virtuahnenw eliminado y el diodo be aproxima B un componen~ rectificador ideal. Si la
entrada \, de I Fig. 16-59~ se hace positiva en por lo menos V,/i\, entonces 1. supera Ily y D conduce.
Debido a la conexin virtual entre las entradas inversora y no i&rsora (dcbidu a la realimentacibn con
Ll conduciendo). l,,=),. Por tanto el circuito acGa como seguidor de tensin con tensiones positivas (por
~condicionamrento y conversin de datos 141

encima de unos 0.6/105V = 60 ib). Cuando I, oscila negativamente, U est en corte y no se suministra
corriente alguna a la carga exterior, salvo la pequea corriente de polarizacin del Amp-Op y la corriente
de saturacin inversa del diodo.

Limitadur de precisin
Modificando el circuito dc la l%g. Ib-5Yu cumo 211la Flg. 16.5Yb se puede obtener un limltador cas
~dcal. Si v, < Ve, vser positiva y 0 conducir. Como se ha explicado antes, en estas condiciones la salida
se iguala a la tensin en el terminal no inversor, 0 sea, V = V,. Si V,> V,?entonces ves negativa. D est en
corte, y 5= v$R ,/(R, + R) = Y, si R R,. Resumiendo: la salida sigue a la entrada cuando vs > V,
y v,, queda fijo en V, si v, es menor que V, pero de unos 60 pV. Ganado U se polariza en mversa en la
Fig. 16-29~ o 16-29/x puede aparecer una tensibn diferencial notable entre las entradas, y el Amp-Op
debe ser capaz de resistir esta tensin. Obsrvese que cuando V, > V, el Amp-Op se satura debido a que
falta la realimentacin u travs de D.

Rectificador rpido de media onda


Aadiendo K y 02 a la Flg. 16.59h y haciendo C, = 0 be obtiene el cnuitu de la Fig. 16-61lu. SI I\
pasa a negativa, U 1 conduce. 02 est en corte y el circuito funciona corno un Amp-Op inversor de forma
que I,, = -(R/K) L;,.Si v,,es positivo, Dl est en corte y 02 conduce. Debido a la realimentacin a travs
de n2 existe una twra wrtual cn la entrada y I~,= 0. Si V,es una senoide, el circuito hace una rectificacin
de media onda.
La principal limitacin de este cixuitu es el ritmo de variacin del Amp-Op. Cuando la entrada pasa
por cero, la salida del Amp-Op v debe pasar tan rpidamente como sea posible desde + 0.6 a 0,6V (o
viceversa) para que la conduccin pase muy rpidamente de uno a otro diodo. Si el ritmo de variacin es
de 1 V/ks, el tiempo de conmutacin ser de 1,2ps. Por tanto, estos I ,2ws deben ser una pequea fraccin
del periodo de la entrada senoidal
142 Microelectrnica moderna

Una configuracin no inversora alternativa a la de la Fig. 16-600 consiste en poner a tierra el lado
izquierdo de R e introducir v, en el terminal no inversor. La salida vale ahora (R + R)/R veces la entrada
con tenstones positivas y I,?= I< con entradas negativas si R,R. Por tanto se tendr rectificacin de
media onda si RR. Tanto en el rectificador de media onda inversor corno en el no inversor deben
invertirse los dos diodos D 1 y 02.

Rectificador de onda completa


El sistema de la Fig. 16-610 da una rectificacin de onda compkta sin mversin y con ganancia R/R,,
regulable con la resistencia R,. Consideremos primeramente el semiciclo en el que Y,es positiva. Entotices
DI conduce y 02 esta cortado. Puesto que DI conduce, hay una tierra virtual en la entrada de A 1. Como
02 est cortado y no hay corriente en la R conectada a la entrada no inversora de A2 resulta que Y, = 0.
As, el sistema consiste en dos Amp-Op en cascada, con ganancia de A 1 igual a -R/R, y ganancia de A2
igual a -R/R, = -1. El resultado es:
R
c,= +-Ll,20 para G, > 0 (16.67~
R,
V~~~IIU>~1~'ZIIUGIC~O en el que )>,es negativa. Ahora D 1 esti en corte y D2 conduce como se indica en
la Fig. 16.61b. Debido a la tierra virtual en la entrada de A2, v = v,=v. Puesto que los terminales de entrada
de A 1 estn en la misma tensin (tierra) las corrientes que llegan al terminal inversor de A 1 sern como
se indica en la figura. Aplicando a este nudo la ley de Kirchhoff:
Acondicionamiento y conversin de datos 143

2R
(16.68)
= pjR,

para i, -. 0 ( 16.69)

hablendono, valido de la Ec. (16-5X). Obsrvese que en la Ec. (16.69) el signo de v,,tx posmvu porque
en este semiciclo I, es negativo. Puesto que v,, en la Ec. (16-69) es igual a I,, de la Ec. (16.68) las salidas
de los dos semiciclos son idnticas confirmando as que el sistema rectifica la onda completa (con
ganancia RIR,). Obsrvese que con cualquier onda de entrada v,, es proporcional al valor absoluto de la
entrada 1I~1

Detector activo de media


Consideremos el circuito de la Fig. 16.60~1 en cascada con el filtro paso-bajo de la Fig. l6-6Oh. Si v,
es una portadora de amplitud modulada. el filtro R,C elimina la portadora y v,! ser proporcional al valor
medio de la seal de audio, dicho de otra forma, esta configuracin es la de un detector de media.

Detector activo de pico


Si se aade un condensadora la salida del diodo de precisin de la Fig. 16.59u, con R, igual a infinito,
resulta un detector de pico. El condensador de la Fig. 16.62~ mantiene la salida en el momento ( = t al
mayor valor positivo alcanzado por la entrada I\ antes de f como se ve en la Fig. 16.626. Esta forma de
funcionar es debida al hecho de que si Y$> vP, la tensin en el terminal no inversor supera ala del terminal
inversor y la salida 1. del Amp-Op es posttwa por lo que D conduce, y el condensador se carga a travs
del l (por la corriente de salida del amplificador) hasta el valor de la entrada, pues el circuito es un
seguidor de tensin. Cuando 1: cae por debajo de la tensin del condensador, la salida del Amp-Op pasa
a negativa y el diodo queda con polarizacin inversa. As, el condensador va cargando hasta que su tensin
se iguale al valor ms positivo de la entrada. Para reponer el circuito se puede conectar en paralelo con
el condensador un interruptor de pocas prdidas como es una puerta MOSFET.
El condensador integra tambin la corriente de polarizacin del Amp- Op, y adems, si la salida est
cargada, el condensador se descargar a travs de ella. Ambas dificultades se solventan modifcand el
744 Microelectrnica moderna

ial tb,

Figura 16-63.Versinmejorada deldetectorde pico.

sistema con un seguidor de fuente como se ve en la Fig. 16-63. Cuando el termmzal inversor se conecta
a la carga en la salida, li,>se ve obligada a igualar el valor de pico de v, como se pretende (pero la tensin
del condensador difiere de v,>en la tensin puerta-fuente del FET). Esta red es un caso especial del circuito
de toma y retencin, y las consideraciones respecto a la corriente de fuga del condensador que se hacen
en otras secciones son aplicables tambin a esta configuracin. Con un condensador ideal la tensi6n a
travs de C, en posicin de reten&n, vara slo debido ala muy pequea corriente de entrada del FEI
y la corriente inversa del diodo.
Si la entrada vscae por debajo de la salida v,,el Amp-Op se saturar (y puede sobrepasarse la mxima
variacin de entrada). Para prevenir esta dificultad se aade otro diodo al circuito como indica la Fig.
16.63h. Si ahora vx < va,02 conducir y el Amp-Op ser un seguidor de tensin con lo que se forma un
cortocircuito ideal entre los terminales de entrada. Si vx > v,, 02 se corta y el circuito se reduce al detector
de pico de la Fig. 16-63~.
Para tener un detector de pico que mida el valor ms negativo de la tensin de entrada basta nicamente
invertir el diodo D de las Figs. (16-62) o (16-63) por qu?

REFERENCIAS

Soclol, S.: Applications of Analog lntegrated Circuito, Irentice-Hall, Englewood Cliffs, N.J., 1985.
Grebene, A.B.: Bipolar and MOS Analog Integrated Circuit Design, John Wiley zmd Sons, Nueva York,
1984.
Fhausi, M.S., y K.R. Laker: Modcrn Filter Design, Prentice-Hall, Englewood Cliffs, N.J., 198 1.
Allen, P.E., y E. Sanchez-Sinencio: Switched- Capacitar Circuits, Van Nostrand Reinhold Compaq
Nueva York, 1984.
Schumann, R., M.A. Soderstrand, y K.R. Laker (Eds.): Modem Active Filter Des@, IEEE Press,Nueva
York, 1981.
Sallen, P.R., y E.L. Key: A Practica1Method of Daigning KC Active Filters, IRE Trans. Cwcuit Thrwy, val.
CT-Z. pp. 74-85, mazo 1955.
Butterworth, S.: On the Theory of Filter Amplifiers, Wireless Engmeer, val. 7, pp. 536.541, octubre ,930.
Temes, F.C., y J.W. LaPatra: Circuit Synthesis and Design, McGraw-Hill Book Company, Nueva York,
1977.
- Acondicionamiento y conversin de datos 745

9 Fray, P.R..y R.G. Meyw Anly\i> and Drslgn of Analog Intcgrated Circuitb, 2 ed., John Wi,ey and Son\,
Nueva York, 1984.
10 Dooley, D.J.: Data Converion Integrated Circuits. IEEE Pre\,, Nueva York, 19X0.
11 Shemgold, D.H. (Ed.): Analog-Digital Conversion Handbook, 3 ed., Analog Devices, Inc., Norwood,
Mass., 1986.
12 llamilton. D.J.. y W.C. Howard: Ba\ic Integrated Circuit Engineering, McGraw-Hill Book Company,
Nueva York, 1975.
13 Gilbcrr, B.: A Prcase Four-Quadrnt Multlplier with Subnanosecond Response, 1EEE.I. Sniid-Stare Ci,-mirs.
val. K-3, pp. 365-373, diciembre 196X.
14 Fray, P.R., D.A. Hodges, y R.W. Broderson (Eds.): Analog MOS Integrated Circuits, IEEE Press, Nueva
York, 1980.
1.5 Fnend, J.: STAR: An Active Biquadratic Filtcr Sectlon, IE,% Trans. Cim,;fs andSy,t., val. CAS-22, febrero
1975

TEMAS DE REPASO
16-l. iQu funciancs se deben cumplir en un sistema dc amphtud modulada?
16-Z. (a) Describir Cualitativamente el funcionamiento del sistema PCM
(h) i,Por qu debe emplearse un Ciltro am-ambigedad?
16-3. (a) Esbozar un sistema dc toma y retencin con muy alta resistencia de entrada y muy baja de salida
(h) Explquex el funcionamiento de este sistema.
16-4. (ii) iQu es lo que limita el tiempo de adquisicin en una configuracin de toma y retencin?
(h) Esbozar un sistema para minimizar el tiempo de adquisicin.
16-5. (a) Esbozar un sistema multplex analgico.
(h) ;,Cmo estn dispuestos los interruptores?
16-6. Dibujar un diagrama de bloques del que obtener las acales para un multiplcx dtvtsor dc tiempo.
16.7. (u) Dibujar el esquema de un convertidor DIA. Emplear resistencias cuya relacin de valores sea mltiplo
de 2.
ib) Explicar cl funclonamicnto del convertidor.
16.8. Indvar dos poribles disporiciones del interruptor gobernado digealmcnte, de un convertidor DIA.
16-9. Rcpctir el tema 16-7 para una red en escalera cuyas resistencias tengan uno de entre dos valores: R o 2R.
16.10. Explicar cmo un convertidor analgico-digital (DAC) funciona como un atenuador programablc para una
seal analgica.
16.11. (a) Dibujar el diagrama de bloquea de un convertidor AID contador.
(h) Explicar el funcionamiento de ee sistema.
16.12. Repetir el tema 16-l 1 para un vzrvo ADC.
16-13. Repetir cl tana 16-l 1 para un convertidor A/D paralelo-comparador dc 2 bit.
16-14. Explicar mediante el diagrama asinttico de Bode, por qu un integrador prctico SCdesva del idzal tanto
a frecuencia5 bajas como altas.
16-15. E\bozar el circuito de un integrador dllcrencial y enpl~car su Iuncionamiento.
16-16. Mostrar cmo se puede modificar un integrador para convertirlo cn un diferenciador.
16-17. Esbozar las caractersticas de respuesta en frecuencia ideales de los sistemas paso-alto, paso-baJu, paso-
banda y rechazo de banda.
16.18. (a) Escribir la funcin de tramferencia de una funcin bicuadrtica general.
(b) ,CuJesde 10s coeficientes del apartado (a) deten ser cero para tener una camaerstica de paso-bajo!
(c) Rcpctir el apartado anterior para las caractersticas de panalto y de paso-banda.
(d) Repetir lo anterior para la respuesta de rechazo de banda
16.1Y. Definir por medio de un diagrama: (a) la banda de paso, (b) la de cierre, (i.j la de transicin. v Cd) el rilado
en la banda de paso.
146 Microrlectronrca moderna

,6.2,,. i,QuC d~fcrw,ua\ cx,\ten en la re\pue\~a en irecucnc,il de lo> td~rt>\ Buucrworth y Chrhy\tw (de [>o-bajo)
dct mismo grado!
16.21. Dlhujar el esquema dc una scccin Sallen y Key de pa\o-bajo a realimentcln positiva.
16-22. i,Cmo se puede modiiicar el circuito anterior para que se tran\Sormc cn seccin ~SSO-alto?
16-23. Repetir el tema 16-21 para una seccin con realimentacin negativa.
16-24. Dibujar el diagrama de hloqucs de un Filtro paso-banda de banda ancha y explicar w funcionamuznto
16.25. Repztir el tema anterior para un filtro de rechazo de banda.
16-26. Dibujar el circuito de una vxcln paso-banda empleando un Amp-Op idra!
16-27. (0) i,QG x entiende POr red dentada?
(h) Definir los dentados de paso-alto y de paso-bajo
16-28. ((11 i,Qu x entiende por red pasa-todo?
(h) ;Para qu se emplea esta red?
16-29. (u) Escrihlr la expresin de la funcin de transfererua de un sistema pa\a-todo de un polo.
(h) Repetir el apartado anterior para un sistema de dos polos
(c) iCul es el mximo desplazamiento de fase que x puede alcanzar en los apartados (a) y (h)?
16-30. Dibujare1 ~~rcuitode un filtro hicuadrado universal y demostrar cmo se pueden conseguir simultncarrxntc
\alidas paso-bajo, paso-banda y paso-alto.
16-31. Demostrar que un condensador conmutado be comporta como una rcGstencia.
16-32. Citar tres ventzdjas de los filtros con condenaador
16.33. Esbozar el circuito de un amplificador logartmico con un Amp-Op y explicar su funcionamiento.
16-34. iQu utilidad tiene un amplificador logartmico!
16-35. Esbozar el circuito de un multiplicador analgico y explicar su funcionamiento.
16.36. Repetir el tema anterior para una clula multiplicadora Gilbert.
16.37. Describir brevemente tres aplicaciones dc un multiplicador analgico.
16-38. (a) Esbozar el circuito de un rectificador de media onda, de precisin, y explicar su funcionamiento.
(h) ;Cmo puede emplearse este circuito a manera de detector de media?
16.3Y. Esbozar el circuito de un detector de pico en el que la carga exterior no descargue el condensador.
QUINTA PARTE

Electrnica
de grandes seales

ti UIIICU captulo w ata utnma parte del Ilbro trata ile 105 CIKUI~OSetect~omcos cmptcaao> cn
aplicaciones de altas tensiones, corrientes y potencias. Las dos principales materias tratadas son la
conversin de corriente alterna en continua (suministros de potencia) y amplificadores de gran seal
del tipo necesario para alimentar altavoces y tubos de rayos catdicos (CRT).
Circuitos y sistemas
de potencia

Casi todos los circuitos electrnicos necesitan una fuente de potencia en continua. En los sistemas
porttiles de poca potencia se pueden emplear pilas. Sin embargo es ms frecuente que a los equipos
electrnicos les suministre un circuito que convierta la onda en alterna de la lnea de potencia en una
tensin continua de amplitud constante. Examinaremos el proceso de conversin alterna-continua basado
en los simples circuitos rectificadores introducidos en el Captulo 2. En este captulo consideraremos los
circuitos reguladores empleados para controlar la amplitud de una tensin continua. Estos circuitos son
una clase especial de amplificadores realimentados. Tambin trataremos de la conversin de continua a
alterna (reguladores de conmutacin).
I Un sistema amplificador consiste normalmente en varias etapas en cascada. Las etapas primera e
intermedias funcionan en el modo clase A de pequea seal. Su objetivo es el de amplificar la pequea
excitacin de entrada hasta valores suficientemente grandes para estimular el dispositivo final. Esta etapa
1 de salida alimenta un transductor tal como un tubo de rayos catdicos, un altavoz, un servomotor, etc., y
por tanto ha de ser capaz de transmitir una variacin grande de tensin o intensidad, o una cantidad
apreciable de potencia. En este captulo estudiaremos tales amplificadores de gran seal. En los amplifi-
cadores de potencia tienen gran importancia las consideraciones trmicas, que comentaremos aqu, y se
introducirn los transistores de potencia tantq bipolares corno FET.

17-1. CONVERSIN DE ALTERNA A CONTINUA

La principal fuente de energa elctrica es en forma de corriente alterna de amplitud y frecuencia


constantes. (En los Estados Unidos el suministro normal es senoidal de 110/22OV de tensin eficaz y 6OHz
de frecuencia, mientras que en Europa es de 220V eficaces y SOHz,tmbin senoidal.) La gran mayora
de circuitos electrnicos necesitan para asegurar su funcionamiento adecuado de una tensin constante.
Por ejemplo, muchos mini-computadores requieren fuentes de5V capaces de suministrar una corriente de
100A. Otros sistemas de procesado de seales necesitan fuentes de 12 y de 15V & los que la corriente
vare con las condiciones de la carga. Adems, muchos accionamientos de motores y sistemas de control
necesitan poder ajustar los niveles de tensin para satisfacer las condiciones de trabajo.
Rectificador Regulador

Entrada

Figura 17-1. Diagrama de bloques de una fuente de potencia


La hg. 17-l repre~nta el diagrama de bloques de un suministro de potencia parur de una fuente
primaria. Exceptuando el rectificador, cules de los restantes circuitos se empleen depender de la
aplicacin a que se destine. Tal como indican las ondas de la Fig. 17.1, las funciones de los diversos
Circuitos son las siguientes:

1. Transformador: Ajusta el nivel en alterna para adaptarlo la amplitud en contmua apropIada.


2. Rectificador: Convierte la tensin senoidal en una seal pulsante.
3. Filtro: Suaviza la forma de onda eliminando la componente en alterna de la salida del rectificador.
4. Regulador: Mantiene un nivel de tensin constante independiente de las condiciones de la carga y de
la amplitud del suministro en alterna.

El transfomxtdor puede ser elevador o rebalador, y su potencta ommal debe ser sutlciente para
alimentar la carga y suplir las prdidas en el rectithcador, filtro y regulador. La relacin de transformacin
viene determinada por el nivel de salida requerido y la amplitud de la entrada. Los dems circuitos sern
tratados en sucesivas secciones.

17-2. RECTIFICADORES

Casi todos los circuitos212ctrnico\n~crsltarr urrafuente de potellCiaecontinua. Si sc tratade sistemas


porttiles de baja potencia se pueden emplear bateras. Sin embargo, es ms frecuente alimentarlos de un
suminirtl-ador de potencia. que cs una pieza del equipo que convierte la onda alterna de las redes de
potencia. en un ten\in esencialmente continua. En esta seccin se inicia el estudio de la conversin
alterna-continua.

Rectificador de media onda

l;n dispositivo. tal como tm diodo xmiconductor. que puede convcrttr una onda >enoidal de entrada
(cuyo valor medio es cero) en una onda unidireccional (si bien no constante) con una componente media
no nula. se denomina rr<~ri~i~urior. La Fig. 17-2 representa el circuito bsico de un rectificador de media
onda. Puesto que en un circuito rectificador la tensin de entrada Y, = V,,,sen WI tiene frecuentemente un
valor de pico V,, batante grande comparado con la tensin umbral V., del diodo, admitiremos para el
slgutente razonamrento que V! = 0. Con el diodo idealizado para que & estado de conduccin sca una
reslstcncia R, y en estado de corte un cortocircuito, la corriente i en el diodo o en la carga R, viene dada
Por
i = i,,, sen u si 0 S 0 S 7 (17-I)
i=O SI 77 6 a SI 27

sxdo Ll = wi )

I,,,- Rt ys,,
+ f?,
t 17-2)

Ld hg. 17-X>wnaponde a la tensin del secundario del transformador, v , y la Fig. 17-2~ ala corriente
qa rectificada. Obsrvese que la corriente de alida es unidireccional. Seguidamente vamos a calcular el
valor medio, no nulo, de la corriente.
Uti anipwmm~ dc coivinua rst wwsii-uido de rulfimu yw lu demia< in de IU upju xriulu PI valot
nwdin de /a wri-ienre qur,xxu por i. Por definicin. el valor medio de una funcin peridica viene dado
por el rea de un ciclo de la curva dividida por la base. Expresado matemticamente:
Circuitos y sistemas de potencia 751

liPt$wia17-Z. tu, Cmuno ba,code recniicadorde mcd,aunda. (h) Tensinde salidasenada, de, transformadorv I (c, Carrienre
i de diodo y carga.

En el caso de media onda que estamos analizando, de la Ec. (17-l) se deduce que

Obsrvese que el lmite superior de la integral se ha cambiado de 2x a n ya que la corriente instantnea


en el intervalo entre II y 2x es cero y por tanto no contribuye en la integral.

Tensin del diodo


Evidentemente, la tensin de salida media en continua es

No obstante, la lectura ae un voltmetro de continua conectado a travs del diodo no wene dada por
I,Rfporque el diodo no puede ser tratado como una resistencia constante, pues tiene dos valores: R,en su
estado de conduccin e infinito en el de corte.
Un voltimetro de continua lee el valor medio de la tensin a travs de sus terminales. Por tanto, para
obtener ,ydCatravs del diodo deben situarse las tensiones instantneas como en la Fig. 17-3 y deducir por
integracton el valor medio:. As,

= $R, - V,) = $Rf - I,,,(R, + RL)]

hablendo hecho uso de la Ec. (17-2). Por tanto


152 Microelectrnica moderna

Este resultado es negativo, lo que supone que si el voltmetro hay que leerlo hacia uriba de la zsc&a
se deber conectar su terminal positivo al ctodo del diodo. Por la Ec. (17.5) se ve que la tensin continua
del diodo es igual al negativo de la tensin a travs de la resistencia de carga, lo que evidentemente es
correcto porque la suma de las tensiones continuas alrededor del circuito completo debe ser cero.

Corriente (0 tensin) alterna


Un ampermetro (o voltmetro) de valores eficaces esta construido de forma que la deflexin de la
aguja indique la corriente (o tensin) eficaz. Un instrumento de esta ndole puede ser de tipo trmico. Por
definicin, el valor eficaz de una funcin peridica del tiempo viene dado por el rea de un ciclo de la
CUIW, que represente el cuadrado de la funcin, dividida por la base, lo que expresado matemticamente
eS:

Ir,, = (& p da)2 (17.7)

Tenienao en cuenra la EC. (17-l) resulta

La tensin eficaz de salida es Im RJZ.


Aplicando la Ec. (17-7) ala tensin de entrada senoldal se obtiene

V ,,l,> = 2 (17-9)

Regulacin
Se entiende por regulacin la variacin de la tension ae salida en contmua en funcin de la corriente
de carga, tambin en continua. La regulacin en porcentaje viene dada por:
V V
5% regulacin = ;J - i x 100% (17.10)
///II
Circuitos y sistemas de potencia 753

donde vacio se refiere a cor&nte mia, y borla a la corriente de carga normal. h una fuente de potencia
ideal la tensin de salida es independiente de la carga (la corriente de salida) y el porcentaje de regulacin
er cero.
La variacin de V, con IdL en el rectificador de media onda se obtiene de la siguiente forma: De las
Ecs. (17-4) Y (17-Z).

Resolviendo la Ec. (17-l 1) para i,, = IdCR,. se tiene

V,
Vs,i = y Id, (17-12)

Este resultado es consistente con el modelo de circuito dado en la Fig. 17-4 para ta tensmn y corriente
en continua. Obsrvese que el circuito rectificador funciona como si fuera una fuente de tensin constante
(circuito abierto) V = VJJI en serie con una resistencia interna efectiva (la resistencia de salida) R = R
Este modelo muestra que sin carga VdLse iguala a V,,/z y que la tensin en continua decrece linealknt~
al aumentar la corriente de salida en continua. En la prctica la resistencia R, del secundario del
754 Microelecrronrca moderna -

tran>furmador esr en sene an el diodo y en la Ec. (17.12) se debera tiadir f,.a R,. El mejor mtodo
para apreciar la resistencia del diodo consiste en trazar en el laboratorio una grafica de V, en funcin de
I,<. La pendiente negariva de la lnea resultante da (R, + R). Evidentemente, la Fig. 17-4 representa un
modelo de Thvenin, y por tanto un rectificador se comporta como un circuito lineal restxcto a la corriente
y tensin medias.

Rectificador de onda completa


El circuito de la Fig. 17-k corresponde a un rectificador de onda completa. Como puede verse en la
figura, este circuito consta de dos circuitos de media onda conectados de forma que un diodo conduzca
durante una mitad del ciclo de potencia y el otro diodo durante el otro semiciclo.
La corriente en la carga es la suma de estas dos corrientes, i = i, + i,, y tiene la forma indicada en la
Fig. 17-M. Los valores en continua y los eficaces de las corrientes y tensiones en la carga de este sistema
50

Slendul~,, dada por la Ec ( 17.2). y siendo V,,,la tensin de pico del secundario del transformador tomada
dade un extremo al punto medio del devanado. Obsrvese, comparando la Ec. (17-13) con la (17-15) que
la tensin de salida en continua de la conexin de onda completa es el doble que en el circuito de media
onda.
De Iab Ecs. (17.2) y (17-l 3) se deduce que la tensin de salida en continua vara con la cokente segn
la siguiente expresin
2 v,,,
V dL = ~ - [AR, (17.14)
7r
Esta expresin nos lleva al modelo de Thvenin de la Fig. 17-4 salvo que el suministro interno (circuito
abierto) es V = 2VJn en lugar de VJt
Cuando en el anlisis \e incluye la tensin umbral del diodo V,, (modelo de la Fig. 17.bu), circula la
corriente por el diodo durante menos de un semiciclo (rectificador de media onda) como se aprecia en la
Fig. 17.6h. En este caso, y con referencia a la Fig. 17-6 se tiene

H, sen yV, llI Tr II,


///

para Iu\ rxtlficndore& de media onda y de onda completa respectivamente

Tensmn inversa de pico


En cada circuito rectificador exwe una tensin mxima a la que se puede somerer el diodo. A esta

-
tensin se le denomina tensin inversu de pico porque wne lugar durame la parte del ciclo en que el diodo
no conduce. Segn la Fig. 17-2 resulta evidente que en el rectificador de media onda la tensin inversa de
pico es V,. Vamos a ver que en el circuito de onda completa se llega al doble de este valor. En el instante
en que la tensin del secundario del transformador respecto a su punto medio est a su valor de pico Vm
el diodo DI est en conduccin y el 02 cortado. Si aplicamos la ley de Kirchhoff alrededor del lazo y
prescindimos de la pequea cada de tensin a travs de Dl, obtendremos 21/ corno tensin inversa de
pico a travs de 02. Obsrvese que se llega a este resultado sin hacer referencia ala naturaleza de la carga,
que puede ser una resistencia pura R, o una combinacin de R, y algunos elementos reactivos que pueden
haberse aadido para filtrar el rizado. Llegamos a la conclusin de que en un circuito de onda completa,
independientemente del filtro empleado, la tensin inversa de pico a travs de cada diodo es el doble de
la tensin mxima del transformador medida desde su centro a cualquiera de sus extremos.
En la Seccin 16-15 se trat sobre la rectificacin de una senoide con valor de pico menor que VT

Ngura 17.7

17-3. OTROS CIRCUITOS DE ONDA COMPLETA

Existe una variedad de circuitos rectificadores aplicables para distmtos uso>. Entre ellos estn los
circuitos de puente, varios dobladores de tensin, y otros multiplicadores de tensin. Los puentes se aplican
no slo en circuitos de potencia sino tambin como sistema rectificador en medidores de alterna de un
amplio campo de frecuencias.
756 Microelectrnica moderna

Rectificador de puente
Los principios del circuito puente estn representados en la Fig. 17-7. Para comprender su funciona-
miento basta observar que dos diodos conducen simultneamente. Por ejemplo, durante la parte del ciclo
en la que la polaridad del transformador es la indicada en la figura, los diodos 1 y 3 estn en conduccin
y la corriente pasa desde el extremo positivo al negativo de la carga recorriendo el itinerario sealado.
Durante el siguiente semiciclo la tensin del transformador cambia de polaridad, y son los diodos 2 y 4
que envan corriente a la carga en el mismo sentido que en el semi-ciclo anterior.
Las principales particularidades del circuito puente son: las corrientes tanto en el primario como en el
secundario del transformador son senoidales y por tanto se puede emplear un transformador ms pequeo
que el del circuito de onda completa de igual salida; se emplea un transformador sin derivacin central;
y cada diodo tiene a su travs la tensin del transformador slo en el ciclo inverso. Por todo ello, el circuito
puente es adecuado para aplicaciones de tensiones altas.

Medidor rectificador
Este instrumento, representado en la Fig. 17-8, esencialmente no es ms que un sistema recrificador
Je puente, salvo que no necesita transformador. Por el contrario, la tensin a medir se aplica entre dos
vrtices del puente a travs de una resistencia R, emplendose como aparato indicador un miliampermetro
de continua conectado entre los otros dos vrtices. Puesto que el miliampermetro mide los valores medios
de la corriente, se calibra la escala para que indique valores eficaces al aplicarle entre los terminales de
entrada una tensin senoidal. En consecuencia, este aparato no da indicaciones correctas si se emplea con
ondas que contengan amnicos apreciables.

Multiplicadores de tensin
En la Fig. 17.9 vemos un circuito doblador de tensin que da una tensin aproxmudamente duble de
la mxima del transformador sin carga. Este circuito funciona cargando alternativamente cada kno de los
dos condensadores a la tensin de pico V,,, del transformador, descargando continuamente la corriente
desde los condensadores a travs de la carga. Al mismo tiempo, los condensadores aplanan el rizado en
la salida.

17-4. FILTROS CAPACITIVOS

Frecuentemente se lleva a cabo el filtrado conectando en paralelo la carga y un condensador. El


Circuitos y sistemas de potencia 157

,
func~unam~cnto de ee s~tema se basa en el hecho de que el condensador almacena energa durante el
1
periodo de conduccin y la devuelve a la carga durante el periodo inverso o no conductor. De esta forma
se alarga el tiempo durante el que la corriente pasa por la carga disminuyendo considerablemente el rizado.
La tensin de rizado se define como desviacin de la tensin de la carga respecto a su valor medio.
Consideremos el rectificador capacitivo de media onda de la Fig. 17-I 0. Supongamos en primer lugar
que la resistencia de la carga R, es infinita. El condensador se cargar a la tensin mxima Vn, del
transformador. El condensador mantendr esta tensin ya que no hay ningn camino por el que esta carga
se pueda eliminar pues el diodo no admitir una corriente negativa. La resistencia del diodo en el sentido
inverso es infinita y no puede circular ninguna carga durante esta parte del ciclo. En consecuencia la accin
del filtrado es perfecta, y la tensin Y,,del condensador se mantiene constante en su valor de pico como se
veenlaFig. 17.11.
Evidentemente, la tensin Vg,a travs del condensador es igual a la de la carga, ya que ambos elementos
estn en paralelo. La tensin v en el diodo viene dada por
= Ll, - Li,, (17.18)
En la Fig. 17-l 1 se ve que la tensin en el diodo es siempre negativa y que la tensin inversa de pico
es el doble que la mxima del transformador. Por tanto, cuando se emplea el filtro, la presencia del
condensador hace que la tensin inversa de pico crezca desde un valor igual al doble del mximo del
transformador.
Supongamos ahora que la resistencia de carga R, sea finita. Sin el filtro capacitivo de entrada, la
couiente y la tensin en la carga durante el periodo de conduccin sern funciones senoidales del tiempo,
La inclusin de un condensador en el circuito hace que ste se cargue en escaln con la tensin aplicada.
Adems, el condensador debe descargara travs de la resistencia de carga, ya que el diodo impedir que
haya corriente en sentido negativo. Evidentemente el diodo acta como un interruptor que permite que la
carga fluya hacia el condensador cuando la tensin del transformador supere ala del condensador y que
procede a desconectar la fuente de potencia cuando la tensin del transformador sea inferior a la del
condensador.
158 Microelectrnica moderna

Tensin de salida en carga


Durante el intervalo en el que el diodo de la Fig. 17-10 est en conducch, la tensin del uansfornrador
queda aplicada directamente a la carga (suponiendo que se pueda despreciar la cada en el diodo). Por
tanto, la tensin de salida es Y,,= Vp sen 6~. Mientras D no conduzca, el condensador se descarga a travs
de la carga con una constante de txmpo CR,. La onda. de salida de la Fig. 17-12 est formada de partes
senoidales (cuando D conduce) unidas con segmentos exponenciales (cuando D est en corte). El punto
en el que el diodo empieza a conducir se denomina de conexin t y el en que cesa la conduccin, de
desconexin f,, lo que queda indicado en la Fig. 17-13.

El momento del corte se obtiene (Prob. 17.12) de la expresin de la corriente i en la Fig. 17-10 cuando
v = V sen ox. El tiempo en el que i = 0 da el ngulo de corte mf,. El punto de conexin se halla grficamente
bkxldo el momento en el que la porcin exponencial de Y, en la Fig. 17-12 corta la curva V, sen wt (del
siguiente ciclo). La validez de esta premisa parte del hecho de que en un instante de tiempo superiora f,,
la tensin v, del transformador (curva senoidal) es superior a la tensin del condensador Yo,(curva
exponencial). Puesto que la tensin en el diodo es Y = Y, va, Y ser positiva ms all de t2 y el diodo se
har conductor. Por tanto, $ es el punto de conexin. El empleo de un condensador grande para mejorar
el filtrado con una carga R, dada, viene acompaado de un pico elevado de la corriente Im del diodo para
una corriente de carga media, especificada. i se hace ms picudo y el periodo de conduccin disminuye a
medida que C se aumenta. Hay que remarcar que el uso de un filtro capacitivo puede imponer serias
restricciones al diodo ya que la corriente media puede muy bien estar comprendida dentro de los valores
nominales y sin embargo ser excesivos los valores de punta.
Circuito de onda completa
Consideremos un rectificador de onda completa con filtro capacitivo, obtenido colocando un conden
sador Ca travs deR,de 1aFig. 17-5. El anlisis de estecircuitorequiere unasimple extensin del realizado
para el circuito de media onda. Si en la Fig. 17-12 se aade una semi-senoide entre rr:y 271se obtendr la
onda completa de tensin marcada de trazos en la Fig. 17-13. El punto de conexin reside ahora entre TI
y 2x donde la porcin exponencial de va corta esta senoide. El punto de corte es el mismo que el hallado
I para el rectificador de media onda.

Anlisis aproximado
Se puede obtener la tenswn de sahda en contmua para unos valores dados de lob pararnetos w, R,, C
y Vm a partir de la construccin grfica de la Fig. 17-13, pero tal anlisis es pesado y complicado. Por
tanto, vamos a presentar una solucin aproximada sencilla y suficiente para aplicaciones industriales.
Supongamos que la onda de tensin de salida de un circuito de onda completa con filtro capacitivo
pueda representarse por la aproximacin lineal mostrada en la Fig. 17-13. Observemos que con valores
grandes de C (de modo que wCR,l) ox, tiende a x/2, y v0 tiende a Vm en f=t,. Adems siendo C muy
grande el decaimiento exponencial puede sustituirse por una cada lineal. Si llamamos V, a la prdida total
de tensin en el condensador (la tensin de rizado) tendremos que segn la Fig. 17-13 el valor medio de
la tensin es aproximadamente

v,, = v, - ; (17-19)
Sin embargo, es necesario expresar V, en funcin de la corriente de carga y de la capacidad. Si T3
representa el tiempo total de no conduccin, el condensador, cuando se descarga con I,? constante, perdera
una carga I,, T,. Por tanto, la variacin de tensin en el condensador ser Z,, TJC, o sea

Cuanto me~w sea la accin de filtrado, menor ser el tiempo T, de conduccin y ms se aproximar
T, al tiempo de un semi-ciclo, por lo que supondremos que T, = T/2 = 1/2 J siendo f la frecuencia
fundamental de la red de potencia. As,

ydelaEc.(17-1Yi
160 Microetectrnica moderna

l*c
V *c = VW, (17-22)
4fC
Jxk ~auhado CSU 11~auerdu wn el mtodo de Thvenin de la Rg. 17-14 con la tensin cn circuito
ablerto V = Vm y una resistencia de salida eficaz Ro = 1/4fC.
Vemos que el rizado vara en proporcin directa a la corriente de carga e inversa con la capacidad. Por
tanto, para mantener bajo el rizado y asegurar una buena regulacin deben emplearse condensadores
grandes (del orden de decenas de microfaradios). Los condensadores ms corrientes para este tipo de
aplicacinsonloselectrolticos,que tienenpolaridadporloque hay que tomarlaprecaucindeconectarlos
al circuito con el terminal marcxio + al lado positivo de la salida.
Las principales cualidades que se pretenden al usar filtros con condensador de entrada son: poco rizado
y elevada tensin con carga ligera. La tensin sin carga tericamente es igual a la mxima tensin del
transformador. Los inconvenientes de este sistema son: una regulacin relativamente pobre, mucho rizado
con cargas fuertes y las puntas de corriente que los diodos deben pasar.
Aplicando a un circuito de media onda un anlisis aproximado similar al que acabamos de ver, se
mostrar que el rizado, as coma la cada desde descargando hasta una carga dada. son el doble de los
valores calculados para el rectificador de onda completa.

Figura 17.14. Rha. (aj con entrada capacitiva,y (h) canentrada inductiva.

Filtros de entrada capacha e inductiva


Empleando ms de un elemento almacenador de energa se consigue filtrar ms eficazmente la onda
de salida de un rectificador. El circuito de la Fig. 17-140 es unfiltro de entmda capacitiva y el de la Fig.
17.14h es de entrada inductiva. En ambos circuitos las resistencias R, R, y R, son las de las bobinas
correspondientes a cada inductancia. Sus reactancias L,, L, y L de la Fig. 17-14 se eligen para que resulten
elevadas ala frecuencia alterna. As, actan de atenuador& del rizado de tensin, pero como su reactancia
es nula cuando w = 0, no afectan a la salida en continua. El anlisis de estos filtros es objeto de los Prob.
17.13y 17-14

17-5. SUMINISTRO DE POTENCIA REGULADO

Un uministro de potencia regulado eh un circuito electrnico diseado para que d una tensin en
conrinua predeterminada V,, independientemente de la corriente 1, emanada de VS,,de la temperatura y de

-
Circuitos y sistemas de potencia 761

cualquier variacin en la tensin de la lnea de alterna. Un suministro no regulado consta de un


transformador, un rectificador y un filtro como se ve en las Figs. 17-5 y 17.10.
Existen tres razones por las que un suministro de potencia no regulado es msuficiente para mucha
aplicaciones. El primero es su pobre regulacin; la tensin de salida no se mantiene constante al variar la
carga. La segunda razn es que la tensin de salida en continua vara con la entrada en alterna. En algunas
poblaciones, la tensin de la red (de valor nominal 115V) puede variar entre 90 y 13OV, y no obstante es
necesario que la tensin en continua sea prcticamente constante. Y la tercera razn es que la salida en
continua vara con la temperatura debido principalmente a los elementos semi-conductores empleados.
En el circuito de la Fig. 2-32 puede emplearse un diodo Zener como regulador simple. Este circuito
ya descrito en la Sec. Z-ll est limitado por la corriente (y potencia) nominal del diodo Zener empleado.
Este diodo debe ser capaz de soportar una corriente superior a la enviada a la carga.
Para salvar los tres inconvenientes antes citados as como la limitacin de corriente del diodo Zener
seempleaelcircuito con realimentacindelaFig. 17.15. Tal sistemaconstituye un suministro de potencia
regulado. En la Fig. 17-15 vemos que este sistema representa un caso serie-paralelo (realimentacin de
tensin en serie) si admitimos que la ganancia de tensin del seguidor de emisor Q 1 (Q I se denomina
tambin tmnsistor o e/rmrnto de paso) vale aproximadamente la unidad, entonces c,, = Vo, y
V;, =A,V, =A,(PV, - VH) = C (17-23)
ando

vc/ = VR Ai t 17-24)
1 + PA,

La tensin de salida yC se puede cambiar variando p, modificando la fraccin de Vo que se reenva hacia
atrs. El seguidor de ernuor Q 1 se emplea para proporcionar una ganancia de corriente porque la coniente
cedida por el Amp-Op, A, normalmente, no es suficiente. Adems, el elemento de paso debe absorber la
diferencia entre la tensin de entrada no regulada V, y la salida regulada V,. La tensin del colector en
continua necesario para el amplificador de error A, se obtiene de la tensin no regulada.
Transistorde paso

Figura 17-15.Un sistemade suministrode potenciaregulado


Estabilizacin
Puesto que la tensin ContinU de salida V<>depende de la tensin continua de entrada k,,,, dc la
corriente de carga I, y de l temperatura T, I variacin AV,) de la tensin de salida del suministro de
potencia puede expresarse de la si@nte forma:

(17.28)

Cuanto nxs pequeos sean los tres coeficientes tanto mejor ser la regulacin. La variacin AV,,, en la
tensin de entrada puede ser debida a variaciones en la tensin de la red de alterna o al rizado motivado
por un filtrado inadecuado.

17-6. REGULADORES MONOLTICOS

Es ~wresante observar que si se construyera un regulador de componentes discretos, ropolguurrcnte


5~ parecerid a la Fi!. 17.15: el amplificadorA, sera un Amp-Op (tal como el wA741 o el LM3OlA) y la
batera \x seria sustituida por un diodo de referencia (un LM 103, LM199 o un Tener). Con el advenimiento
de la electrnica se ha hecho tcnica y econmicamente posible incorporar todos los componentes e
forma monoltica, obtenindose todas las ventajas de los circuitos integrados: un funcionamiento exce-
lente, pequeo tamao, empleo fcil, bajo coste y alta fiabilidad.
Como ejemplo de regulador monoltico est el MC780OC de Motorola, de tres terminales, positivo,
de tensin fijada. La Fig. 17-16 representa la aplicacin normal en la que se han solucionado las compleji-
dades del usuario. El condensador de entrada C, se necesita para compensar los efectos inductivos
relacionados co las largas lneas de distribucin de potencia, mientras que el de salida C,, mejora la
rsspuesta transitoria. Estos dispositivos no necesitan ajuste alguno: tienen una salida preestablecida por
Circuitos y sistemas de potencia 163

el fabricante auna tensin industrial normalizada de 5,6,8,12,18 24 V. (Un MC7824C representa un


regulador de 24 V). Debe haber una diferencia de 2V entre la entrada y la salida. Estos reguladores
admiten corrientes de salida por encima de l,OA. Tienen protecciones contra cortocircuitos internos que
limitan la corriente mxima que pasa por el circuito, contra inconvenientes trmicos y asegurando el buen
funcionamiento de la zona del transistor de salida. Los coeficientes tpicos para la estabilizacin son:
s, = 3 x 10-x R, = 30 mn ST = 1 mVIC

El mvcl de complejidad que se puede afrontar con las tcnicas de integracin monohtica pueden
apreciarse observando la Fig. 17-17 que es el esquema del circuito MC7800C. Hacia la izquierda del
recuadro sombreado tenemos la tensin de referencia V, de la Fig. 17-15. Este es el desplazador de nivel
de la Fig. 14-l la con diodo Zener de entrada al seguidor de emisor. La zona sombreada de la Fig. 17-17
es el amplificador diferencialA, de la Fig. 17-15. Puede verse la semejanza de diseo con la configuracin
del Amp-Op 741 de la Fig. 14-19. El divisor de resistencia R, y R, (Fig. 17-17) corresponde a la misma
red de realimentacin de la Fig. 17-15. El par Darlington Q y Q de la ya citada Fig. 17-17 forma el
elemento de paso Ql de la Fig. 17-15.
Los circuitos de proteccin estn sealados con lneas gruesas y merecen una explicacin. El limitador
de corriente est formado por R,, R,, y Q2. La seguridad de funcionamiento se cumple de la siguiente
forma. Si la salida baja debido auna sobrecarga, aumentando con ello la tensin colector-emisor de Q,
el diodo Zener (que con cargas normales est cortado) conducir. En estas condiciones se enva a Q2
suficiente corriente de base para que ste conduzca robando a su vez excitacin de base de la
combinacin Darlington Q Q. De esta forma, el producto tensin-corriente del elemento de paso queda
limitado a una disipacin de potencia razonable.
Veamos a continuacin la proteccin contra una sobrecarga trmica. Una fraccin de la tensin de
referencia que aparece a travs de R, se aplica a la unin base-emisor de Q3. Con un valor fijado de V,,,,
la corriente de colector 1, aumenta rpidamente al aumentar la temperatura. Por tanto, a temperatura
suficientemente alta (sea por disipacin de potencia o por un ambiente caluroso) el transistor Q3 conducir
ms, y nuevamente debilitar la excitacin de base de los transistores Q Q bajando as la temperatura.
El empleo de reguladores monolticos permite distribuir tensiones no reguladas por el equipo electr-
nico y prever una regulacin arbitraria como por ejemplo en los circuitos impresos individuales. Junto a
las ventajas de este camino estn la mayor flexibilidad en los niveles de tensin, la regulacin de las etapas
individuales y la mejora del aislamiento y desacoplo de tales etapas.
Existenreguladoresmonolticosenmultituddevariantes: fijosovariables, tensionesdesalidapositivas
o negativas, fuertes corrientes de salida (> lA), tensiones de salida elevadas (> 24v) y salidas simples o
dobles (+). El usuario puede disponer tambin del regulador normal de tres terminales (Fig. 17.16) corno
bloque constructivo bsico para adaptar su funcionamiento a necesidades especficas. Estas tcnicas sern
objeto de los Prob. 17-19 y 17.20.
764 Microelectrnica moderna

Figura 17 -17. Esquema del circuito del regulador monoltico serie MC 78WC (Cortesa de Motorola Semiconducror , /nc.)

17-7. REGULADOR DE CONMUTACIN

Los reguladores vistos en la seccin anterior tienen, a pesar de su utilidad, tres inconvenientes:

1. En un suministro de potencia que comprenda una conversin de alterna a continua (transformador,


puente rectificador y filtro) la polaridad y magnitud de la tensin en continua no regulada (en bruto)
puede ser un parmetro del diseo y no existe ningn problema inherente. Por el contrario, si en un
sistema con un suministro de tensin en continua (como p.ej. de + SV para puertas TTL)se precisan
xk15V para operar el Amp-Op puede ser fsica y econmicamente imuracticable aadir la ayuda para
tensiones adicionales en continua.
Circuitos y sistemas de potencia 765

2. Un sistema alimentado por una batera tal como un a~tema de com,,mcuc,ones en el campo o en un
satlite en el espacio, no tiene ninguna fuente de alterna, y por tanto debe generar todas las tensiones
(positivas onegativas) apanirdelanicafuentedecontinuadisponible. Este sistema-es un convertidor
continua-continua.
3. La magnitud de la tensin de entrada debe ser mayor que la salida, y los reguladores serie son de por
s ineficaces. Cuanto mayor sea la diferencia entrada-salida para una crriente dada, tanto mayores
sern las prdidas. Un sistema regulador TTL trabajando a IOV tiene en el mejor de los casos un
rendimiento del 50% y si lo hace a 20V el rendimiento baja al 25%.

Iupologia del reguladur de conmutacin bsico

Estos tres inconvenientes pueden eliminarse usando un regulador de conmutacin. En la FIS. 17- 18 x
representa el lazo de control regulador bsico. La tensin de enlrada no regulada es V,,, y la de salida
regulada es L,. La corriente de salida cedida a la carga R,. debe ser grande (por ejemplo, dc algunos
amperios).
El bloque sombreado contiene circuitos de baja potencia que se fabrican en un solo chip integrado. El
regulador de r&encia es el de paso descrito en la Sec.17-6, cuya salida es la tensin de referencia
regulada V,C,que sirve de tensin dc suministro de potencia para todos los circuitos del chip. Puesto que
la corriente motivada por VrL,es pequea (p.ej. 10 mA), la escaw prdida de potencia en cl regulador dt
paso no debe afectar sensiblmente al rendimiento conjunto del sistema.
La topologa de la Fig. 17-18 corresponde a la de un sistema de realimentacin serie-paralelo
(realimentacin de tensin en serie) y la comparacin entre la entrada fija VrC,con una fraccin R,/(R, +
R J de la salida V, se lleva a cabo con el amplificador diferencial (amplificador de error). Tambin existe en
el chip un generador de onda triangular de periodo T (circuito no indicado en la Fig. 17.18) aplicndose
su salida 11al terminal no inversor de un comparador que funciona como modulador de ancho del impulso
(PWM). La tensibn de salida $#,del amplificador de error se aplica al terminal inversor PWM como en la
Fig. 17-18. Este modulador acta corno se describe en la Sec.15.15 engendrando una onda cuadrada V<

5
P
766 .Clicrorlecrrnica moderna

de penodo 7 cuya asimetra 6 vara linealmente con sn,. La sahda vA del PWM .%wta un mterruptor de
potencia (indicado en el bloque de la Fig. 17-18) creando una onda cuadrada (de periodo T y asimetra del
ciclo G)cuyovalormnimoesceroyel mximo V,. Estaondacuadradasefiltramediante unacombinacin
LC que acta como un filtro paso-bajo. Si la reactancta de C es muy inferior a la de L a la frecuencia
fundamental, todas las componentes de Fourier de la onda cuadrada quedan muy amortiguadas. Dicho de
otra forma: si T/2xC 2nL/T o SI.d- LC T/2n, Vo ser una constante igual al valor medio de la onda
cuadrada.

Tensin de salida regulada


Puesto que hay un conocircuw wtual entre los terminales de entrada del amplificador de error,
I ,, = R,C ,,/(R, + R,) y la salida vendr dada por

Vo = v,,, I + 2 ( 17.30)
i 1
Obxrvese que ehta tenun regulada es independiente de las variaciones de la tensin de entrada V, y
de las variaciones de la corriente de carga, dependiendo nicamente de la constancia de la tensin regulada
l,L, y de la relacin RJR,. Si por cjcmplo la tensin de referencia cs la de alimentacibn para las puertas
lgicas TTL, de form que V,c,= 5V, y si deseamos una salida 1fo = I5V slo es necesario elegir R, = 2R,.
Como ya se ha indicado antcs, V,>es el valor en continua de la tensin de salida vx de la onda cuadrada
del interruptor, cuyo valur de pico es V,,,.Por tanto, estaconliguracin slo se puede emplear si V,,,> V,,. Este
Aema de gobierno funciona de manera que genera automticamente una tensin de error v,,,de tal forma
que el modulador PWM tiene el valor correcto de 6 para hacer que ve tenga el valor Vo en continua dado
por la Ec. /17-V).

Rendimiento
En la Fig. l7- 1X w ohrcrvd que la cornentc dc \al~da ,>a\a desde i,,, tlav> del interruptor dc potencia
y ia inductancia a la carga. Empleando un interruptor de bajas prdidas (interruptor de transistor con V,.,,\,,
baja y velocidad de conmutacin alta) y un filtro con Q elevado (una inductancia con poca resistencia),
el rendimiento de la conversin supera frecuentemente el 90%.

El interruptor de potencia
La acun del interruptor de un polo y dos posiciones (SPDT) de la Fig. 17-18 se puede currsgu~
tambin con la combinacin de la Fig. 17.19u, de un diodo y un interruptor de un polo y una posicin
(SPSI)(\u\tltuidporun tran\i\torcn IaFig. 7-19h). Estnincluidos,elfiltroLC,lacargaR, y el bloque
modulador del ancho impulso (PWM) que excita el interruptor, pero para simplificar se ha prescindido
de R,, R,, y del bloque integrado.
El circuito funciona de la siguiente forma: Cuando el interruptor est cerrado cl diodo est polarizado
en ~nverw por v,,, y la corriente de carga I, la suministra I>/(= V,,, a travs de /.. ,En,la segunda parte del
ciclo, cuando el mterruptor est abierto, la corriente de la inductancia no puede balar mstantneamente (si
tal hiciera, la tensin cn la inductancia L diidr. seria negativa e infinita). Por tanto, en el instante en que
se abre el interruptor i, pcmxmece constante y la circulacin de corriente debe ser desde tierra a travs del
diodo y dc la inductancia hacia la carga. Despreciando la cada en el diodo, tendremos Y~ = 0. Por tanto,
Circuitos y sistemas de potencia 761

v,,,

vg es una onda cuadrada de periodo T y asimetra 6, con un valor mnimo cero y mximo V,. Esta forma
de onda es idntica a la de vs de la Fig. 17-18. Por tanto, el circuito de la Fig. 17-19~ acta exactamente
como el de la Fig. 17-18. Puesto que cuando el interruptor se abre vg retrocede de V, a cero, esta
configuracin justificarfa el nombre de convertidor de retroceso (flyback).
El intermptor SPST de la Fig. 17-19~ puede compararse a un transistor de potencia pnp Ql como
indica la Fig. 17.19h. Si la corriente de carga es de lA, la corriente de colector de Ql ser de lA, y con
p, = 100, la corriente de base ser de 1OmA. El transistor Q2 se emplea para suministrar esta elevada
corriente de base. Obsrvese que Ql y Q2 forman un par Darlington (%x.10-14). Para excitar estos
transistores con la tensin de polaidad apropiada debe invertirse la tensin de salida Vgdel PWM, y por
tanto, para completar el interruptor de la Fig. 17-19b se hace necesario el transistor Q3.
Con va positivo, Q3 conduce y su corriente de colector (a travs de las resistencias del interruptor)
polarizaQ1 y Q2aconduccin,deformaquev, = u,;. Porotraparte,si Vgesnegativoocero,Q3 noconduce
y no hay corriente en las resistencias de polarizac~on. Por tanto Ql y Q2 estn cortados y el intenuptor
est abierto. Por la accin desCrita en el prrafo anterior, el diodo de retroceso se pone en conduccin y
vg = 0. Este proceder seala que el interruptor de potencia de la Fig. 17- 196 es la realizacin prctica del
intermptor idealizado de la Fig. 17.19a. Incidentalmente el transistor de baja potencia Q3 se fabrica
formando pate del chip integrado representado en el rectngulo sombreado de la Fig. 17-18.

17-8. TOPOLOGAS ADICIONALES DEL REGULADOR DE


CONMUTACIN
En la configuracin de la Fig. 17-19 la tensin de sahda es positiva y menor que la tensin de entrada
(L <,< I,,,l como se ha comprobado en la seccin dntenur. Esta rcstnccion se evita con la wnhgurun de la
Fig. 17-20 como demostraremos seguidamente. Consideremos cl intervalo 7, cuando el interruptor est
cendo. El diodo tiene polarizacin inversa por la temin positiva V,, el lazo de realimentacin est abierto
y C SCdescarga a travs de R,. Haciendo CR, T,, la cada en I$ (tensin de rizado) es pquefia. Durante
este intervalo la tensin de entrada lo esa travs de L y la inductancia i, aumenta en <ii, = V,,, dr/L = \,,,T, /L
Consideremos ahora cl intervalo ; durante el que el intermptor est abierto. Puesto que la corriente
en una inductancia no puede cambiar instantneamente, i,(T, ) = i,(T, + ) y por tanto el diodo pasa a
conduccin e i, pasa a travs del diodo hacia C. En estado estable la tensin a travs de C debe ser la
misma al final del periodo T = T, + T, que la que era al principio r = 0. Anlogamente la corriente debe
menguar (di,/& < 0) durante Tz en la cuanta V,,, T,/L que aument durante 7,. Despreciando la tensin
en el diodo de la Fig. 17-20 se deduce que vg (tensin de salida instantnea) viene dada por

,, = v,,, L dildl V,,

paque J~$/ir CI rqauva. lata argumentacin demuestra que en es!u wnii~uracin la salida V,) qma a
la entrada. Incidentalmente la accin de interruptor se obtiene empleando el par Darlington cl-Q2
excitado por Q3 en forma <imilar a la indicada en la Fig. 17-I 9h

Tensiones de salida negativas


Para obtener un wmimstro negativo a partir de una kx~r~r wntmua be unhza. pala IUS cumpur~zr~tz~
de potencia IU configuracin de la Fig. 17-2 1. Supondremos que V,, < 0 y seguidamente justificaremos esta
suposicin. El argumento cs semejante al empleado en el prrafo anterior. Durante el intervalo T, cuando
el interruptor est cerrado, el diodo est en cone porque la tensin del ctodo es + L,, y la del anodo cs
negativa. El condensadordeacarga ligeramente a travs de la carga y la corriente de la inductancia aumenta

cn V,,,T,IL. En el momento en que se abre el interruptor i, no puede cambiar y el diodo se ve obllgado a


conducir dc forma que ~I,~ir~~I por el lazo formado por L, C y LI. Puesto que i, entra en la placa inferior
de C, sta se carga posmvamente y la tensin de salida es negativa. Otra prueba de que V, < 0 es que i,
debe disminuir durante el intervalo T, lo mismo que creci durante T, de forma que di,/& < 0 Y DT tanto
I(, = L di,/dt CFnegativa. No existen restricciones en cuanto la magnitud de V,,; puede xr mayor o menor
que V,,. Su valor viene determinado por el lazo de gobierno de la Fig. 17-18. Si V, es negativo debe
emplearse un dcsplazuniento de nivel para que la tensin efectiva de realimentacin sca uositiva. Esta
configuracin SCindica cn el Prob. 17-22.
Circuitos y sistemas de potencia 769

Convertidor continua-continua a contrafase acoplado por transformador


Esta cnfiguracin de regulador de conmutacin es de la mayor tlexihilidad porque la salida Vo puede
\cr mayor o menor que la entrada en continua 1,,,, y cl signo de V,, puede ser el mismo o el opuesto al de
I,,,. La topologa de los componentes de potencia puede verse en la Fig. 17-22. Emplea un transfomndor
con ncleo de hierro, con toma central en el primario (v,., = 1.J y en el secundario (v$) = L.J. El nmero
de espiras en el secundario es n veces el del primario, de forma que v,, = ny,,, y I*<: = n 1~~.Si n > 1 se puede
tener v,, > ,,, mientras que con n c 1, v,,, <,.
LOS dos Interruptores SWI y SW2 estn gobernados por las ondas 13~~ y 1~: que se obtienen de la salida
li del modulador de ancho de impulso (PWM) (como se detalla en la Fig. 17-24). Las ondas vl, L,,! y 1;?
estn representadas en las Figx 17-230 h y c respectivamente. La onda vq orocede del PWM del hloaue
sombreado dc la Fig. 17-18. hsrvese que a SWi y SWZ los cierra el mismo ciclo de servicio, pero cada
uno acta slo una vez cada dos periodos de la Onda vA o dicho de otra forma, cada interruptor acta a
frecuencia mitad que la del convertidor de la Fig. 17. IX. El interruptor SWI (o SW2) es un transistor cuya
onda de base es isI (o I,J

A
c

Mgura 17..?2.K~guladurdeconmutacibnen contrafaseacopladofm transformador.

DC la Fig. 17-22 se deduce que las tensiones primarias vienen dadas por

-
770 Microelectrnica moderna

vm si SWI cerrado y SW2 abierto


UPl = OP = + Vi si SWl abierto y SW2 cerrado
0 si SWl abierto y SW2 abierto.

Esta onda eh la representada en la Fig. 17.23d. Las tensiones secundarias v,, = vhztienen esu misma
forma pero n veces mayor. Mientras vs, = vIz es positivo Dl conduce y 02 est cortado y vg = n V,. Si
VS,= vp son negativas, 02 conduce y Dl est en corte, y nuevamente vg = nV,. Cuando VS, = vsi = 0 los
dos diodos quedan conectados en paralelo desde el punto B a tierra y por tanto actan como diodo de
retorno como se ve en Fig. 17- 19 de forma que durante este intervalo vB = 0. En consecuencia, la onda vs
es la indicada en la Fig. 17.23. Obsrvese que v8 es proporcional a va. Debido al filtro LC, la tensin de
salida Vo es igual al valor medio de la onda vg pudiendo ser mayor (o menor) que V,, dependiendo de
que II supere (o sea menor) que la unidad. Si se invierten los diodos, el signo de V, es negativo. El bloque A
y B de la Fig. 17.22 sustituye al bloque del intenuptor de potencia del lazo de realimentacin de la Fig.
17.l9h. La salida regulada est dada por la Ec. (17-19).

Generacin de las ondas de conmutacin


Vamos a ver ahora la forma de obtener las dos ondas de conmutacin I~, y brAza partx de la del PWM
~1~.El diagrama de bloques correspondiente est representado en la Fig. 17-24, y las ondas en la 17-25.
Para engendrar la onda triangular necesaria para el modulador del ancho de impulso se emplea la onda
1 del oscilador de onda cuadrada de la Fig. 17.25a. La onda Y,es la de la Fig. 17.25h. El ciclo de servicio
$& i es 7 /(T + Tz). El Flip-Flop se emplea como circuito dwisor por 2 cuya entrada es l#,,(,siendo las
dos s&das k&lementarias vn y ta,; las de las Figs. 17-25~ y d respectivamente. Las entradas ala puerta
AND, Al (A2) son va (v,,) y va,, estando las salidas V,, y vqz representadas en las Figs. 17.25b y f
respectivamente. Estas ondas son las empleadas en las Figs. 17.23b y c.
El interruptor SWl (SW2) de la Fig. 17.22 est sustituido en la Fig. 17.24 por el transistor de potencia
Ql (Q2). Las corrientes de base de Ql y 92 las proporcionan los transistores Q3 y Q4 excitados por las
ondas lAi y v4>respectivamente. La complejidad del sistema regulador de la conmutacin habra impedido
su empleo si no fuera por el alto nivel de sofisticacin alcanzable con la microelectrnica moderna. De
ello es un ejemplo el encapsulado SG 1524 de la Silicon General: en este chip se encuentran los siguientes
circuitos: regulador de referencia, modulador de ancho del impulso (consistente en el oscilador en dientes
de sierm y el comparador), amplificador de error, dos transistores (para Q3 y Q4 de la Fig. 17.24~ o Q3

UA n r-l n n i cn,
sw 1 CermJ0
Ai sw I Abierto n t ,b,
sw 2 Cenado
UA rl sw z Abier -
C~rcurros y sisremus depurencia 771

de la Fig. 17-19), biestable de gobierno y dos puertas AND (Fig. 17.24), as como elementos limitadores
de corriente y contra paralizaciones. Las ondas en la Fig. 17.24 son las representadas en la Fig. 17-25.
El SC1524 se sita en el lazo de realimentacin de la Fig. 17-18 para formar un regulador dc
conmutacin atidiendo las resistencias de realimentacin R, y R, y los componentes discretos del
interruptor de potencia de la Fig. 17-l% o de la 17.22. Con una tensin V, = 2X V se puede tener una
slida rcgulada V, de 5 V y 1 A en el sistema simple y 5V y 5 A en el de contrafase. Con L = 1 mH y C =
1000 pF como componentes del filtro se puede alcanzar con el SGl524 una regulacin del 0.2% en la lnea y
carga, con una variacin mxima del 1%. El circuito de gobierno trabaja con una tensin de referencia de
5 V, toma menos de 1OmA y es capar de funcionar por encima de los 100 kHz. (Las resistencias R, y C,
fijan la frecuencia.) Los transistores de salida del chip admiten 100 mA y estn protegidos contra
cortocircuitos. Se estabiliza el lazo de realimentacin aadiendo una red RC de retardo.
772 Microelectronrca moderna

17-9. AMPLIFICADORES DE GRAN SEAL

La Rg. 17-26 representa un amplificador de transistor simple que suministra potencm a una carga
puramente resistiva R,. Empleando las notaciones de la Tabla 10-1, i, representa la corriente instantnea
total del colector, iC designa la variacin instantnea respecto al valor de reposo 1, de la corriente de
colector. De igual forma, i,, i, e IB representan las correspondientes corrientes de base. La tensin instantnea
total colector-emisor es vc, y su variacin instantnea respecto al valor de reposo V, se representa por vC
CC

K, k
1

+
8
j + 88
d Y
Figura 11-26.Elapade un salatransistordiscreto.

Supongamos que las caractersticas estticas de salida son equidistantes entre s para mcrementos
iguales de la corriente de entrada de base i, como indica la Fig. 17-27. Si la seal de entrada i, es senoidal,
las tensiones y corrientes de salida sern tambin senoidales, como puede verse en la misma figura. En
estas condiciones la d/storsin no lineal es despreciable, y la potencia de salida es:
P = V<l<= P<R, (17.32)
siendo VCe 1, los valores eficaces de la tensin e intensidad de salida Y( e iCrespectivamente, y R, la
resistencm de carga. Los valores numricos de VCe 1, se pueden determinar grficamente en funcin de
los valores mximos y mnimos de la tensin y de la corriente como se indica en la Fig 17.27. Si Im (V,,>
representa el pico de la corriente (tensin) senoidal, tendremos
C
4 I
Circuitos y sistemas de potencia 113

(17-33)

Esta ecuacin permite calcular fcilmente la potencia de salida. Todo cuanto hay que hacer es situar
la recta de carga en las caractersticas tensin-intensidad del dispositivo y leer los valores Vmax,Vm,,
L e d

17-10. DISTORSIN ARMNICA

En la seccin precedente se han idealizado los elementos activos considerndolos perfectamente


lineales. Sin embargo, generalmente la caracterstica de transferencia dinmica (i, en funcin de ih) no es
una lnea recta. Esta no linealidad es debida a que las caractersticas de salida estticas no son rectas
equidistantes para incrementos iguales en la excitacin de entrada. Haciendo referencia a la Fig. 10-3
vemos que la onda de tensin de salida difiere de la sefial de entrada. A una distorsin de este tipo se le
denomina distorsin no lineal o de amplitud.
El lector puede preguntarse por qu en los anteriores captulos relativos a la amplificacin no ha sido
tratado este tema de la distorsin. La respuesta hay que buscarla en la magnitud de la seal. Lo tratado en
el Cap. 10 se apoya en que cualquier sistema, independientemente de la caracterstica de transferencia,
puede ser tratado analticamente en forma lineal para pequeas variaciones alrededor del punto de reposo,
caso que no se da en los amplificadores de potencia. Por su propia naturaleza un amplificador de potencia
debe dar una seal de salida grande, y por tanto debe tenerse en cuenta la curva de transferencia completa,
sea 0 no sea lineal.

Distorsin de segundoarmnico
Paraestudiarlamagnituddeestadistorsin supondremosque lacurvadinmicapuedeserrepresentada,
respecto al punto de reposo Q, por una parbola en lugar de por una recta. Es decir, que en lugar de
relacionar la corriente alterna de salida iCcon la excitacin de entrada i, por medio de la ecuacin iC= Gi,
resultante en un circuito lineal. admitiremos que la relacin entre i e i, se aproxima ms a la expresin

ic= G,i h + G,i*, (17-37)


siendo las G unas constantes. Estos dos trminos son el principio del desarrollo en serie de i, como funcin
dc i,,.
774 Micruelectrnica moderna

Si la onda de entrada es senoidal de la forma

(17-38)
la sustituun de esta expresin en la Ec. (17-37) la convierte eo

i, = G,I hn,cm WI + GJ2,m cos WI

siendo ws WI = 1/2 + 112 cu> Lwr, la expresin de la corriente instantnea total i( tomar la fomu

+=I,+ i,=I,+B,+B,coswt+B,cos2wr (17.3Y)


siendo Iab LI una> constanrcs que pueden calcularse en funcin de las G. El significado fsico de esta
ecuacin es evidente: muestra que la aplicacin de una seal senoidal a una caracterstica dinmica
parablica, dar una corriente de salida que contiene, adems de un trmino de la misma frecuencia que
la entrada, un segundo armnico y una corriente constante. Este trmino constante B,, se suma al valor en
continua original 1, dando una componente de corriente total en continua I, + Br>. Una distorsin
parablica no lineal introduce en la salida una componente de frecuencia doble que la de la entrada
senoida/ de excitacin. Esto ya se vio en la Sec. 12-3 al tratar de los efectos de la realimentacin negativa
sobre la distorsin. Adems, puesro que una seal de entrada senoidal cambia el valor medio de la
corriente de salida, tiene lugar una rectrfrcacin.
Las amplitudes B,,, B, y B, para una resistencia de carga dada, se determinan en las caractersticas
estticas. Vemos en la Ec. 17-21 que:

Cuando uf = 0: i, = /,*x
(17-40)
Cuando w = rc/2: i, = 1,

Cuando w, = n: i, = 1,l,l

y sustituyendo estos valores en la Ec. (17-39) resulta

Irn,, = 1, + B,> + B, + B
&=l,+ B*, -B2 (17.41)

m = 1, + Bs, B, + B2

Este SIS~ZIIMdc tres ecuaciones permite determinar las tres incgnitas B,,, B, y B2 De la segunda de
eas ecuaciones se deduce:

Bs,= 4 (17.42)
Restando la tercera de la primera

B, = Imax Imm
(17-43)
2
ESte valor de 8, permite calcular B, ya sea por la primera o por la ltima de las Ecs. (17.41). Aq
tendremos
Circuitos y sistemas de potencia 715

(17.45)

(Para hallar la dilskm~on purcentual de segundo armnicu debe multiplicarse D2 por CX,,., Los valores
de/ ,,,,!*, I,,),,,e I, que figuran en estas ecuaciones se deducen directamente de las curvas caractersticas del
transistor y de la recta de carga.
Si la caracterstica dinmica tiene fomu parablica [Ec. (17.37)] y si en la entrada figuran dos
frecuencias w, y CO?,la salida estar formada por una componente continua, y otras componentes de
frecuencias w,, w,, 2w,, 20,, (w, + CI+) y (0, ~0~)(Probl. 17.22). La suma y la diferencia de frecuencias
se denominan de ~nrrmodulmin II di mmhinn&h

Generacin de armnicos de orden superior


En el anlisis del apartado anterior se han supuesto unas caractersticas dinmicas parablicas. Esta
aproximacin suele ser suficiente para amplificadores cuya variacin sea pequea. Para amplificadores
de potencia con variaciones de entrada grande, ser necesario expresar la cuw de transferencia dinmica
I al punto Q por una serie de la fomu

;, = G,i, + Gj,' + G,!,' + G,i,' + (17-46~


SI suponzmus que la onda de entrada es una funcin cosenoidal simple del urmpo, de la torma de la
k (17-38) la corriente de salida ser:

i, = /, + B,, + B, cm cof + BI cm 2wr + B, cos 3wr + (17-47)

Esta ecuacin resulta de introducir la Ec. (17-38) en la Ec. (17-46) y de hacer las transformaciones
trigonomtricas apropiadas.
Obsrvese que ahora figuran armnicos de tercer orden y superiores. Los coeficientes de Fourier EJ,,
B,, B,... pueden obtenerse por extensin del proceder anterior, aplicado a la Ec. (17.47) en lugar de la
(17-39).
La distorsin amnica es

(17-48)

rzprescntandoL>, (S = 2,3,4...) la distorsin del s-simo armnico

Potenciade salida
Si la distorsin no es de,preclablr, la potencia librada a la frecuencia fundarnenral 25:
BfR,
P, = _ (17.49)
2
Sin embargo, la potencia total de salida es
776 Microrlecrrnica moderna

P = tR? + B: + BL + ,+ = (1 + u: + D? +

u
P = (1 + D)P, t 17.50)

donde la J~stor~~o~~ annnlcaro~al,u,uc~o~dr disrorsin se define como

D= XD: + D: + 0% + (17.51)

Si la distorsin total es el 10% de la fundamental, entonces

P = [I + (0.1)2] P, = 1.01 P,

La potencta total de sahda es tan slo un 1% mayor que la potencia fundamental, cuando la distorsin
ch del 10%. Portanto,elemplearparaelclculodelapotenciadesalidanicamenteel tnninofundamental
P,, el error que se comete es bien pequeo.
Hay que observar que la cuanta total de distorsin no es necesariamente indicativa de la molestia que
causaalescucharmsica. No~almente,lamismacuantadedistorsines tantoms molestacuantomayor
es la frecuencia del armnico.

17-11. CLASIFICACION DE LOS AMPLIFICADORES

En tudos los disios y anlisis de amplificadores vistos hasta ahora se ha admitidio tcitamente que
el transistor est polarizado hacia el centro de su campo de trabajo, como indica la Fig. 17-27 (obsrvese
la situaiin del punto Q en el plano i, vJ. Este no es siempre el caso en los circuitos de potencia,
habindose establecido una clasificacin (A, B, AB y C) para describir el funcionamiento del amplificador,
dependiendo del tipo de polarizacin empleado. En las prximas secciones veremos el significado de esta
clasificacin.

Clase A
Un ampllticadur de &ISZ A eh aquel en que cl punto de trabajo y la seal de entrada \on tale>, que la
corriente en el circuito de salida (en el electrodo de colector o de drenaje) circula en todo momento. El
amplificador de rlaw A trabaja esencialmente sobre una porcin lineal de su caracterstica.

Clase H
En un dmphhcador de clax B su punto de trabajo est situado hacia un extremo de su caracterstica
de forma que su potencia de reposo es muy pequea, yen consecuencia, la corriente ola tensin de reposo
es aproximadamente nula. Si la seal de excitacin es senoidal, slo habr amplificacin en un semi-ciclo.
Por ejemplo, si la corriente de salida en reposo es nula, ser nula tambin durante medio ciclo.
Circuitos y sistemas de potencia 177

Clase AB
Un ampl~fiwh z clabe AB [[abaja entre lu:, exuerno~ citados para Iab clases A y B. fur untu, IU
seal de salida ser cero durante un lapso inferior a medio perodo de la seal de entrada senoidal.

Clase c
En un ampht~cador clase C el pumo de trabajo se ehge de forma que Irt comente (u tens~on) de ahda
sx nula durante ms de medio ciclo de una seal de entrada senoidal.

17-12. KENDIMIENTO DE UN AMPLIFICADOR CLASE A

Si el diseo de un amplificador de potencia viene condicionado ya sea por una fuente de potenc~
limitada (como es en el caso de los satlites) o por la mxima disipacin tolerada, como en la Sec. 17.14
debe ponerse toda atencin en el rendimiento de la cnnversin de potencia.

Rendimiento de la conversin
Una valoracin de la idoneidad de un disposltivu activo para convertir la potencia en continua de la
fuente en una potencia (seal) en alterna cedida a la carga, se denomina rendimienlo de la conver,yi& 0
rendimiento trhico. En un amplificador de transistores se denomina tambin rendimiento del circuito de
cokctor y se representa por 11, Por definicin, el rendimiento en tanto por ciento es

(17.52)

( 17-53)

SI Ios compuncntes de la distorshn son despreciables, tendremos

don+ vJ/,,J representa la tensin (intensidad) de pico. El rendimiento del arcuito de colector difiere del
rendlmlento global porque en el denominador de la Ec. (17.53) no est incluida la potencia tomada por la
base.
Segn lasdefinicionesde laSec. 17-l 1 elamplificadorde IaSec. 17-9 operaenclase A. Examinaremos
cualitativamente su rendimiento en dos casos lmites.

1. PcyueWu seal. Con pequea seal de salida, la potencia de salida es consecuentemente pequea.
No obstante, la potencia consumida por la polarizacin en clase A se mantiene en V,,. 1, que puede tener
un valor apreciable, con lo que el rendimiento de la conversin resulta extremadamente bajo. Obsrvese
tambin que la carga debe disipar una buena pate de la potencia en continua VJc aun con excitacin
IXh.
118 Microelectrnica moderna

2. Seal mxima. Eligiendo cuidadosamente el punto de polarizacin, el transistor puede llevarse


desde saturacin a corte. Puede demostrarse (Probl. 17-25) que en estas condiciones In, = fc y V, = 0,51/,.,
resultandoq = 25%. Por cada watio de potencia de salida, se consumen internamente 3W. Evidentemente
desde el punto de vista del rendimiento, el operador en clase A no resulta muy adecuado para amplificacin
de potencia.

17-13. AMPLlFlCADORES EN CONTRAFASE (PUSH-PULL) CLASE B

Si en la Fig. 17-26. V,, = 0 la corriente de reposo ser 1,. = 0. Por las definiciones dadas en la Sec.
7-l 1 este circuito con polarizacin nula es un amplificador de clase B, y anlogamente el seguidor de
emisor de la Fig. 17.28~ trabaja en clase B. Supongamos que las caractersticas de salida del transistor
estn igualmente espaciadas para intervalos iguales de excitacin. En este transistor ideal la curva de
transferencia dinmica (i,. en funcin de iB) es una recta que pasa por el origen (Fig. 17-286) indicndose
tambin la construccin grfica para trazar la forma de onda de la corriente de colector. Obsrvese que en
este circuito de clase B, la corriente de carga i, = i c es senoidal durante la mitad de cada perodo y es
cero durante el segundo semi-ciclo. En otras palabras, este circuito acta como rectificador ms bien que
como amplificador de potencia.
Esta dificultad se solventa empleando la etapa complementaria de salida, seguidora de emisor, de la
Fig. 14.13, repetida en la Fig. 17.29. A esta configuracin se le denomina amplficador PR contrafose
(push-pull) de clase B.
Con valores positivos 13,de la senoide de entrada, Q 1 conduce y Q2 est en corte (i, = O), de forma que
ll es la semionda positiva de la Fig. 17. 28b. Con valores negativos de I>,,Ql est en corte (i, = 0) y Q2
conduce. resultando para i: una semixnoide positiva desfasada 180 respecto a la representada en la Fig.
Circuitos y sistemas de potencia 179

17-286. Como la corriente de carga es igual a la diferencia entre las corrientes de emisor de 1s db
transistores:

i,=i,-r2 (17-55)

En consecuencia, con la caracterstica de transferencia idealizada de la Fig. 17.286, la corriente de


carga es una senoide perfecta.
Las ventajas del funcionamiento en clase B respecto al de clase A ben las siguientes: se puede obtener
mayor potencia de salida, el rendimiento es mayor, y la prdida de potencia en ausencia de seal es
despreciable. Por estos motivos, en sistemas en los que la potencia de alimentacin es limitada, como en
el cas de funcionar con bateras con clulas solares. la potencia de salida normalmente se suministra a
partir de circuitos de transistores en contrafase clase B. Los inconvenientes son: la distorsin debida a 1s
armnicos puede ser mayor, y la fuente de tensin debe tener buena regulacin. En muchos amplificadores
integrados modernos, el circuito de salida de potencia es la etapa complementaria seguidora de emisor en
contrafase.

En la Fig. 17-29 la tcnstn de pico de la carga es k,,, = /,,,K,, y la potencia de salida es

p=- I,,,v,,, (17-36)


2
La correspondiente corriente continua de colector en cada transistor bajo carga es el valor medio de la
bemisenoide de la Fig. 37.28b. Puesto que I,,, = /,,/x en esta forma de onda, la potencia de entrada en
continua procedente de la alimentacin ser

I, v< <
P, = 2- (17.57)
71
El lactar 2 t> debido a que en cl sMema cn contrafase se emplean dob translstre~.
Hallando la relacin entre las dos ecuaciones (17-56) y (17-57) se tiene, parael rcndimlent del circuito
Lic colector
780 Microelectrnica moderna

Si la cada a travs de un transistor es pequea frente a la tensin de alimentacin, Vn,=Vc,, y en estas


condiciones la Ec. (17-58) nos muestra que el mximo rendimiento posible de la conversin es
25n = 78,5% para el sistema en clase B, frente al 25% de la clase A.
Este rendimiento elevado es debido al hecho de que en clase B no hay corriente si no hay excitacin,
mientras que en clase A hay consumo de potencia aun cuando no haya seal, Podemos observar tambin
que en un amplificador de clase B la disipacin en los colectores es nula en el estado de reposo, aumentando
con la excitacin, mientras que en el sistema clase A el calentamiento de los colectores es mximo con
entrada cero y disminuye al aumentar sta. Puesto que en la clase B la corriente directa aumenta co la
seal, la fuente de alimentacin requiere una buena regulacin.

La disipacin P, de colector (en ambos transistores) eh la diferencia entre la potencia de entrada al


circuito de colector y la potencia suministrada a la carga. Como I, = Vn/R , ,

(17.59)

Esrd ecua&n danuestra que la disipacin del colector es nula si no hay sefial (V,,, z 0), bk cuando
k,, aumenta, y pasa por un mximo a V,z,= 2V, /x. La disipacin mxima es:

La potrrrua mxima que se puede entregar se obtiene para V,,,= /,, o sea:

(17.61~

Por lo tanto,

Si, por e~err~plo, desramo~ entregar 1OW con un amplificador tin wntrafasr clase B, entonces
f ,,,lU,l= 4W, o sea que debemos seleccionar los transistores para que tenga una disipacin de los
colectores de aproximadamente 2W cada uno. En otras palabras, podemos obtener una salida de cinco
veces la disipacin de potencia de un solo transistor. Por otra parte, si colocamos dos transistores en
paralelo trabajando en clase A para tener 1OW de salida, la disipacin de potencia de cada colector debe
ser por lo menos de 20W (suponiendo un 25% de rendimiento). Esta premisa se basa en que P = //q =
20/0,5 = 4OW. Esta potencia de entrada debe poderse disipar totalmente por los dos colectores en ausentia
de serial, o sea P, = 20W por transistor. Por lo tanto, cuando no hay excitacin se pierden 20W en cada
transistor, mientras que cn clase B no hay disipacin (en ausencia de serial). Este ejemplo indica
superioridad del sistema en contrafase sobre el paralelo.

Distorsin

ramiento de la Fig. 1.7-2) cuando la caracterstica de transferencia es no lineal. Sea Ql o Q2 estar


codkd. dependIendo de la polaridad de la seal de entrada. Si los elementos son
so iguales, i,j, e i,, ser
sern
Circuitos y sistemas de potencia 781

idnticos salvo que estn desfasados IXO- entre s. La crriente QI viene dada por la Ec. (17-47) que
repetimos aqu por comodidad

i, = I< + B,, + B, cos w + LI1 cos 2wr + B, co\ SWI... ( 17-63)


L i-orrirnfr de aI& del transistor Q2 se halla reemplazando en la exprain de j,, wr por (&u + fo)
xa

qwr) = i, (Wf + n) ( 17-64)


de donde
2: = 1, + B,, + B, cos (co/ + 7K)+ BI co> cu> 2(0x + Ei) + ..,
0
il = I, + B,, B, COFox + R2 cos 2wr B; cm 3~ + (17-65)

Segun la Ec. (17-75)

I, = I, 1: = 2(B, cos cof + B; cos 3w/ + . .. . ( 17-66)

Esta exprwn rnue~ra que el circuito en contrafase elimina todos los armmcus parc> de la salida,
quedando el tercer armnico como causa principal de distorsibn. A esta conclusin hemos llegado
suponiendo que los dos transistores sean idnticos. si sus caractersticas difieren sensiblemente, debe
esperarse que aparezcan armnicos pares.

17-14. FCNCIONAMIENTO EN CLASE AB

Adems de la distorsin introducida por el empleo de tran~~strt~ no ldentxos y por la falta de


linealidad de las caractersticas de colector, existe tambin distorsin causada por la falta de linealidad de
lacaractersticadeentrada. Como sepuntualizen IaSec. 3-3 y Fig. 3.9,ncirculaunacorrienteapreciable
de base si la unin de emisor no est polarizada en directo a la tensin umbral Vy, que es de 0,5 V para el
silicio. En estas circunstancias, una excitacin con tensin de base senoidal no dar una corriente de salida
senoidal. No obstante lo mencionado en la Sec. 14-5 las consecuencias de una caracterstica de entrada
no lineal merecen un mayor estudio.
La distorsin causada por la no linealidad de la caracterstica es la que se indica en la Fig.17.30. Se
dibuja la curva i, 1para cada transistor, y la construccin es cmpleada para obtener la corriente de salida
(suponindola proporcional a la corriente de base). En la regin de corrientes pequeas (para vu< Vy), la
salida es mucho menor de 1 que sera si la respuesta fuese lineal. efecto que se denomina distorsin de
(Yu(P. Esta distorsin no existira si la excitacin se obtuviera de una verdadera fuente de corriente; en
tras palabra&, bi la corriente de base (en lugar de la tensin de base) fuera senoidal.
Para minimizar la distorsin de cruce, los transistores deben trabajar en clase AB. en la que, aun con
excitacin nula, circula una pequea corriente de reposo. En el circuito de la Fig.14.14 la diferencia de
potencial entre las bases de los dos trusistores se ajusta aproximadamcntc a ZV,. Funcionando en clase
AB, hay menos distorsin que en clase B, pero el precio que se ha de pagar para ello es un menor
rendimiento y un mayor consumo de potencia. El clculo de los componentes de la distorsin en un
amplificador clase AB o A en contrafase, debidos a la no linealidad de las caractersticas del colector.
necesita la construccin de las curvas de salida compuestas del par de transistores.
17-15. AMPLIFICADORES DE POTENCIA INTEGRADOS

Existe disponible una gran variedad de amplificadores de potencia integrados procedentes de dlstmto>
fabricantes (Apndice B-l). Un Amp-Op industrial normal, tal como el 741 (de coste inferior a 50
centavos)puede suministrarunapotenciadealrededorde IOOmW sincomponentesadicionalesexteriores.
A continuacin se resean dos amplificadores de audio integrados, de 4 y 20 W respectivamente.
Circuitos y sistemas de potencia 783

El amplificador LM384 representado en la Fig. 17-3 I est diseado para una amplificacin de 34 dB
con seii&s de 300 kHz, dando una potencia de 5W a una carga acoplada por capacidad. Los valores
indicados de los componentes dan una distorsin total por armnicos menor del 1 D?a 1kHz y con potencia
de salida de SW hacia una carga de 8 n. Cuando se emplea este dispositivo debe tenerse cuidado en el
conexionado del circuito para evitar acoplamientos indebidos o realimentaciones de la salida a la entrada,
lo que podra provocar oscilaciones. Para evitarlas, el cable de entrada debe ser apantallado, y la red de
compensacin K,CI conectarse entre el terminal de salida y tierra. El condensador C, se emplea para
anular los efectos de la inductancia de los conductores de alimentacin, mientras que el C, acta como
condensador de paso de baja frecuencia.
El amplificador de 20W de la Fig. 17-32 constituye otro ejemplo del estado de la tecnologa de
amplificadores de potencia lineales monolticos. Conectado como est indicado y con entrada de 260 mV,
el SGS TDA2020 proporciona 20W a 4R con una distorsin de menos del 1% y con un rendimiento del
57%. La respuesta en frecuencia ( 3 dB) va de 10 Hz hasta 16OkHz para una ganancia de 30 dB. Adems
el sistema tiene proteccin contra las sobrecargas de corriente y disparo trmico por si se sobrepasa la
mxima disipacin de potencia recomendada.
Los condensadores C, al C, son condensadores de desacoplo. Las redes R,C, y R,C,> son de compen-
acin de retardo, de salida y entrada respectivamente, y C, proporciona una nueva compensacin. Siendo
el nivel de salida en continua (V + V )/2, la divisin de la fuente sita la salida en continua a OV y puede
acoplarse directamente la carga sin necesidad de un condensador de acoplamiento bastante grande. Los
diodos D 1 y LI2 limitan (y por tanto protegen) la salida frente a las variaciones inductivas superiores a las
tensiones de suministro.

17-16. CONSIDERACIONES TRMICAS


El amplificador de potencia de la Fig. 17-32 suscita una importante cuestin. Con 20W de ulida y
57% de rendimiento, la potencia de entrada es de 20/0,57 = 35,l W. Por tanto, deben disiparse por los
transistores 15,lW. Veremos seguidamente cmo se elimina este calor, y cules factores deben tenerse
en cuenta para mantener un funcionamiento correcto.

Temperatura mxima de la unin


Todos los elementos semiconductores tienen fijada una temperatura mxima admisible en su unin
I ,,,,,yI,,que oscila normalmente entre 125 y 200C para el silicio. Por encima de esta temperatura se
producirn fallos irreversibles.

Resistenciatrmica
El calor generado en el interior del dl>poGtivo x desplua desde su origen ila unin de colector) hacia
la envoltura, crendose un gradiente de temperatura. En consecuencia, existir una diferencia de ternpe-
ratura fija AT,, entre unin y envoltura, proporcional ala potencia disipada P,j El factor de proporciona-
lidad representa la resistencia a la transmisin del calor, y se denomina resirtenc~iu tPrm;cu K,,, R,,,.
Los subndices de t3 denotan los dos puntos entre los cuales se toma la medicin. Por tanto

T, 7, = AT,, = P,, 8,, (17-67)


184 Microelectrnica moderna

v,+ 18)

klgurs 17.32. .:,,,lplltlL*d, dc i>C audio de 20 w ,Corie.$i<i dc .SGSIAI%S Co~,~>uirori,.

en donde P,, est en watios y 0 en grados centgrados por vatio. La analoga elctrica ch evidente: si P,,
(o Ox) lo asimilamos a la intensidad /(o a la resistencia K), entonces AT,, es anlogo a la cada de tensin
AV.
El valor de la resistencia trmica depende del tamao del transistor, de la radiacin o conveccin al
medio ambiente, de la ventilacin forrada (si la hay) y de la conexin trmica del elemento al chabis
metlico o a un absorbedor de calor. Los valores tpicos de dktintos transistores varan desde 0,2T/W en
transistores de alta potencia con un sumidero de calor eficaz hasta LOO0 C,W en wmsistores pequeos al
aire libre.

Curva de reduccin de la disipacin


Generalmente los fabricantes proporcionan CUIY~~ de dkpaan de ~>ltl~~rrnp~ratura, serne~~~te~
ala de la Fig. 17-33. Puede deducirse la temperatura mxima dc la uni<n T,,,,,,,,, obxrvando que a 200C
no puede disiparse potencia alguna (OW). Una disipacibn nula dc potencia supone que no haya gradiente
de temperatura y por tanto la unin debe estar tambin a 200C [Ec. (17.67)]. En el Apndice B-8 se dan
las especificaciones del transistor n/vx de silicio 2N.567 1. Este transistor es de potencia y corriente altas
(14OW, /, = 30A, I, = IOA) y de gran velocidad (tiempo de conmutacin= I pseg).*

* ~mprenre ,\ tiro, <ic,flbn\,,,,,r dc plencla ZrYSh7/ 0 Ii>\del irdn\ll<r ?hLZZ? A dc pcquril.! widl IAperldlrc B~3,
d<

Puesto que la mxima ordenada de la Fig. 17-33 corre~punde a P,>,,,,,,,,= 14OW, deduaremos de la Ec.
(17-67) que R,, = (200 2511140 = 1.25 C/W. La pendiente de la linw de la Fig. (17.33) es la inversa de
la resistencia trmica y se denominafuctor de disipacin de poren& t lI,25 = 0.X W$C). El valor de la
resistencia trmica est inversamente relacionado con el rea de la superficie de la envoltura. El 2N2222A
que ttene una envoltura o cpsula mucho ms pequea que la del 2N567 1 tiene Bit = 83 C/W.
Paradisiparel calorde lacajahaciaelambienteen los transistores de potencia, se utilizaunacumulador
de calor, que es una estructura metlica con una superficie de radiacin relativamente grande, a la que va
unida la caja del transistor. En la Fig. 17-34 podemos ver el sistema de montaje del chip TDA2020 de la
Fig. 17.32.
186 Microekctronrca moderna

Ejemplo 17-l

En los comentanos anteriores hemos visto que el amphficador de 20 W, TDA2020 debe disipar 15,l W
de potencia interior. La temperatura ambiente es TA = 30 C. Si la temperatura mxima admisible en la
unin es T,,mui, = 150C y si e,, = 3 C/W, jcul ser la mxima resistencia trmica acumulador-ambiente,
t& que se puede tolerar?

Solucin

Empleando la analoga elctrica de la Ec. (17-67) obtendremos para el Hujo de potencia el modelo de
circuito serie de la Fig. 17-35
i = Tii + ATcs + ATi, + T, = P, CO,, + Bi, + es,,) + T, (17.68)

Tomando 8,, = ll,5 C/w como indica la Fig. 17.34n, la Ec. (17-68) se convierte en

150 = 15.1~3 + 0,5 + e,,,) + 30

lo que no> da O,,A= 4.5 C/W mximo. El disipador de calor de la Fig. 17. 34 es admtbible, ya que su
resistencia trmica mxima puede elegirse menor de 4.5 C/W.

17-17. TRANSISTORES DE POTENCIA DE EFECTO CAMPO (VMOS)

En 1976 la Siliconex Inc. introdujo un nuevo tipo de transistor de potencia FET, que salva muchas de
las limitaciones del transistor de potencia bipolar. Este nuevo dispositivo es un MOSFET de acumulacin
de canal n, pero construido de tal formaque la corriente circula verticalmente, por lo que se designa VMOS.
Esta construccin diferencia el VMOS del MOSFET de baja potencia descrito en el Captulo 4 en el que
los portadores fluyen horizontalmente desde fuente a drenaje.
Circuitos y sistemas de potencia 781

Figura 17.36. Seccin ~ansved de un FET vertical.

La fabricacin del FET de potencia parte de un sustrato de silicio n+ en el que se crece una capa
epitaxial n. Luego se procede a dos difusiones sucesivas, la primera con impurezas tipop, y la segunda
con impurezas tipo n como puede verse en la Fig. 17-36. La estructura que se obtiene hasta esta fase es
idntica a la del transistor bipolar discreto visto en la Fig. 5.7d. En un transistor de unin bipolar la regin
superior (o inferior) n * es el emisor (o colector) mientras que en la fig. 17.36 la parte superior (o inferior)
n constituye una fuente (o drenaje). En la Fig. 5.7d, la regin p es la base, pero en el VMOS la seccin
p es el canal n. Para permitir la situacin de una puerta de control sobre el canal, extendindose de fuente
a drenaje, se introduce un nuevo paso en la fabricacin: se graba anisotrpicamente una muesca en I/
issceles sobre el silicio, como indica la Fig. 17-36. Prosiguiendo con el proceso normal de fabricacin
descrito en el captulo 5 se extiende una capa fina de dixido de silicio para formar, por metalizacin, el
electrodo de puena y el contacto de la fuente.
Obsrvese que la superficie del drenaje (pane inferior de la Fig. 17-36) es amplia y puede ponerse en
contacto con un almacenador de calor para facilitar la eliminacin de la potencia disipada en el kterior
del elemento. La longitudl del canal (extensin vertical de la reginp) viene detenninada,porladiferencia
entre las profundidades de las dispersiones p y n + (fuente). Por tanto, L puede hacerse verdaderamente
pequeo, por ejemplo L = 1,5 mm. Recordemos que en el MOSFET normal (horizontal) la longitud del
canal viene determinada por las mscaras, corrosin y difusin /ate& de la fuente y del drenaje por lo
que tiene mucha mayor longitud que en el VMOS. La puerta perfilada en V gobierna dos MOSFET
verticales, unoa cada lado de la muesca, y por tanto, si se conectan en paralelo los dos temGnales S de la
Fig. 17-36 se duplica la intensidad admisible.
788 Microelectrnica moderna

Los MOSFET de baja potencia del Captulo 4 son simtricos entre fuente y drenaje. Evidentemente,
segn la Fig. 17-36 el VMOS se construye asimtrico por lo que no pueden intercambiarse S y D.

Caractersticas del VMOS


La Fig. 17-37 representa las wwas tensin-corriente de un FET vertical que deben compararse con
las del MOSFET de acumulacin de canal n y baj potencia de la Fig. 4-12. La intensidad de pico del
VMOS es de 2A (que contrasta con los 50 mA del MOSFET horizontal). Obsrvese tambin que en la
regin de saturacin las caractersticas de la Fig. 17-37 son mucho ms planas que las de la Fig. 4.12
(1, = constante, y por tanto la conductancia de salida es muy pequea). En la familia 2N6657 mostrada,
el espaciamiento entre caracterstrcas (por encima de Co= 0,4 A) es constante para mcrementos Iguales de
la tensin de puerta. En consecuencia la transconductancia gm es constante (= 0,25 A/V) para 1 > 0,4 A.
Por otra parte, en un MOSFET de baja potencia, g,, vua como la raz cuadrada de la corriente le drenaje
[Ec. (4-l@] en lugar de mantenerse constante.
El VMOS posee propiedades ventajosas entre las que figuran las siguientes:

1. La caracterstica de transferencia 1, en funcin de V,, es lineal (g = constante) para 1 > 0,4 A.


2. La conmutacin es muy rpida ya que no hay almacenamiento dz portadores minori&ios. Por
ejemplo, se pueden cortar o cerrar 2 A en menos de 10 nseg.
3. NO es posible un embalamiento trmico (Sec. 10.3) ya que la resistencia drenaje-fuente tiene un
Coeficiente de temperatura positivo entre drenaje y fuente aumenta V limitando en consecuencia 1,.
(no se forman puntos calientes ni se pueden producir rupturas secunda?as).
4. NO existe un desigual reparto de corrientes cuando trabajan VMOS en paralelo para incrementar
la intensidad total. Si uno de los transistores trata de tomar ms corriente de la que le corresponde, el
coeficiente de temperatura positivo entre drenaje y fuente aumenta V,, limitando en consecuencia I
5. Debido a su gran resistencia de entrada, el VMOS necesita muy poca potencia de entrada, y p:ede
ser excitado por puertas lgicas CMOS. La ganancia de potencia es extremadamente alta.
6. La resistencia en conduccin es muy baja. Por la pendiente en el origen de las curvas de la Fig,
17-37, Yernos que TB(,oN,= 3Q.
7. Los FET de potencia presentan muy poco ruido.
8. La tensin umbral V, vara entre 0,s y 2 V, por lo que los VMOS son compatibles con la lgica
TTL.
9. En la Fig. 17-36 puede verse que el solape entre puerta y drenaje (y por tanto la capacidad entre
estos electrodos) es bien pequeo. En consecuencia, la realimentacin capacitiva de salida a entrada es
mnima, por lo que pueden utilizarse los VMOS en circuitos de alta frecuencia (f = 600 MHr).
10. La tensin de ruptura entre drenaje y fuente de un VMOS es alta. Esto es d;bido al hecho de que
la capa epitaxial absorbe la regin de deplexin del diodo pn cuerpo-drenaje, con polarizacin inversa.

Apkdciones
Los VMOS pueden emplearx como etapa de salida de un amplificador de potencia de aodIo o radio
frecuencia, o de alimentacin de un regulador de conmutacin. Como aplicaciones industcales podemos
citar: procesos de control, regulacin de motores, excitacin de selenoides y rels, en transductores
ultrasnicos. etc.
Circuitos y sistemas de potencia 789

REFERENCIAS

1. Mil!man, J., y C.C. Halkms Integratcd Electronics: Analog and Digital Circuits and Systems,
McGraw-Hill Book Company, Nueva York, 1972.
2. Grebene, A.B.: Bipolar and MOS AnaIog Integrated Circuit Design, John Wiley and Sons, Nueva
York, 1984.
3. Ghausi, M.S.: Electronic Devices and Circuits: Discrete and Integrated, Holt, Nueva York, 1985
4. Bohn, D. (Ed.): Audio Handbook, National Semiconductor Company, Santa Clara, Calif., 1976.
5. Mammamo, R., Simplifying Converter Design with a New Integrated Regulating Pulse-Width Mo-
dulator, Application Note, Silicott General, Inc., Irvine, Calif., 1980.
Las National Semiconductor Corporation, Fairchild Semiconductor Company, Texas Insttunents, Inc.
Silicon General, Inc., y Unitrode Corporation han publicado manuales sobre reguladores de tensin.

TEMAS DE REPASO

17-I. Girar cum componentes de un convertidor alterna-continua y explicar I funcin de cada uno.
17-2. (0) Esbozar el circuito de un rectificador de media onda.
(h) Deducir la expresin de: (/J la corriente cn continua, y (2) la corriente eficu dc carga.
17.3. Rcpctii el tema Il-2 para un rectificador de onda completa.
17-4. (01 Definir lo que es regulacin.
(h) Deducir IB ecuacin de la regulacin dc un circuito de onda compleva.
17-5. Dibujar el modelo de Thvenin de un rectificador de onda completa.
17-6. (a) Definir la tenkn inversa de pico.
(h) ;Cuil es la tensin inversa de pico en un circuito de onda completa empleando diodos ideales!
(0 Repetir el punto anterior pala un rectificador de media onda.
17-7. Esbozar el circuito de un puente rectificador y explicar su funcionamiento.
17-8. Repetir cl Tema 17-7 para un circuito waificador de medicin.
17-9. Repetlr el Tema 17-1 para un doblador de tensin.
17-10. (a) Dibujar el circuito de un rectificador capacitivo de media onda.
(h) Dibujar la tensin en rgimen permanente trws del condensador y del dmdo. sin carga.
17-11. (n) Dibujar el circuito de un rectificador capacirivo de onda completa.
(h) Ebbozar la tensin de la carga en este circuito.
17-12. Dibujar el circuito de UI filtro de entrada inductiva y explicar cmo reduce el rizado.
17-13. Rcpctir el Tema anterior para un filtro dc cntrxka capacitiva.
17-14. Dar tres rajones por las que una fuente no regulada es inadecuada en algunas aplicaciones.
17.15. Definir cl factor de regulacin de entrada, la resistencia de salida y el coeficiente dc temperatura de un
regulador dc tcnln.
17-16. ((11 Dibu.jar un diagrama s~mpliiicado dc una Lente de potencia regulada.
(h) ;Qu tipo dc realimentacin emplea este regulador?
17-17. Citar tres inconvenientes de los reguladores de paso que pueden evitarse con un regulador de conmutacin.
17-18. (0) Dibujar la Lopologa del regulador de conmutacin b8sico.
ch) Explicar cmo la tensin dc salida en continua est dewminad por este s~sterna de realimentacin.
17.19. Explicar por qu un rcgulado~ de conmutacin puede wncr un rendimiento de conversin verdaderamenic
alto.
17-20. (01 Dibujar cl interruptor de potenciade un rcgulado~decoi~rnut~c~n tal como ur SPDT. ;,Cmo se gobierna
cl interruptor y cul es EUonda de salida?
(b) Dernosvar que el mtcrrupror del apanado ia, es equivalcnrc a un SPS? en serie co,, un diodo ~,e,n
790 Microelectrnica moderna

,,lu que aate drodo se le denomma de retorn!


17-21. (ii) Equiparar ei interruptor de potencia SPDTdel regulador bs~o cun una combmacin de tres Uanbl~tures
y un diodo.
(b) Explicar la funcin de cada transistor y del diodo.
17.22. (a) Dibujar los componentes de potencia de un regulador de conmutacin en el que V,, es positivo y mayor
que v,,,.
(b) Comprobar que con esta configuracin,~,,>V,,,.
(c) iQu es lo que determina el valor numero de V,,?
17.23. (a) Repetir el tema ll-22 para un regulador en el que V,> sea negativa.
(b) Justificar que V,, < 0.
17-24. (a) Dibujar el intenwptor de potencia de un regulador de conmutacin en contrafase acoplado por transfor-
madr.
(h) Indicar la onda vA del modulador de ancho del impulso, as como las ondas llA, y vA2 que gobiernan loa
dos intemmtores SPST en serie con los primarios del transfomxidor.
(c) Esbozar las ondas del secundario del t&frmador.
(d) Dibujar la onda del interruptor de salida (la tensin de entrada al filtro).
17.25. (a) Dibujar en forma de diagrama de bloques el sistema para obtener las ondas I,,, y vA1 del Tema 17-246.
(b) Explicar el funcionamiento del sistema, con la ayuda de una grfica de la onda.
(c) Mostrar los interruptores gobernados por vA, , vAS simulados por transistores.
17.26. Citar todos los circuitos de gobierno de baja potencia fabricados en un solo chip integrad, empleados con
un regulador de conmutacin.
17-27. Deducir una expresin de la potencia de salida de un amplificador clase A de gran sefial en funcin de ua,,
u,,, m\ e h
17.28. Razonar cmo puede producirse una rectificacin en un amplificador de potencia.
17.29. Definir la distorsin de intermodulacin.
17.30. Definir la distorsin amnica total.
17.31. Definir los amplificadores: io) clase A, ch) clase 6, y (c) clasr AB.
17-32. (a) Definir el rendimiento de conversin q de una etapa de potenaa.
(b) Deducir una expresin simple de q para un amplificador de clase A.
(c) iCul es el rendimiento terico mximo de un amplificador de clase A?
17.33. (a) Dibujar el circuito de una etapa de potencia clase B.
(h) Con una entrada senoidal iCul es la forma de la onda de salida?
17.34. (a) Dibujar el circuito de un amplificador de potencia en contrafase, de clase B.
(b) Citar tres ventajas de la clase B respecto a la clase A.
17.35. Deducir una expresin simple para la potencia de salida de un amplificador de potencia idealizado de
B, en contrafase.
17-36. Demostrar que el rendimiento de conversin mximo de un circuito idealizado clase B en contrafase es del
78.5%.
17.37. Hallar la expresin de la disipacin de colector de una etapa clase B en contrafase en funcin de V, y R,
17-38. Demostrar que en un amplificadoren contrafase equilibrado quedan eliminados los armnicos de orden par.
17-39. (a) Explicar el origen de la distorsin de cruce.
(b) Describir un mtodo para minimizar esta distorsin.
17.40. (a) Definir la resistencia trmica 0
(b) Esbozar una curva de reduccin de la disipacin de un amplificador de potencia.
(c) i,Cmo est relacionado t3 con la curva del apartado (hJ?
17.41. (a) iQu es un acumulador de calor?
(b) Explicar por qu debe emplearse un acumulador de calor con un amplificador de potencia.
17.42. (a) Esbozar la seccin transversal de un FET de potencia.
(b) Explicar brevemente cmo se construye este dispositivo.
17.43. Citar dos diferencias importantes en las caractersticas de salida de un VMOS y de un MOSFET de baja
potencia.
17-44. Citar seis ventajas de un VMOS.
Constantes y factores
de conversin

A-l VALOR PROBABLE DE CONSTANTES FISICAS*

Sim-
Constante Valor
bolo

Carga del electrn 4 1,602 X 1Ci19 C


Masa del electrn m 9,109 X l(T kg
Relacin entre carga y masa del electrn 4im 1,759 X 10 C/kg
Masa del tomo de peso atnuco unidad (hipottica) 1,660 X l(T kg(hipotti
Masa del protn 1,673 X IIJ kg CO)
Relacin de masas derprotn y del electrn 1,837 x 10
Constante de Planck 6 626 X 1O-34 1.seg
Constante de Boltrmann 11381 X 1(rz3 J/OK
8,620 X 1U eV/OK
Constante de Stefan-Boltrmann 5,670 X IO-* W/(mz)(<,K4,
Nmero de Avogadro 6,023 X 10z3 molec/mole
Constante de los gases 8,314 J/(gr) (mole)
Velocidad de la luz 2,998 X 10 m/seg
Constante de Faraday 9,649 X IO3 C/mole
Volumen por mal 2,241 X 1U*m3
Aceleracin de la gravedad 9.807 misee
Permeabilidad del espacio libre l:257 X1f6 H/m
Permisividad del espacio libre 8,849 X IU* F/m

* E. A. Mechtly, Sistema internacional de unidades: Constantes fsicas y factores de


Conversin, Admmlstracin Nacional de Aeronutica Y del Espacio. NASA SP-7012.
Washmgton, D.C., 1964.
792 Microelectrnica moderna

A-2. FACTORES DE CONVERSION Y PREFIJOS

1 amperio (A) = 1 Clseg 1 1 lumen por


Pie cuadrado = 1 ft-candela (fc)
1 angstmn(A) = l(Tm mega 60 = x 106
= lO+jLm 1 metro(m) = 39.37 Pulgadas
1 atm6sfera de presi6n = 760mmHg micra Iji) = x lLT6
1 culombio(C) = 1 A-seg 1 micrn = l@m
1 electrn volt (ev) = 160X l9, = l/.ml
1 farad(F) = IC/v 1 mil = lo- in.
1 pie (ft) = 0,305 m = 25um
1 calora gramo = 4,185 J 1 milla = 5.2sO pies (ft)
pipa (G) = x 109 = 1.609 km
1 henry(H) = 1 V-seg/A mili (In)
1 hertz(Hz) = 1 ciclo/seg nmo Cn)
1 pulgada (in.) = 2,54 cm 1 newton (N)
1 joule(J) = 10 erg pico (P)
= 1 wseg 1 libra (lb) = 453.6~
= 6,25 X 1Oa c 1 tesla (T) = 1 Wbln?
= 1 NMm 1 tonelada = 2 000 libras
= i c-v 1 volt(v) = 1 WIA
kilo (kJ =Y 10 1 wat (W) = 1 J/seg
1 kilOgranO = 2,205 Ib 1 weber(Wb) = 1 Vseg
1 kilmetro (km) = 0,622 millas 1 weber por metro
1 lumen = 0,0016W cuadrado
Ca 0,55 pm) (Wblm ) = lo4 gauss
y especificaciones
de semiconductores

B-l. FABRICANTES DE DISPOSITIVOS ELECTRONICOS

Pueden conseguirse catlogos e informacin sobre aplicaciones, de las siguientes productorab de


semiconductores:

Advanced Micro Devices 901 Thompson PI., Sunnyvale, CA 94086


Ameritan Microsystems Inc. 3800 Homestead Road, Santa Clara, CA. 95051
Burr-Brown Research Corp. 6730 S. Tucson Blvd., Tucspn, Arizona 85734
Fairchild Semiconductor 464 Ellis St., Mt. View, CA 94042
Ferranti Electric E. Bethpage Rd., Plainview, N. Y. 11803
General Electric Co. Schenectady, N. Y. 13201
General Instrument Corp. 600 West John St., Hicksville, N. Y. 11802
Harris Semiconductor Box 833, Melbourne, FL 32901
Hitachi America, Ltd. ll 1 E. Wackner Dr., Chicago, IL 60601
Imsai 14860 Wicks Blvd., San Leandro, CA 94577
Intel Corp. 3065 Bowers Ave., Santa Clara, CA 95051
Intersil Inc. 10900 N. Tantau Ave., Cupertino, CA 95014
ITT Semiconductors 74 Commerce Way, Wobum, MA 01801
Monolithic Memories, Inc. 1165 E. Argues Ave., Sunnyvale, CA 94086
Mostek Corp. 1215 W. Crosby Rd., Carollton, Texas 75006
Motorola Semiconductor Products Box 20912, Phoenix, Ariz. 85036
National Semiconductor, Inc. 2900 Semiconductor Dr., Santa Clara, CA 9505 1
l Plessey Semiconductors 1674 McGraw Ave., Santa Ana, CA 92705
l Raytheon Semiconductor 350 Ellis St., Mt. View, CA 94042
RCA Solid State Division Box 3200, Somerville, N. J. 08876
SGSIATES Semiconductor Corp. 796 Massasoit Street, Waltham, MA 03254
Signetics Corp. 8 Il E. Argues Ave., Sunnyvale, CA 94086
Silicon General 73826 Bolsoo Ave., Westminster, CA 92683
Siliconix, Inc. 2201 Laurelwood Road, Santa Clara, CA 95054
Stewart-Warner Microcircuits 730 E. Evelyn Ave., Sunnyvale, CA 94086
Teledyne Semiconductor 1300 Tema Bella Ave., Mt. View, CA 94043
Texas Instruments Semiconductor Group Box 5012, Dallas, Texas 75222
Toshiba America 280 Park Ave., New York, N. Y. 10017
Microelectronics Center One Suace Park. Redondo Beach, CA 90278
Unitrode Corporation 580 Pleaiant St., Watertown, MA 02172
794 Microelectrnica moderna

B-2. ESPECIFICACIONES DEL DIODO DE SILICIO 1N 4153


(Cortesade TexasInstruments, Inc.)

TABLA BZ-1 Valores mxbnos absolutos (a 250 C)

r IN4151 lN4152 3i-44153 lN4154 / Unidad

TABLA BZ-2 Caractersticas elctricas (a 250 C salvo otra indicacin)

17-44153
Condicionesde prueba Mm MU

Ix =5pA 75

VR = onlhal VR,,,,,, 0.05


v, =nomin?J v,,,,,,, T = 150C 50

Y, Tensin
directaesttica 1, = 0. I ILA 0.49 0.55
1, = 0.25 m* 0.53 0.59
1, = I nlA 0.59 0.67
I,=2mA 0.62 0.70
Ir = IOrnA 0.70 0.81
IF = 20 IllA 0.74 0.88

c, Capacidadtota1 ve=0 ,=IMHz / 2

I,, Tiempo de recuperacininvers,


Fabricantes y especi~caciones de semiconductores 795

B-3. ESPECIFICACIONES DEL TRANSISTOR BIPOLAR


DE UNION n-p-n DE SILICIO 2N2222A
(Cortesa de Motorola Ix.)

Trans~sr~ muy empleado normalmente en la industria para aplicaciones tales como interruptor de
velocidad media y como amplificador a frecuencias desde audio a VHF. Complementa el transistorp-n-p
2N2907 A.

TABLA 83-l Valores mximos absolutos*


T
caraettxstiea Valor ida*

40 V
75 v
6.0
800 IlIA
0.5 w
3.33 mW/C
1.8 W
12 llW/C
65 a +200 C

TABLA B3-2 Caractersticas elctricas (a 250 C salvo indicacibn contraria)

MBX Unidad

l
V

10

10 ti

10 A

20 A
TABLA B3-3 Caractersticas elctricas (Continuacin)

mx Unidad
__.
MHz

8.0 PF

25 PF
kO
2.0 8.0
0.2: 1.25
x10-
8.0
4.0
50 300
75 375
JIn-
5.0 35
25 200
150 P
dfl dB

Smbolo Mi hlax Uidd

I
10 ns

25 ns

225 ns

60 ns

2.5 S
Fabricantes y especijicaciones de semiconductores 797

B-4. ESPECIFICACIONES PARA EL TRANSISTOR DE SILICIO


DE UNION, DE EFECTO CAMPO, DE DEPLEXION Y DE CANAL-N
l 2N4869 (Cortesa de Siliconix, Inc.)

Especficamente diseado para aplicaciones en frecuencia audio o sub- audio, en las que el ruido ha
i de ser en absoluto mnimo.

TABLA 84-l Valores mximos absolutos (250 C)

Tensin puerta-drenaje 0 puerta fuente* -40 V


Corriente de puerta 0 de drenaje 50 IL4
Disipacin tata,
(decae 1.7 mwp C) 300 mw
Temperafura de almacenaje -65 a +zooc

TABLA B4-2 Caractersticas elctricas (8 X0 salvo otra indicacin)

T Condiciones de prueba

v,,=-30v,v,,=0
-0.25 pA I5OC
I,=-lpA,V,,=O
-5 v V,,=20V,I,=1pA
7.5 m.4 v,,=20v,v,,=0

,=lkM

,=IkM

f=IMHz

f=IMHz
798 Microelectrnica moderna

B-5. ESPECIFICACIONES DEL TRANSISTOR DE SILICIO


MOS DE EFECTO CAMPO, DE ACUMULACION Y CANAL-P 3NI63
(Cortesade Siliconix, Inc.)

Normalmente, MOSFET de corte para conmutacin analgica y digital de amplificadores de uw


g~ll.Sd.

TABLA BS-1 Valures mxmos abdutos (250 C)

Tensin dreaj+tete c. puerta-fuente -4OV


Tensin transitoria puerta-fuente +15ov
Corriente de drenaje -5om.A
Temperatura almacenaje - 65 a +*oYc
Temperatura de trabajo de la unin -55a +,50-c
mipacin tota,
375 mw
265C

TABLA BS-2 Caracterhtices &ctricas (250 C y VB, = 0, salvo otra indicacin)

D T*seodctacia direela
1 en fuente comn z.ow
N conduefaneia de salida
en tuente comn
M Cape entra** en fuente comn
1 capacidadinversade trans.
C en tuente comn 0.7 pF
A cap. de salidaen tuente comn 3 PF

12 S Vo,= -15
W 24 ns ID,,,,, = - 10 m.4
50 E = 1.5 !di
/ I R<; = R,
Fabricantes y especificaciones de semiconductores 199

B-6. ESPECIFICACIONES DE LA PUERTA NAND POSITIVA


TTL SCHOTTKY DE BAJA POTENCIA (LS7410 0 LS5410) CON
/
SALIDA EN TOTEM (Cortesa de Texas Instruments, Inc.)

Lasdems familias TTL tienen caractersticas muy similares para las puertas NANB o los inversores.

TABLA 86-2 Caractersticas el6ctricas dentro de la gama de temperaturas ambfirnle


recomendadas (salvo otra indicacin)

R&metro Cndicines de prueba Famitta2. Mi np MU id


I !
Y,,, Tensin entrada, alto nive, 2
-t
V,, Tensin entrada, bajo nivel I 54 07
74 / 08 ,
800 Microelectrnica moderna

B-7. ESPECIFICACIONES DEL AMPLIFICADOR OPERACIONAL


LM741 (Cortesade National Semiconductor,Inc.)

Se trata de un AMP OP monoltico de grandes prestaciones en un amplio campo de aplicaciones


analgicas. Est protegido contra corto-circuitos y no requiere elementos exteriores para la compensacin
en frecuencias. El LM741C es idntico al LM74 1 salvo que el primero tiene garantizado su funcionamiento
entre 0 y 70 en lugar de 55 a + 125 C.

TABLA B7-1 Valores mhimos absolutos

Ten& de dimetsci, LM741 22v


Idem um41c ilSV
mipaein de potencia 500 lnw
Te& de entrmla diferencial t3ov
Tensin de entrada* +15v
Salida en cortosireuito duracin indefmida
Temperatura abnaeenaje -65C a ISOC
Temperatura terminal (soldadura, 10 se& 300C

TABLA 87-2 Caractaisticas ektricas*

Campo de tensin de entrada


Relacin de rechazo
de modo comn
Relacin de rechazo
de la alimentacin
Fabricantes y especijkaciones de semiebnductores 801

B-8. ESPECIFICACIONES PARA EL TRANSISTOR EN POTENCIA


N-P-N DE SILICIO 2N5671 (Cortesa de RCA Solid StateDivision)

Este transistor puede admitir corrientes y potencias elevada>, y es dc conmutacin rapma. Es


especialmente adecuado para amplificadores de conmutacin, puertas de potencia, reguladores de con-
mutacin, circuitos de conmutacin de potencia, convertidores, inversores, circuitos de control, amplifi-
cadores de continua y osciladores de potencia,

TABLA BS-1 valores mximos at6olutos

Smbolo valor

120 V

90 V

110 V

120 v
7 V
30 A
10 A
140 W

hasta 200 C
802 Microelectrdnica moderna

TABLA BS-2 Caractdsticas elhricas; temperatura caja Tc = 29 C


Fabricantes y especifcaciones de semiconductores 803

B-9 ESPECIFICACIONES DE LOS DISPOSITIVOS DE TRANSISTORES


DE USO GENERAL CA 3045 Y CA 3046 (Cortesa de RCA.)

Cinco transistores de uso general sobre un sustrato comn; dos transistores conectados intemamentz
formando un par diferencial. Adecuados para una variedad de aplicaciones desde las de continua hasta las
de muy alta frecuencia. Los transistores pueden emplearse a manera de dispositivos discretos. Adems
tienen la ventaja de los circuitos integrados en cuanto a su similitud elctrica y trmica. Elctricamente
considerados el CA3046 es idntico al CA3045, pero se suministra en un encapsulado de plstico de dos
en lnea para aplicaciones sobre un campo de temperaturas dado.

Tabla BY-1: Valores mximos absolutos a 25 C.

CA3045 CA3046

Cada Tmns. Total En~ap. Cada Trans. Total Encap. Unidad


Disipacin de potencia
T, hasta 55 OC. 300 750 mw
Baja6.67 mW/C
KlW
mWIC
v

v
v

C
C
KV4 Microelectrnica moderna

Tabla B9.2. Caractersticas en continua a 25C.


Lmites: CA3045r
y CA3046
Condiciones ~
cmcterisrica M. Tpico Mx. Umdad
Tensin ruptura colector:base
Tensin ruptura colector-emlSOr
Tensin ruptura colector-sustrat
Tensin ruptura emisor-base
Corriente de colector de corte
Corriente de colector de CTW v,,= 1.,,-0

Relacin de transferencia de,


corriente directa (beta CSttiCaJ
Corriente offset de entrada del par

Tensin offset de entrada del par


diferencial 1v,,, v,,, 1
Tensin offset de entrada de 1s
transistores aislados
~%E3 VB,, 15EA VB,, 1
VBES VBE3
.Coeficiente de rem~eratura de la
tensin base-emisor.
Tensin de saturacin colector-enubw
Coeficiente de temperatura
Tensin offset de entrada.

Tabla B9-3. Caractersticas de pequeiia seiial a 25C.

! ~ Condiciones
caracterstica , S,,,bo,o de prueba especiales rMn. 1 Tpico ) Mx. 1Umdad
1 f = I kH?, v,, i 3 v,
I, = 1w &LA
Resistencia de fuente
= 1 HI

Capacidad colector-sustrato.
Resumen de teora
llimlIo1E
E
de circuitos
-
Alo largodel textohemosempleadoelementospasivos lineales talescomoresistencias,condensadores
e inductancias combinadas con fuentes de tensin y de corriente as como dispositivos de estado slido
para formar diferentes circuitos. Los teoremas que se exponen en este apndice son frecuentemente
utilizados en el anlisis de tales circuitos electrnicos.

C-l. REDES RESISHVAS

Fueiltes de tensin y de corriente


En csra seccin vamos pasar ev~st a alguno, concepto, y teorema bsico, relauonados con la>
redes recistivas conteniendo fuentes de tensin y de corriente. En la Fig. C-l se sealan los smbolos y
direcciones de referencia de fuentes independientes de tensin y de corriente. Una fuente de tensin ideal
se define como un generador cuya tensin de salida 1 = I, es independiente de la corriente por l
wminisrmda. La tensin de salida cs normalmente una tensin continua o una funcin del tiempo, como
por +mplo ), = V,,, cos ox. Anlogamente una fuente ideal de corriente suministra una corriente i = i,
independientemente de la tensin existente enrre sus dos terminales. La polaridad de referencia para la
fuente de tensin vSsignifica que I culombio (C) de carga positiva pasando del terminal negativoal positivo
a travs de la fuente, adquiere una energa de 1~julios. De igual forma, la flecha de referencia en la fuente de
corriente i, indica que a travs de la misma circulan en la direccin indicada, i, C por segundo, de carga
positiva. En cualquier fuente real, sea de tensin o de corriente siempre hay una cierta energa que se
convierte cn calor en un proceso de conwxin irreversible. Esta prdida de energa puede representarse
por la prdida en una resistencia R, en serie o cn paralelo como la representada en la Fig. 1-c y l-d. Una
fuente dcpoidieiiir o ,&x~I-rzudu cs aquella cuya tensin o corriente es funcin de la tensin o corriente
806 Microelecrrnica moderna

existente en otro punto del circuito. Por ejemplo, la Fig. C-21( representa ef modelo de circuito de peque8a
seal de un transistor a baja frecuencia. En la salida hay un generador de corriente dependientege, v+ cuya
corriente es proporcional a la tensin vx siendo R, el factor de proporcionalidad.
Otro elemento estudiado en este libro ha sido el Amp-Op, siendo la Fig. C-2h su modelo equivalente
de pequea seal y baja frecuencia. Obsrvese que en la salida existe una fuente dependiente de tensin
A, v, gobernada por la tensin de entrada vv y el factor de proporcionalidad A,

Resistencia
La ley de Ohm zaablece que la temm k entre extremos de un wnductor eh propurcxmal a la mtensldad
/ que circula por l. El factor de proporcionalidad V/l se denomina resistencia y se expresa en ohmios
(abreviadamente Q) si V lo est en voltios e 1 en amperios

V=IR (C-1)

En muchos circutos aectromcos conviene expresar las res~enaas en kiloohmios (kn). La Ec. (C-l)
contina siendo vlida si 1 se expresa en miliamperios (mA) y Ven voltios (V). Si el conductor no obedece
la Ec. (C-l) se dice que es una resistencia no lineal (o no hmica).
Para hallar la resistencia R vista desde dos puntos de una red, se considera aplicada entre esos dos
puntos una fuente exterior de tensin V, y se determina la corriente 1 suministrada por dicha fuente. La
resistencia efectiva ser R = V/1, supuesto que en este proceso cada fuente independiente del circuito ha
sido sustituida por su resistencia interna Rq: una fuente de tensin ideal por un cortocircuito y una de
corriente, tambin ideal, por un circuito abierto (Fig. C-l). No obstantr, deben montenwse en el circuifo
rodas lasfufurntes dependientes.
Las dos leyes bsicas que permiten el anlisis de redes (lineales o no lineales) son las de Kirchhoff;
una de corrientes y otra de tensiones.

Ley de KirchhofTde las corrientes


EII cuulquier instunfe, IU sumu de todo5 lus LW~W~IPJyur <-oncu,-r<nen un IU& LScero. Entenderema
por nudo un punto al que concurren dos o ms componentes del circuito, tales como los puntos 1 y 2 de
la Fig. C-30. Normalmente, al aplicar esta ley las corrientes que llegan al nudo se toman como negativas,
y las que parten de l como positivas: tambin podra emplearse la convencin contraria siempre que se
aplicase por igual a todos los nudos de la red. La direccin de referencia positiva de la corriente en una
resistencia, puede elegirse arbitrariamente, pero en el bien entendido que si en el clculo, dicha corriente
resulta negativa el verdadero sentido ser contrario al supuesto en principio. El principio fsico en que se
Kesumen de teor de circuitos 807

funda esta ley es el de la conservacin de las cargas, ya que de no cumplirse supondra que alguna carga
se pierde o se crea en el nudo.

Ley de Kirchhoff de las tensiones


Lu sumo de las cadas de rrnsin a lo IU>-go de un<1 rmdlu drhr ver nulu en I~~u~~uI~ ;,,sturrrr. A UI,
urcuito cerrado se le denomina lao o ma//a. La cada de tensin V,? entre dos nudos 1 y 2 de un circuito
(potencial del punto 1 respecto al del punto 2) se define como la energa en julios (J) eliminada del circuito
cuando una carga positiva 4 de 1 C pasa del punto 1 al 2. Por ejemplo, una cada de tensin de + 5 V entre
los terminales 1 y 2 de una resistencia, quiere decir que se elimina del circuito, disipndose en forma de
calor una energa de 5 J cuando una carga positiva de 1 C pasa de 1 a 2. Si la tensin es de -5V, eJ punto
2 estar a tensin ms elevada que el 1 (V,> = -5 representa un aumento de tensin) y una carga positiva
de IC que pase de 1 a 2 ganar una energa de 5 J. Esto, naturalmente, no es posible si entre I y 2 hay
conectada nicamente una resistencia, pero s lo ser si se conecta al punto 1 el terminal negativo de una
batera, y al 2 el terminal positivo,
Es evidente que las leyes de Kirchhoff son consecuencia de la ley de la conservacin de la energa.
Para escebir las ecuaciones de Kirchhoff debemos &orrer completamente una malla, sumar todas las
cadas de tensin e igualar la suma a cero. Recurdense las dos reglas siguxntes:
(1) En una resistrnciu hay una cada positiva en el sentido de la corriente y (2) En una hatera (o
fuente de continua) hay una cada positiva en el sentido del terminal positivo al ne,@vo, inde-
pendientemente del sentido de la corr-iente.
En los siguientes ejemplos se evidencian estas dos leyes fundamentales. Consideremos en primer lugar
la situacin creada cuando se conecta una resistencia L directamente a los terminales de una fuente de
tensin real (no idealizada) (Fig. C-lc). Este componente aadido se denomina resisfrncia de carga o
simplemente car-~0. Con ello se forma un malla nica (Fig. C-3) de la que deseamos hallar la tensin a
travs de R,
La cotiente i a lo largo de la malla circula por R, y R,. Recorriendo este lazo en el sentido opuesto de
la cotiente partiendo del nudo 2, sumando entre s todas las cadas de tensin e igualando esta suma acero
(tal como exige la ley de Kirchhoff) tendremos
-0, + iR, + iRL = 0
808 Microelectrnica moderna

Obsrvese que en circuito uhierro (RL + - ) v = v , resultado evidentemente correcto, ya que por un
circuito abierto no puede circular ninguna corriente e i = 0, iR = 0 y v = ve= tensin en circuito ahierfo.
Observemos tambin que en cortocircuiro (RL = 0, una conexin ideal de resistencia nula) la tensin de
salida ser v = 0. En este caso la intensidad es mxima (respecto a las variaciones de R,) e i = ,iR, =
corriente de cortocircuito. La tensin vr puede ser funcin del tiempo, y en ese caso tambin lo sera v.
Otra forma equivalente de representar el circuito de la Fig. C-30 puede ser el de la Fig. C-3h. En el
epgrafe de la figura se indica el significado de los smbolos en los nudos 1 y 2. Esta configuracin se
conoce con el nombre de divisor de tensin. Obsrvese que para cualquier valor finito de R,, Y es menor
que <, Y
- RL
(C-3)
5 Rs + RL

(a) Hallar la wrrientes 1,. II e I, en el circuifo de la Fig. C-4


(h) Hallar la cada de tensin V?,.

Sulucin

(a) Tomemos arbitrariamente como sentido positivo de las corrientes el sealado en la figura.
Debemos sumar las cadas de tensin en cada lazo recorrindolo en el sentido arbitrario de las flechas del
lazo. Obsrvese que la corriente en R, es 1, y la de Rz es I,, mientras que la de R, es la suma de 1, e 1,.
Aplicando la ley de Kirchhoff se tienen las siguientes ecua&ones
Malla 1 v,* + v2.q + v,, = 0 (C-4)
Malla 2 k-32 + v*4 + v,, = 0 (C-3
siendo las cadas de tensin las siguientes
V12 =I,R, = II Vz4 = 13R3 = - 213 Va,=-6
vj2 = r,x, = PI2 v,, = 14
Resumen de teora de circuitos 809

Sustituyendo valores en las Ecs. (C-4) y (C-5)

I, - ZI, - 6 = 0
912 -21S+14=o

y como slo tenwno~ dos ecuaciones para las tres incgnitas deberemos recutir a la ecuacin de Kirchhoff
de las corrientes:
1, + 1, + 1, = 0 o bien 1, = (1, + 1J

Sustituyendo este valor de Ix en las ecuaciones para r, e Z2y resolviendo el sistema llegaremos a

311 + 2I, = 6
21, + 111, = - 14
y resolviendo este sistema llegaremos finalmente a:

I, = 3,242 I,=-1,862 Y I,=p1,379mA


(h) La cada dc tensin V,, es
V, = - IiR, = 1,319x 2 = 2,158V.
La cadade tensinentredosnudosde una red es independientedel itinerario segurdoenfrr uno y
aro. Por ejemplo, puede determinarse V,, pasando de 2 a 1 y a 4 sumando las cadas a lo largo de este
recorrido, es decir

V,,= -I,R,+6= -3.242+6=2.158V

que coincIde con 21 valor hallado pasando directamente de 2 a 4 por R,

Para resolver este ejemplo hemos elegldo las dos mallas I y 2 pero en el circuito exute una tercera que
es la exterior 4-l-2-3-4. No obstante, esta tercera malla no es independiente de las otras dos. Una malla
serindrpendientrsi surcuacindeKirchhoffdelas tensionescomprendepor lo rmmx una tensinque
nofigure en lux dms ecuaciones. El nmero de ecuaciones independientes es igual al nmero de mallas
tambin independientes.
Se denomina unin a un punto al que concurran tres o ms elementos del circuito. De los cuatro nudos
de la Fig. C-4 son uniones los 2 y 4. El nmerode ecuacionrsde KirchhofJdelas corrimtes esi~ua/ al
nmrro de uniones menos una. Por tanto, para resolver el problema anterior slo se necesita una de estas
ecuaciones
810 Microelectrnica moderna

Combinacin de resistencias en serie y en paralelo


El circuito de la Fig. C-k consta de tres resistencias en serie, lo que quera dcw que la misma
wtensidad circula por cada una de ellas. Segn la ley de Kirchhoff de las tensiones

V+ IR, + IR,+ IR,=0

[c-b)

Una, retictencias estarn en paralelo cuando la misma tensin se aplica a cada una de ellas. Por tanto,
las tres re\i\tencia de la Fig. C-5 estn en paralelo

I, = 1 = G, v 1, = l = G,V 1, = v = G,V
R, RI 4
rtLlbxn&, G = l/K el nombre de cowducrun<~iu, siendo us dimensiones A/V o sea la inversa de la
resistencia (ohmio) denominndose mho (u ohmio- ) simbolizndose Aplicando la ley de las corrientes
a la Fig. C-Sb se obtiene
1 = 1, + 1, + 1, =(G, + G, + G,)V

La conductancia equwalente entre I y 2 es por definicin

G EE$ = G, + G2 + G, (C-7)

1 1
-+L+ (C-8)
R RI R, R,
Nurur~lrrw~tc, el nmero de reGtencia\ en serie o en paralelo de IOI circuitos de la Fig. C-5 no est
limitado a tres; puede ser cualquier nmero de do\ cn adelante. En el caso particular de dos resistencias,
la Ec. (C-X) \e reduce a

R = R,IiR: = ,+;, (C-9)


I z
cri Jumle 21 \~gnu 11d e be Ieene en paralelo con>>. De esta ecuacin se deduce que do\ resistencias en
pralclo tienen una re\i\tencia efectiva menor que cada una de ellas.
Ascomouncircuitoensenedaunaatenuacindetensin [(Fig. C-3/?) y Ec.(C-3)] uncircuitoenparalelo
la dar de corriente. En la Fig. C-k la intensidad I, en K, (o la I, en R,) es menor que la que entra en el
nudo 1. Por tanto aplicando la Ec. (C-9) tendremos

!l= IR,R,
,R = _~- (C- IO)
= I,R,
u, + G

-
Resumen de teora de circuitos 811

Obsrvese que si R, = 0, I, = 1. Intuitivamente se ve que esto es conecto pues toda la. corriente circular
por el cortocircuito. Por otra parte, si R, tiende a infinito 1, tender a cero, lo que tambin es cierto ya que
por un circuito abierto no puede circular corriente.

C-2. TEOREMAS SOBRE CIRCUITOS

Independientemente de la mayor o menor complejidad, siempre se pueden calcular las intensidades y


tensiones en una red mediante la aplicacin sistemtica de las leyes de Kirchhoff. Sin embargo, muchas
veces se puede simplificar el anlisis empleando uno o ms de los teoremas que veremos en esta seccin.

Teorema de superposicin
La respuesta de una red lineal que conrenga varias fuentes independientes puede hallarse conside-
rando separadamente cada generador y sumando luego las respuestas individuales. Al calcular la
respuesta debida a una determinada fuente deben sustituirse todas las dems fuentes independientes por
sus respectivas resistencias internas es decir, haciendo Y, = 0 para una fuente de tensin, e is = 0 oara una
de corriente.

Ejemplo C-2

Hallar las intensidades I,, 1, e I, del circuito de la Fig. C-4 aplicando el teorema de superposicin.

Solucin

Consideremos en primer lugar las intensidades I,, I, e I, debidas a la fuente de 6 V. Deben


cortocircuitarse los nudos 3 y 4 para eliminar la respuesta debida a la fuente de -14 V. Esta conexin sita
RI y R, en paralelo, como indica la Fig. C-6a. Esta combinacin en paralelo tiene, segn la Ec. (C-9) una
resistencia:
RaR3 9x2
= - = 1.636 k.Q
Ra +Rs 9+2
La resistencia, vista desde la fuente de 6 V, ser igual ala suma de R, ms el valor anterior, y por tanto

i,= 6 = 2.216 mA
1 + 1.636
y por la Ec. (C-10) de la atenuacin de corriente
-1 ,R, 2.216 X 2
I;= = 0.414 mA
Rz+Rs = 9+2
y anlogamente

-r;& - 2.216 X 9 = _ ,.862 mA


r, =
Rz+Rs = 2+9
812 Microelectrnica moderna

Seguidamente hallaremos las corrientes I;, 1; e f; debidas a la fuente de 14 V. Para eliminar los
efectos de la fuente de 6 V uniremos los nudos 1 y 4 como en la Fig. C-6b. Procediendo como antes
tendremos
-14
r;= =- 1.448mA
9 + (1 x 2)/3
I; = + 1.448 X 2 = 0.9655 mA
f; = + 1.448 X ; = 0.4826mA

La corriente neta ser la suma algebraica de las corrientes debidas a ambas excitaciones o sea

1, = I; f f; = 2.216 + 0.966 = 3.242 mA


Iz=&+c=-0.414-1.448=-1.862mA
I,=&+f;=-1.862+0.483=-1.379mA

valores iguales a los obtenidos en la parte a del ejemplo C-l. Obsrvese que en este caso particular, el
anlisis mediante las leyes de Kirchhoff es ms simple que empleando la superposicin.

Teorema de Thvenin
Cuulquie~red lineal pwde sustituirse, W.pw a unpar de trmiwules. por un pwudo1- de tensin
V,, (i,cyai u la tensin rn cimrito ahiem) rn serie con lo r-esistmcia R,,, vista desde esos reminales.
Para determinar R,,, deben cortocircuitarse todas las fuentes de tensin independientes y abrirse el
circuito de todas las de corriente tambin iedqwndirntes. Este teorema se emplea frecuentemente para
reducir el nmero de mallas de una red. Por ejemplo, el circuito con dos mallas de la Fig. C-4 puede

reducirse una malla nica sustituyendo los componentes de la izquierda de los terminales 2 y 4 (incluida
R,) por su cquivalcnte de Thvenin. En la Fig. C-70 se ha reproducido el circuito de la Fig. C-4. Los
componentes de la ~onil sombreada son los de la derecha de los nudos 2 y 4 y se conservan inalterados en
la Fig. C-7/7. Los dem& elementos no aparecen ya en dicha figura, habiendo sido sustituidos por V,, LR,,,.
El teorema de Thvenin establece que /: y V,, calculados para este circuito reducido son idnticos a los
valores correspondientes de la Fig. C-4.
La tcn\in C,, en circuito abierto se halla desconectando IOI componentes en la zona sombreada de la
Fig. C-711. Segn la Ec. (C- 2) de atenuacin de tensibn

6X2
v, = 1+2 = 4v
Resumen de teora de circuitos 813

El equivalenk? delh~enm se halla


mirando en esta direccvh
(0)

Para hallar la resistencia vista a la izquierda de 2 y 4, se supone reducida a cero la fuente de 6 V, lo


que equivale a conectar a tierra el extremo de la resistencia de 1 kR, con lo que dicha resistencia queda
en paralelo con la de 2 ka, y
1x2
Rn, = 1+2 = 0.667 kQ

Del circuito equivalente de la Fig. C-7h tendremos

f = -(14+ VT,) ~ 18
2 = __ = - 1.862 tn.4
9 + Rm 9.667
Y l,= -SI,- 14=9X 1.862- l4=2,758V

Estos dos valores estn de acuerdo con los hallados en la Sec. C- 1. Las intensidades I, e /,, no aparecen
en la Fig. C- 7h debindose hallar por la Fig. C-70, es decir
- v24
1, = 2 = ~- 2.758 = -1.319mA
2

6 - VM
1, = 1 = 6 - 1.758 = 3.242 mA

iguales alas halladas anteriormente.

Teorema de Norton
Cualquier red lineal puede .sushdr.~r, mspecfo u un pur de terminales, por un generador de corriente
liguul a la corriente de cortocircuito) en paralrlo con la wsistencia vista desde esm terminales.
De los teoremas de Thvenin y de Norton de deduce que una fuente de tensin Ven serie con una
resistenciaR es equivalente auna fuente de corriente f en paralelo con R, siempre que1 = ViR. En las Figs.
C-lc y C-Id se representan estos circuitos equivalentes con Y, = V, R, = R e is = VIR, = 1.
814 Microelectrnica moderna

Como corolario de los teoremas de Thvenin y de Norton tenemos las siguientes relaciones. Si V
representa la rensih, en cii-cuiro ohirm, I la intensidad en cor-rocircuito y R (G ) la resistencia (conduc-
tancia) entre dos terminales en una red, tendremos

V=IR=i I+GV R=; (C-l 1)

No podemos pasar por alto estas ecuaciones (recordatorio de la ley de Ohm) a pesar de su gran
simplicidad, porque realmente son muy empleadas en los anlisis. Por ejemplo, la primera ecuacin que
establece que la tensin en circuito abierto es igual a la corriente de cortocircuito dividida por la
conductancia es normalmente el camino ms sencillo para hallar la tensin entre dos puntos de una red.

Anlisis por el mtodo nodal


Cuando el nmero de tensiones en uniones (respecto al nudo de referencia, o tierra) es menor que el
rtmero de mallas independientes, la eleccin como incgnitas de las tensiones nodales conduce a una
solucin ms simple que la de considerar incgnitas las intensidades de malla. Por ejemplo, el circuito de
la Fig. C-4 tiene dos mallas independientes, pero slo una tensin de nudo independiente. En funcin de
la tensin independiente desconocida V,* las intensidades son:
, 6 - Vx -14 v,, va (C-12)
I> = 1, = _
I 9 2
Segn la ley de Kirchhoff, la suma de estas tres corrientes (que concurren en el nudo 2) debe ser igual
cero, y por tanto

6 vi4 14 _ va vu
1 I 9 9 2=

V,,(i + B + 1) = I - 9 = 4.444 mA

V1, = 4,44411,611 = 1iG = 2,159 V.


Y

El proceso formal para plantear las ecuaciones nodales es ajustndose a los siguientes pasos:

1 Convertir todas las fuentes de tensin en serie con resistencias, en fuentes de corriente en paralelo
con conductancia, corno se indica en la Ec. (C-l 1). y se replantea el circuito.
2 Elegir un nudo de referencia 0 e identificar las tensiones variables V,, V,,. Lfv como las cadas de
tensin desde los nudosil, B,... N al 0. La eleccin de la referencia es arbitraria, basndore frecuentemente
en la mejor conveniencia.
3 Escribir las ecuaciones de Kirchhoff de las corrientes en los nudos A, B,...N en funcin de las
variables de tensin en los nudos. En circuitos que contengan fuentes independientes, el sistema de
ecuaciones resultante ser de la forma
Resumen de teora de circuitos 815

siendo G,, = suma de todas las conductancia conectadas al nudo J


G,, = suma de todas las conductancias conectadas entre los nudos J y K,
I, = suma de toda las fuentes de corriente entrantes en el nudo J
4 Resolver las ecuaciones para las tensiones de nudo deseadas. Otras tensiones y corrientes del circuito
se determinan aplicando la ley de Kirchhoff de los tensiones y la ley de Ohm.
Si el circuito contiene fuentes gobernadas, las variables de control (v, y v, de las figuras C-20 y C-2h
respectivamente) deben expresarse en funcin de las variables de tensin de los nudos antes de la solucin.
(Es decir. 1 y I, deben expresarre en funcin de VA,V,,,.,V,,,.) La forma de las ecuaciones en el tercerpaso
e\ como seindica, excepto G,,#G,,.

Anlisis de mallas
El mtodo de anlisis de lac mallas es anlogo al empleado con las tensiones de los nudos, salvoque
lac ecuaciones se formulan en funcin de las corrientes como variables. Se supone que una corriente de
malla xalada por 1 y 2 en la Fig. C-40 est preznte en cada uno de los elementos del lazo. Por tanto, la
corriente en cada rama (componente) es la suma algebraica de las corriente que existan en ella. Por ejemplo
si en la Fig. C-40. I,* es la corriente en la malla 1 e I, es la de la malla 2, la ley de Kirchhoff nos da, para
e\to\ lUO\

14 + YI,, + 1., T /,,l z = 0

w, + XI,, 6
?/., + t I/,, 14
Ke~oluicndo estas ecuacione\ tendremw finalmente: l,, = II = 1,242 mA e I,, = l2 = 1,862 mA. La
corriente 1, cn K, ej (I, + 1,,) = 1,379 mA. Evidentemente wtos valores \on los mismos que los hallados
cn cl c.jcmplo C-I.
El proceso formal para plantear Ia\ ecuaciones de malla c, ajustndox a los siguientes pasos:

1 Convertir cada fuente de corriente con conductancia en paralelo, en una fuente de tensin con
re~ktencia cn wic, dibujando nuevamente el circuito.
2 Elegir una corriente de malla variable para cada lazo.
3 Escribir I\ ccuacione\ de Kirchhoff de cada lazo en I direccin de la corriente para cada uno de
dl\.

K,, = suma de toda\ las resistencia contenidas en la malla J.


K,, = suma de todas la\ resistencias comunes a ambas mallas (1 y K)
V, = \uma de 10<1o\lo\ aumentos de tensin en el lazo, tomados cn el wrtido de I,
4 Resolver las ecuaciones para la intensidad deseada. Las dems tensiones y corrientes se pueden
hallar mediante las leyes de Ohm y de Kirchhoff de las corrientes.
En circuitos que contengan fuentes gobernadas, la variable de control se expresa en funcin de las
corrientes de malla I,, lz,....IN. En estos casos la forma de las ecuaciones del 3~. paso son las indicadas
salvo R,,#R,,.

C-3. ESTADO SENOIDAL EN RGIMEN PERMANENTE

Si se aplica a una red lineal una excitacin senoidal (de tensin o de corriente) la respuesta (tensin
entre dos nudos, o corriente en una rama de la red) ser tambin senoidal. (Se supone que han desaparecido
todos los transitorios, habindose establecido ya el rgimen permanente.) Vamos a comprobar esta
afirmacin en la combinacin en paralelo de la resistencia R y el condensador C de la Fig. C-8 a la que se
aplica una tensin senoidal
0 = v, cm wt = v, cos 2lift (C-13)

en donde f es lafrecuencia en hertz (Hz) de la fuente. w = 2nf es lafrecuencia angular y Vm es el valor


mximo o de pico de la tensin. Vamos a demostrar que la corriente i del generador es tambin de forma
senoidal.
Un condensador C es un componente (por ejemplo, dos metales separados por un dielctrico) que
almacena una carga q (culombios) proporcional a la tensin v aplicada (voltios) de forma que

q = CV (C-14)

en donde el factor de proporcionalidad C se denomina capacidad. Las dimensiones de C scm culom-


bios/voltios, lo que recibe el nombre defaradio (F). La intensidad i, en el condensador es por tanto

i =!z=.&
c dt dt (C-15)
o empleando la Ec. (C-13)
ic = -wcv, sen wt (C-16)
La corriente i, en la resistencia es, segn la ley de Ohm
V,
i, = ; = R cm Wf (C-17)

y segn la ley de Kirchhoff de las corrientes i = i, + i,, o sea

i = R
VIll cos wt - wCV, sen wt (C-18)

q+Ty+-yc
Figura C-8. CombinacinRC en paralelo excitada por una tensin senoidal.
Resumen de teor de circuitos 817

C, (b)

Figura C-9. (a) Representacin de la corriente como fasor de magnitud, y fase . (h) h,~ de fasores qm,mando 1 = 1X + ,<.

que tiene la forma


i=I,cs8coswl-z,sen8senwt (C-19)

siendo y z, sen 8 = wcv, (C-20)

Sabemos por trigonometra que

cos(8 + a) = cm 8 cos a - sen 8 sen 01 (C-21)


con lo que la Ec. (C-19), siendo a - OXequivale a
i = z, CS(Wl f 8) (C-22)

CON10 que queda demostrado que la intensidad del generador es verdaderamente senoidal. El pico de
Intensidad, o intensidad mxima es In, estando i defasada un ngulo 0 respecto a Id tensin de la fuente v,,,
cos wf. Diremos que la corriente del generador avanza respecto a la tensin el ngulo de fase 8.
La corriente mxima /,, y la fase 0 se obtienen de las E~s. (C-20). Elevando al cuadrado ambas
ecuaciones y sumndolas tendremos

z; co?8+ z; sen2
8= 2 tW=Cy (C-23)

Y puesto que co? 0 + sen 8 = 1

(C-24)

Diwtlendo la segunda ecuacin de (C-20) por la primera, resulta


Z,SS8 l.Kv,
__=__
z, cos 8 Vm/R

0 tg8=wCR (C-25)
lzn una red ms complicada que la de la Fig. C-8 el anlius requerira una manipulacin trigonomtrica
prohibitiva por excesiva, por ly que vamos a presentar un mtodo general alternativo ms sencillo para
resolver redes senoidales en rgimen permanente. Pero previamente introduciremos algunos conceptos
importantes (tala como fasores, plano complejo, e impedancia).
818 Microelectrnica moderna

Fasores
En una red, cada intensidad (o tensin) es una senoide con un valor de pico y un ngulo de fase, por
lo que se puede representar mediante un vector, que es un segmento rectilneo de una cierta longitud y
direccin. En el caso de una senoide, este vector se denominafasor. Su magnitud (o mdulo) representa
el valor efxtivo o eficaz, dado por el valor de pico dividido por 2. La direccin del fasor es la fase tl
en la onda senoidal Z,cos ( wt + e), contndose t3 en sentido izquierdo a partir del eje horizontal. En esta
seccin emplearemos negritas 1 (V) para designar un fasor intensidad (o tensin). En notacin fasor la Ec.
(C-22) se escribe
I=ILe (C-26)

en donde / = l,/ 12. En la Fig. C- 9u podemos ver ese fasor. El fasor de tensin aplicada es, segn
la Ec. (C-13), V = V L o, siendo V = Vm/ 4 2, y segn la Ec. (C-17) la intensidad en la resistencia ser
Z, = VIR LO, fasoresqueestnrepresentadospor1aFig.C.9b. Obstveseque en rlna resistencia la inrensidad
est en fase con la tensin aplicada a ella.
PuestoquelaEc.(C-16)puedeescribirsei, =wCVn,cos( wt+90),elfasorrepresentativodelacorriente
en el condensador ser

1, = wCVL90o (C-27)

siendo V = Vmfl? la tensin eficaz. Obsrvese que la intensidad en un condensador awanza 90


respecto a kz tensin a l aplicada. En la Fig. C-9h est representado el fasor 1,. En el generador, la
intensidad es la suma de intensidades en la resistencia y en el condensador, lo que expresado en forma de
fasor
I=I,+Ic=~L0+wCVL90 (C-28)

Este fasor suma puede verse en la Fig. C-96 de la que se deduce que

II/~= 5 +w2C2V2 y tgB=wCR

de acuerdo con las ECS. (C-24) y (C-25). Obsrvese lo sencillo que resulta el anlisis por medio de los
fasores, en comparacin con las soluciones anteriores a base de los valores instantneos de corrientes y
tensiones y del manejo de ecuaciones a base de identidades trigonomtricas. An se puede simplificar ms
Ejej
0 imaginario Eje j

##, /y+Txd ~-:~

Cal (b)
Figura C-IU.(a) Relativoal operadorj. (b) Faor corriente en el plano compleja.
Resumen de teora de circuitos 819

el anlisis introduciendo el concepto de plano complejo, obtenindose una solucin algebraica en lugar
de trigonomtrica.

El operador ,j
Una convencin muy til es la de emplear el smbolo j para representar un adelanto defase de 9O,
con lo que en lugar de la Ec. (C-27) se escribir 1, = jwCV, y para la corriente total de la Ec. (C-28)

I=i+jwCV (C-29)

Esta ecuacin debe interpretarse como que el fasor 1 est fonnado combinando el fasor horizontal
VIR (de fase cero) con wCV en sentido vertical (fase de 90), por lo que al eje vertical se le denomina
tambin eje.. La corriente 1 de la Fig. C-9b es idntica a la hallada ms arriba.
De la definicin de j se deduce que j1 es un fasor de magnitud, la de 1, pero su fase es 90 mayor que
la faSe de 1. En otras palabras, j multiplicando el fasor 1, es un operador que hace girar 90 a 1 en sentido
contrario alas agujas del reloj. Consideremos 1 = 1, un fasor de magnitud 1 y fase 0. En ese caso11 = jl
tendr magnitud 1 y fase 90 coino indica la Fig. C-10. Asimismo j(j1) representa un giro de jl de 90
lo que se convierte en un fasor de magnitud unidad dirigido en el sentido negativo del eje horizontal.
como en la Fig. C-10. Por tanto, es correcta la expresin
j(jl) = jzl = -. 1 0 j=\/=i (C-30)

y debido a ello, al eje vertical se le denomina eje j o imuyinurio y al horizontal, eje rea/, as corno el plano
de la Fig. C-I 0 recibe el nombre de plano comdejo.
Las potencias de j se determinan fcilmente, por ejemplo,
j3 =j(j) = j(- 1) = -j
(C-3 1)

lo que representa un fasor de magnitud 1 y fase -90. La inversa dejes -1 como se comprueba fcilmente

1 1;
T=7:=-=-j .i
(C-32)
JJ j2

ya que segn la Ec. (C-3O)J = 1. Un punto situado en el plano compleju cunstituye un nmero complcju,
siendo evidente que un fasor es un nmero complejo. Por tanto, el anlisis de circuitos senoidales se
simplifica tratando las corrientes y tensiones como nmeros complejos representativos de fasores.
Supongamos que se analiza (por el mtodo general sealado en la Sec. C-4) un circuito complicado,
hallndose la siguiente intensidad compleja:

I=I,-jI,=3-j2 mA (C-33)

Este fasor est re resentadc en el plano complejo de la Fig. C- IOh y de este diagrama se deduce que
la intensidad eficaz PI / y el ngulo de fase 0 vienen dados por

/II =m = \/13 = 3.61 mA

Y
0 = - ac tg -12 = arc tg $ = 33.7 = -0.588 rad
1,
820 Microelectrnica moderna

La intensidad instantnea, si la frecuencia es f = l!&Iz, ser segn la Ec. (C-22), i = 3,61 2 cos (6,280t
0,588) mA.

C-4. ANLISIS SIMPLIFICADO DE UNA RED SENOIDAL


Consideremos una red senoidal que contenga resistencias, condensadores, inductancias y tuentes
senoidales, y se desea conocer la respuesta en rgimen permanente. Se puede llegar a un mtodo de
solucin directo anlogo al empleado con redes de componentes nicamente resistivos y fuentes de tensin
(o de corriente) continuas y constantes. El anlisis consiste en plantear las ecuaciones de Kirchhoff de
tensiones y de corrientes correspondientes a la red y luego resolviendo para las corrientes y tensiones
complejas (fasores). Para llevar a cabo dicho anlisis es preciso introducir previamente el concepto de
resisrrncia o wactanciu compleja. Despus de definir la reactancia, se resuelven por este sencillo mtodo
distintos circuitos especficos.

Reactancia
La relacin entre la tensin V a travs de un componente pasivo del circuito y la intensidad en el mismo
es, para cada uno de los tres componentes bsicos, la siguiente

V = R
Resistencia: -
1
V 1 -j
Capacidad: i = - = - =+j(IL (C-34)
1 jwC WC WC
V
Inductancia: - = jwL
1

La primera de estas ecuaciones es la ley de Ohm. La segunda se deduce de la Ec. (C-27). Una
inductancia es un componente (por ej. una bobina de hilo conductor) cuya tensin en terminales Y es
proporcional a la relacin de cambio de la corriente. El factor de proporcionalidad L (Henris, H) se
denomina inductancia. A partir de 1= L diidt puede deducirse la tercera ecuacin (C-34) en forma anloga
a la empleada en la seccin precedente para deducir la Ec. (C-27).
De las Ec. (C-34) se desprende que un condensador se comporta como una resistencia cnmplejax
j/wC y una inductancia acta de modo semejante a una resistencia compleja jwL. A una resistencia
compleja se le denomina normalmente wnctancia, y se representa con cl smbolo real positivo X:

Reactancia capacitiva = + jXc Gcndo X, - l/oC


Y Reactancia inductiva = + jX, siendo X, I COL

Al aplicar a un circuito que contenga elementos reactivos la ley de Kirchhoff de las tensiones 2s
necesario recordar que la cada de tensin a travs de un condensador es - jX$ = -JI/& y a travS de
una inductancia es jX,J = jaLI. De cuanto antecede se desprende que la aplicacin de la ley de Kirchhoff
de las tensiones al circuito serie de la Fig. C-l 1 conduce a:
Resumen de teora de circuitos 821

V=RI +jwW-$1 (C-35)

V =- V
0 I= (C-36)
R + j(wL ~ I/wC) R +jX

siendo la reactancia total en serieX z WL -lloC. Las corrientes pueden expresarse en forma de nmeros
complejos normales I= 1, + jl,. multiplicando numerador y denominador por el conjugado complejo
(cambio de,j por -j) del denominador, es decir

,=vp=
R+jX
RpjX
R-/X
R2 , xz CR -jX)

De esta ecuacibn vemos que la magnitud y fase de / vienen dadas por

Las cantidades complejas (fasores) pueden expresarse de tres formas distintas: Rectangular
(I = 1, + j1,); Polar 1 = 1 L 0 y Exponencial (1 = IE). La conversin entre estas formas viene dada por
, = \!/2 Q = tan 2
(C-39)
1, = / co\ H 1, = /sen H

Impedancia

La relacin entre la diferencia de tensiones exirtente~ entre do, puntos 4 y H dc una red y la intensidad
que circula por ese tramo del circuito se denomina imp&w~~~icr2 entre A y B. En el circuito de IaFig. C- 1 1

I de la Ec. (C-35). Puesto que el generador V est situado direcvamente entre A y B, Z es la impedancia
vistadesde la fuente V. Obsrverequeenuncircuitoense~claimpedanciaesigualalasumadelasresistencias
ms las reactancias del lazo, lo que es anlogo a la ley que rige para los circuitos en ser+ con continua,
que dice que la resistencia total es la suma de las resistencias en serie. Hay que tener en cuenta que aun
822 Microelectrnica moderna

cuando Z es una cantidad compleja no es un fasor ya que no representa ni una corriente ni una tensin
variable senoidalmente con el tiempo.
Dos impedancias Z, y Z, en paralelo equivalen auna impedancia Z dada por

(C-41)

que se corresponde con la Ec. (C-9) para dos resistencias en paralelo. En la combinacin de una resistencia
y un condensador en paralelo como en la Fig. C-8, Z, = R, Z, = -j/cuC = l/jwC, y segn la Ec. (C-41)

z = R(IljoC) R
(C-42)
R + lijoC= 1 +joCR

Al mismo resultado se llega aplicando la ley de Kirchhoff de las corrientes a la Fig. C-8. Empleando
notacin fasorial
v R + jwCV
I=I,+Ic=;+_=- ujwc
y Z = V/Z da el resultado de la Ec. (C-42)

Admitancia
La inversa de la impedancia se denomina admituncu y YZrcprcsenta por Y de forma que
Y=I/Z=G+jB (C-44)
La parte real de Y es la conductancia G y la parte imaginaria es la susceptunciu B. Si nos referimos
a una resistencia tendremos que Z = R, G = l/R, y B = 0. Si por el contrario el elemento del circuito es
un condensador, Z = l/jwC e Y = jwC de forma que B = WC y G = 0.
Puesto que Z = V/Z, Z = YV. Para una resistencia Z, = CV, y para un condensador Z, = joC V,. En el
circuito de la Fig. C-8, con R y C en paralelo, V, = V, = V, y la corriente total es
Z=Z,+Z, =(G+jwC)V
La admitancia de esta combinacin es Y = Z/V = G + j WC, lo que est de acuerdo con la Ec. (C-43)
si G = l/R.

Anlisis de redes
Los teoremas desarrollados en la Seccin C-2 para redes resistivas son aphcables tambin a circuitos
excitados senoidalmente. Por ejemplo, el equivalente de Thvenin es el fasor tensin V,, en circuito
abierto en serie con la impedancia de Thvenin Z,,. Anlogamente, la superposicin revela el hecho de
que la respuesta del fasor de tensin (o corriente) a un cierto nmem de excitaciones de igual frecuencia es
simplemente la suma de los componentes de tensin (o de corriente) debidos a cada excitacin por s sola.
Los procesos de anlisis de mallas y nudos son tambin anlogos a los del caso resistivo. Las tensiones
y corrientes variables son cantidades fasoriales en el caso senoidal reemplazando las resistencias por
impedancias.
i c
(0) /ix,
FiguraC-12. Ke,ilr,voa, teoremadeMdler. Pordefimc\n,K = JJ,. Lairede,de(a,yde (h)t,enen idnticastensionz,,,<nla,cr.
DbsrveFe que 1, = 1,

Teorema de Miller
Este teorema es particularmente til en relacin con los amplificadores de alta frecuencia con
transistores. Consideremos una configuracin de circuito cualquiera con N nudos distintos, 1, 2, 3....N
como en la Fig.C-12. Sean las tensiones en los nudos V,, V,, V,,...V,, siendo V, = 0 por ser N el nudo de
referencia o de tierra. Los nudos 1 y 2 (que llamaremos N, y NJ estn conectados a travs de una
impedancia Z. Supondremos conocida la relacin VJV,, que llamaremos K. Vamos a demostrar que la
corrienteI, suministrada porN, a travs de Z puede hallarse desconectandoZde1 terminal y puenteando
una impedancia Z/( 1-K) desde N, a tierra como en la Fig. C- 12h.
La corriente 1, viene dada por

1, = v,
~ v2 = WI K) V, V, (C-45)
2 Z = Z/(l - K) = z,

Por tanto, si Z, = Z( 1. K) se conecta entre los terminales N, N, la corriente 1, suminwrada por N,


ser la misma que la del circuito original. As pues, se llega a la misma expresin de Z, en funcin de las
tensiones de los nudos en las dos configuraciones (Figs. C-120 y h).
Anlogamente se puede establecer que la coriente Z, emanada de N2 se puede calcular suprimiendo
z y conectando entre tierra y N, una impedancia Z2
Z ZK
= , - ,,K K-I (C-46)

ya que se llega a ecuauones nodales idnticas para las configuracmnes de las Figb. C-12~ y h, ambas son
equivalentes. Hay que tener en cuenta que este teorema solo ser til para los clculos si es posible hallar
el valor de K por algn medio independiente.

C-5. EXCITACIN EXPONENCIAL

La excitacin senoidal puede considerarse como un caso partxutar de excaxsx~ exponenc~l en la


que s =,jw. Para esta situacin, en la Tabla C-Ib se dan las admitancias e impedancias de los distintos
elementos (Obsrvese que haciendo s = jw en la tabla C-I h, se llega a los resultados de la Tabla C-lc.)
824 Microelectrnica moderna

TABLA C-l. Relacin tensinicorriente de los elementos con excitacin: (a) variable con el tiempo; (b) exponencial, y
(c) senoidal.

Resistencia-
(conductancia) .Inductancia- Condensador-

+v-
ecuaciones. ,, = <;", t, = JWC", 1 -yv=
(G + ,Lov
~ jIs,", = /B< v<

Para la variable de frecuencia generalizadas = (T +,jw, los mtodos de anlisis de circuitos son idnticos
a los expuestos en ias Secciones C-2 a C-4: es decir, sustituir Iah resistencias, condensadores e inductancias
(elementos dependientea del tiempo) por sus impedancias (o admitancias) en el campo de frecuencias
(plano-s). Una vez transformada la red de esta forma todos los teoremas y tcnicas de anlisis vistos en
la Seccin C-2 son directamente aplicables. As, si en la Fig. C-I 1h SCsustituyejw por s, la impedancia
Z(S) ei

-
Resumen de teora de circuitos 825

LO + RCs + 1
Z(s) = ; = R + sL + $ = (C-47)
SC
e 1 = ViZ se convierte eu
SC
I= V (C-48)
LCs + RCs + 1

Obsrvese en la Ec. (C-48) que haciendo s = 0 (continua) tendremos 1 = 0; anlogamente, con valores
des que hagan que el denominador de la Ec. (C-48) sea igual acero, 1 tender a infinito.
En general, la relacin entre la respuesta y la excitacin (en el campo de frecuencias) se denomina
funcin de ~ansferenciu. Por tanto, si Vc,es la respuesta a una excitacin V,,

(C-49)

siendo A(s) la funcin de transferencia. Los valores de s que hagan que A(s) = 0 se denominan ceros de
dicha funcin, y los valores de s que hagan A(s)+ m, se denominanpo/os de la misma. En la Ec. (C-47)
los valores des que motivan que N(s) = 0 son ceros de A(s), mientras que los que hacen que D(S) = 0 son
polos de A(s).
En las prximas Secciones se evidencia mejor el uso de los polos y los ceros (y su importancia).

C-6. RESPUESTA DE UN CIRCUITO RC A UN ESCALN

El problema de transitorios que ms frecuentemente se encuentra en los circuitos electrnicos es el


que se deriva de un cambio de sbito de la excitacin en continua aplicada a la combinacin en serie de
una resistencia y un condensador. Consideremos el circuito RC de paso-alto de la Fig. C-13, al que se
aplique un escaln de tensin v,. La tensin de salida v se toma en paralelo con la resistencia.

i
FiguraC.13.CircultoRCpaio~alru.

Circuito RC paso-alto
Un cwdh di twww es aquel que manriene el valor cero en todo tiempo i ~0. y el valor Ven rodo
trompo i > 0. La transicin entre los dos niveles de tensin ticne lugar en el instante f = 0 empleando un
cierto intervalo corto de tiempo. As. en la Fig. C-14 1: es igual a cero inmediatamente antes de f = 0
(instante que denominaremos i = 0 ) y es igual a V inmediatamente despus (instante que denominaremos
f = 0).
Por consideraciones clement~le~, la respuesta del circuito es exponencial. con una constante de tiempo
RC- 7, tomando la tensin de salida la forma
L,, = B, + B,e I (C-50)
826 Microelectrnica moderna

La constantell, es igual al valor, en rgimen permanente, de la tensin de salida, ya que cuando f tiende
a infinito, Y,,tiende a B,. Si a este valor final de salida lo llamamos V, entonces B, = Vr La constante B,
viene determinada por la tensin inicial de salida V, ya que para f = 0, v,, = B, + B,. o sea B> = V, V,. Por
tanto, la solucin general para un circuito co una sola constante de tiempo, con klores inicial y final V,
y v, respectivamente es

u,, = v, + (V, ,)C (C-5 I )

Esta ecuacin bsica se emplea mucho en este texto.


Vamos a detemkar V, y V, en el circuito de la Fig. C-13. La entrada e> constante (\,, = V) mienrms
f > 0. Puesto que i = C (dvJ&), en rgimen permanente i = 0, la tensin final de salida iR es tambin cero,
0 sea v, = 0.
Podemos llegar al mismo resultado a travs del siguiente razonamiento: Hemos visto ya que un
condensador C equivale aun circuito abierto para la frecuencia cero (ya que su reactancia es inversamente
proporcional af). Por tanto, cualquier tensin de entrada constante (en continua) queda bloqueada y no
puede alcanzar la salida, es decir Vl = 0.
Elvalorde V,sedeterminaapantrdelas siguientesconsideraciones bsicas: Si laintensidadinstantnea
a travs del condensador es i, la variacin de tensin en el mismo, en el momento f, es (l/C)k, idr. Puesto
que la corriente siempre tiene un valor finito, esta integral tiende a cero cuando lo hace f, de donde se
deduce que la tensin a travs de un condensador no puede cambiar instantneamente.
Aplicando este principio a la red de la Fig. C-13 llegaremos a la conclusin de que, puesto que en el
instante I = 0 la tensin de entrada vara bruscamente en una cuanta V, tambin la salida deber cambiar
abruptamente en la misma cuanta. Si suponemos que en un principio el condensador est descargado, en
el instante t = 0 la salida alcanzar V, por tanto V, = V y puesto que V, = 0, la Ec. (C-51) se convierte
e
ti,, = Vt ~r#- (C-52)

En la Fig. C- 14 estn representadas la entrada y la salida. Obsrvebe que la salida desciende a 0,61 de
su valor inicial en el tiempo O,k, a 0.37 en 1~ y a 0,14 en 2~. La salida ha completado ms del 95% de s
Resumen de teora de circuitos 827

variacin total en un tiempo 32 y ms del 99% si f > 5-t. As pues, aun cuando el rgmlcn permanente SC
alcanza asintticamente, en muchas aplicaciones podemos admitir que se ha alcanzado ya despus de 5~.

Descarga de un condensador a travs de una resistencia


Consideremos un condensador C cargado a la tensin V. En el instante f = 0 se conecta una resistenua
R en paralelo con el condensador. Deseamos hallar la tensin v,, del condensador, en funcin del tiempo.
Puesto que el hecho de conectar entre s C y R no puede cambiar instantneamente la tensin, tendremos
v0 = Ven el momento f = 0, y tambin V = V. Evidentemente, despus de un tiempo infinito el condensador
se habr descargado totalmente a travs di la resistencia, y por tanto V, = 0. Sustituyendo estos valores en
la Ec. (C- 51) se obtiene la Ec. (C-52) y el condensador se descarga como indica la Fig. C-14

Circuito KC paso-bajo
La respuesta del circuito de la Fig. C-15 a una entrada en escaln es exponencial, con constante de
tiempo RC. Como sea que la tensin del condensador no puede cambiar instantneamente, la salida parte
de cero v va suhiendo hxta w valor permanente Vcomo puede verse en la Fig. C- 16. La salida viene dada
Por

c,, = V(I t 81) (C-531

Obsrvese que los circuitos de las Figs. C- 13 y C- 15 son idnticos excepto que en la Fig. C- 13 la salida
v,,= I~ est tomada entre extremos de la resistencia, mientras que en la Fig. C-15 la salida es v,, = vc. Dt
esta ltima figura
828 Microelectrnica moderna

obtenemos u< = c, -ce-I/- I;cKL

siendo vx dada por la Ec. (C-521. Este resultado de IJ(.concuerda con la Ec. (C- 53)
Obsrvese que la impedanciaZ(s) en los circuitos de las Fig. C-13 y C-15 es

V
L!= I
(C-54)
V, 1 i RCs

En la Ec. (C-54) se obsrva que V,!V, tiene un polo en s = -1IRC siendo este valor de s (polo de la
hmcin de transferencia) el que determma la constante de tiempo del circuito.

C-7. EL DIAGRAMA ASINTTICO DE BODE

Las frecuencias de las senoides aplicadas a circuitos electrnicos abarcan un campo amplio. Por
ejemplo, la excitacin de un sistema de audio puede ser tan baja como 20 Hz o tan alta como 20 kHz. Por
tantoparacalcularlarespuestadelared hay que conocerlamagnitudylafasede lafuncinde transferencia
del circuito G(s) a cada frecuencia. Un mtodo conveniente de obtener esta informacin es mediante la
caracterstica de respuesta en frecuencia. Esta caracterstica es la representacin grfica de la magnitud
de G(jtO) en funcin de o y de LG(iw) en funcin tambin de w. Normzalmente 1G(io) 1 se expresa en
decibelios (dB) dados por

G(jw, cn dB = 20 log iC(,jo~i (C-55)

Cuando GQW) zc ~cP>rcsenra TII dB (juntamente con la fase) la caractersrxa de respuea~ en frecuencia
se denomina Diqruma de Bode.
La determinacin de la caracterstica de respuesta en frecuencia de una red o sistema mediante
manipulaciones algebraicas supone una cierta tarea. En muchas aplicaciones es suficiente una caracterstica
aproximada. La naturaleza del diagrama de Bode permite trazar fcilmente una caracterstica aproximada
IlamadaLXa,qruma asinttico de Bode.
En general, la funcin de una red se puede expresar como cociente de dos polinomios en A o;w. Si la
funcin de la red se pone en la forma
I + 0,s + 11~ + + U,,,S
G(.,) = K (C-56)
I + h,s + b,s + + h,,s

los puhnumu del numerador y del denominador se pueden poneren forma fxtor~l, quedando la funcin
reoresentada DOT
(1 + S/l,J(l + dill (1 + s/z..,)
G(s) = K (1 + s!p,)(l + s/pz) (1 + sip.)
Obs2rve\r que :,. :,... y -p,> pI... son respectivamente las races de los polinumio$ numerador
y denominador. y que los trminos z son los ceros y los p los polos de la funcin de la red. La cuwa
de la respuesta en frecuencia se halla haciendo que s pase a ser,jw, dando
Resumen de teora de circuiros 829

(1 4 /Wl>,J(l + ,Ul?, (1 + .,WfZ,,)


(C-58)
G(W' = f (1 + jw/p,)(l + jwIp2) (1 + jdp,,)

~v~de~mxxntc cl valor dc G(jw) es el producto de una constante y un grupo de [ennmos de la forma


( 1 +jW/W<,) 0 1/( 1 +.;w/w~). Cada uno de estos trminos se puede considerar como un fasor individual. El
GiJw) resultante tiene una magnitud que es el producto de las magnitudes, y un ngulo que es la suma de
los ngulos individuales.
La porcin de la curva de magnitud del diagrama de Bode se expresa en dB, y segn la Ec. (C-55) er
una funcin logaritimica. Por ello el producto

C ini,crte en la suma

Cl-$) ,,,, +!+:),$T +(l+:).,

cuddu Iu\ trminos individuales se exprean en dB. En consecuencia, las curvas de fase y dc magmtud
del diagrama de Bode puede considerarse que estn compuestas de sumas de factores individuales.
Entoncc\ x ve que el comportamiento de los trminos (1 +,;w/w,J y I/(I +,jw/w,,) es imponante al
corntruir 105 diagramas de Bode. El desarrollo de sus caractersticas mostrar ciertas aproximaciones
Gmplificadorai tile\ para el bosquejo rpido de estos diagrama%
ron43em05 la5 funcione5

0
w
CT,,,w,u,, = 20 log 0 Y c;, ,w,,,,i = 20 IO@fi IC-611
w.
Se be que la\ magnitudes a baja frecucr~cia wn dr 0 dB (magnitud unidad). A alu Irecuen&~ son
(; =G 1 =OdBaw/w,=I;C;,=20dB,<;l= -2OdB,aw/w =10;G,=40dB,(;I=-40dBaw/~,,=100,
etc. El valor dc G, aumenta (y GI disminuye) en 20 dB por cada potencia de 10 (dcada) de aumento de
MI,,. Puesto que la\ potencias de 10 uponen incrementos lineales en la escala logartmica de frecuenciaa,
la representacin de Ia\ En. (C-61) en el grf~ico de Bode \ern unas lineas rectas con pendientes de +
20 dB/dcada para G, y de 20 dB para G?.Frecuentemente las pendientes dc las recta\ SCexpresan en
unidades de decibelio\ por octava, repreentndo una octava un factor 2 en la frecuencia. Para o/w,, = 2,
Io\ valores de G, y G2 wn segn la Ec. (C-61) dc 6 y 6 dB respectivamente. As, en una octava dc\dc
o>/w,,: I ha\ta wiw,, = 2, (;, habr variado en 6 dB y G1 en 6 dB. Las correspondientes pendientes \on
dc 6 dB/octava y 6 dB/uctava. Como puede vers, 6 dB/octava y 20 dB/dcada representan igual
pendiente.
830 Microelectrnica moderna

Los ngulos relacionados con G, y G, son:


ngulo
G, = tq- (Ww,,) y ngulo G,= fez (ayu,,, (b-62)

Cuando w = w,, los ngulos de G, y G2 son de + 45 y 45 respectivamente. Para frecuencias en las


que w>lOw,, el ngulo de G, est prximo a 90 y el de G, lo est a 90. A baja frecuencia (o<O,Iw,)
ambos ngulos se aproximan acero grados. Estos resultados conducen u la aproximacin rectilnea de la
Fig. C- 17 para los ngulos G, y G,. La misma figura comprende las caractersticas de magnitud rectilneas
(o asintticas). Las curvar de trazos corresponden a las respuestas exactas de magnitud y de fase. Ambas
curvas (aproximada y exacta) son razonablemente semejantes. El mximo error de la curva asinttica se
da cuando w = o,, y es de + 3 dB para G, y de 3 dB para G,. Con una separacin de una octava respecto
a la frecuencia del codo (tu = w,,/Z) y (0 = 2w,,) el error es de + 1 dB para G, y de 1 dB para G2. Con
frecuencias angulares separadas ms de una octava de la frecuencia de quiebro, los errores son menores
de 1 dB y generalmente se desprecian. El mximo error en la caracterstica de fase tiene lugar a una dcada
de separacin del codo y ec de unos 6. A la frecuencia del quiebro el error es nulo, ya una distancia de
una octava es de cas, 5. Las curvas de la Fig. C-17 indican el signo algebraico de los errores para los
ngulos de G, y GI.
El proceso para trazar el diagrama asinttico de Bode se reduce a expresar la funcin en la forma de
la Ec. (C-58) loca!izando las frecuencias de codo, deduciendo las curvas asintticas componentes y
sumndolas para obtener la resultante.

Ejemplo C-3

(n) Esbozar el diagrama asinttico de Bode de


Resumen de teora de cirudtos 831

10% + 40)
M.,, =
s i 410s + 4000
(h) Determinar el valor de G(j800)

lOO(l + jd40)
Gw (1 + jdlO)(l + ,jwi400)
C-8. CUADRIPOLOS

Pu& ~n~iderarse que mucha redes tienen os pares de terrnlnales: Un par de entrada dl que
wmhente se aplica la excitacin y otro par, de salida, del que te FX~ I seal de$cada. EI emp[e de
las Carxter\ticas de respuesta en frecuencia y las funciones de tran,ferencia pone cn c\,idcncj ,a
ilp~t~ia de I rel~~cinentrada-sallda de los sistemas. Ciertamente, eqando los tistema\ compe\to<
por redes interconectadas, la respuesta total del sistema depender dc Ia\ rc\pesta\ de Ia\ irede\
individuales. As como el equivalente de Thvenin representa efectivamente ei cornportamicnto de l\
redes de n par de terminales, los circuitos equivalentes concentrados en las caractcrsticaetrda.,ali<ia
representan adecuadamente las redes complejas.
Las rede\ que contienen dos pares de terminales, no de entrada y otro de salida \e deor,,lan ,.&,
dc do.5PUW.Sd? ~eminules, o cuadt+xhs. n polo es un par de terminales a 10s que se puede sminiqrar
o wXrxr energia Y en Ios que se pueden hacer mediciones. Por tanto, es costumbre representar c\ta\ redes
como en I Fig. C-19 en la que al rmsmo tiempo se sealo la convencin normali/da re\pect a laS
direcciones y polaridades de corrientes y tensiones. En la Rg. C-1 9 IOS terminale\ 1 y I representan la
entrada y los 2 y 2 la salida. Algunos de estoy dispositivos y la mayor parte de los circito> elccrr,jicos
tienen ~*IILWZ 105tekdeS 1 Y 2. Pordefhicin, las tensiones salienter de loi terminales 1 y 2 5
exac1arnente iguales alas que entran en 1 y 2 respectivamente. Adems las mediciones pueden hacerje
nicamente en las puertas pero no entre los terminales 1 y 2 ni entre 1%y 2
Umi red de eSta indole se puede describir por cuatro variables, que son las corrientes y las ten5io,ir\
de la Puerta. Dos de estas variables pueden considerarse independientes y las otras do<. dependiente\. ya
qe el ~iWmafncio,na lInealmente las variables estin relacionadas entre s por un conjunto de ecacione,
hXkS. Estas ~CUXIOWX rekionan las corrientes y las tensiones del cuadripolo definiend as<a serie
depaekwtnx Existen seis posibles combinaciones mediante las que se pueden expresar dos dc laS Ctro
varnbles en funcin de las otras dos. De estos seis posibles grupos de parmetros, tres de ellos se emplean
eXtenSamCte en ei anlisis de circuitos electrnicos debido u s fcil medicin.
Los.Varmetl-osde admitancia oparm&tros y, se usan para relaciona las colrientes con Ias tensiones
del cadripolo. En el campo de las frecuencias, las ecuaciones definidoras son:
1, = ?,i(SlV, + !.,2(.\)v1 (C-63)
I? = .~,,(.\JI/, L .Y1&JVy CC-64)
Resumen de teora de crrcuitos 833

Los elemrnrus J, ,~s,, y,~.s). y,,(s) e ;,,\s) tienen las dimensmnes en mho, y se denomman parmerr~
). Frecuentemente se supone implcita la dependencia funcional de los parmetros en la frccucncia
complejavariables y los parmetros se representan simplementeu, ,.Y,~,, 2, e Y12,en adelante emplearemo
ambas notaciones.
El nombre especfico que se le & a carla parmetro lo determina su relacin tensn-corriente. SI 52
wrtocircuitan los tcrminales 2 y 2 de la figura C-19 la tensin Vz ser ncccsariamente nula. En esta\
condiciones las Ecs. (C-63) y (C-64) dan
1, = Admitancia de entrada en cortocircuito (C-h51
Yi, = ~
v, b, 0

La YUL ~~~rar~~ttxrnc~a dlrectax en la Ec. (C-66) indica que la red se emplea en hu torma ~rumr~l. w
la excitacin aplicada a la entrada y la respuesta medida en la salida. Si la excitacibn se aplica II la puerta
2 y re cortocircuita la 1, las Ecs. (C-63) y (C-64) darn

r, Admitancia de transferencia inversa en C.C (C-67)


= v, b,-/N

12 Admitanua de sahda cn cwtocircuit (C-hX,


i2z = L i, I,
tGm&rencia inversa, la transferencia directa y la salida respectivamente. LOS parmetro> i de las Ech.
(C-69) y (C-70) estn definidos por las Ecs. (C-65) a (C-68)
Muchas wxx conviene representaruna red de cuadripolos mediante un circuito equivalente que posea
la> nknas relaciones entre terminales que las expresadas en las ecuaciones definidoras. La Fig. C-20
representa un ctrcuito equivalente de parmetros y.

Los parmetru& : , ,, z,~>:>, Y zgzse denominan de impedancia o po~mms 1. Estos parmetros se


d&nen abriendo panero la puerta 2 (lo que hace que Iz = 0) y excitando la puerta 1, repitiendo luego el
proceso abriendo la 1 y excitando la 2.
Los resultados son

z,, = L, = -v, = Impedancia de entrada en circuito abienu


18 Iz-

V*
c2, = z, = - = Imp. de transferencia directa en circuito abicrtu
1, ,z.-il
lC-751
1?2= z,, = r,v, = Impedancia de salida en circuito abierto
. Il-<l

z,> = z, = - = Imp. de transferencia inversa en circuito abieno


L 1,-u
La Ftg. C-21 corresponde al circuito equivalente ms frecuentemente empleado para representar IU>
parmetros z.

Parmetros h

HaY un temr WnJutNo de parmetros llamado hbrido o de pu,-mer,-o., J,. suS ecuac,oI,zs son
V, = h,,l, + ht>V: = h.1, + h,V, (C-76)
12 = W + kV, = h,l, + h,,V, (C-77)
Los parmetros hespecficos sepuedendefinir~xcitandoprirnero laentradaycorrocircu~tandola~al~da
(VI = 0) para luego excitar la salida y abrir la entrada (I, = 0). Los resultados, expresados en las Ecs.
(C-781, son
,, , = Ir, z - = Impedancia de entrada en cortocircuito
Il L1 Il

i,:, = i,, = - = Ganancia de corriente directa en cortocircuito


1, >: /l
(C-781
II
IS:: = !I<> = z = Admitancia de salida en cmxito ableno.
,, ,l

VI
/l,> = 17, = E = Ganancia de tensin inversa en circ. abierto
,,~CI

La> cantidades h, y h, carecen de dimensiones estando representada cada una de ellas por una tuentt
gobernada en el modelo de circuito de la Fig. C-22. Cuando se emplea con transistores se suele aadir un
sub-ndice adicional con el parmetro h para indicar la conexin del transistor. As, h,(,es la ganancia de
corriente directa en la configuracin en emisor comn.

Ejem& C-l

El circuitu de la Fig. C-23 es el equivalente de una etapa amplificadora en emisor comn.


(a) Determinar h,, y h,c,.
(b) Esbozar un diagrama asinttico de Bode para h,,, y h,* para frecuencias angulares Menorca de
10 rad/s.
(c) Determinar la frecuencia angular en la que I h,(iw) I es igual a la unidad.

(a) Segun las definiciones de las Ecs. (C-78) tanto h,c como h,* se determinan conourcuitando la
puerta 2 y excitando la 1 con una fuente de corriente 1,. En las Figs. C-24a y C-24h pueden verse los
circuitos resultantes empleados para determinar h,* y h,(,respectivamente. Del circuito de la Fig. C-24a se
obtiene
v, =1,x 50 + 1,z
836 Microelecirnica moderna

kigura <:-2.x c,rcuau para 21eJempI c-4.


Resumen de teora de circuitos 837

Para determinar h, se emplea el circuito de la Fig. C-24h. La corriente I, deducida por la ley de
Kirchhoff es

ya que la comente cn id rwstcncia de 50 kR, es nula porque v2 = 0. La tensin Ves I,Z y en loa clculob
para h, viene dada por

450
v = I,Z = 1,
1 + 5 x 2.25 x 10-x
Combinando las ecuaciones de V y de II y hallando la relacin IJI, tendremus

k = h _ 90(1 sn x IO)
1, , + s/4.44 x 10

(h) En la FIS. C-25 estan trazados los diagramas asintticos de Bode.


(c) Deducida del diagrama de Bode, la frecuencia angular en la que h,(gw) = u dB es de 4x IU rad/s,
Obsrvese que la frecuencia de quiebro de 2x10 radis. est bien alejada de cualquier otra frecuencia
crtica de inters, y en consecuencia muchas veces se admite para h,( la aproximacin
90
h,. = 1i .s/4.44 x IO7

C-Y. GRFICO DE RECORRIDO DE LA SEAL

Smlplemente expresado, este diagrama es la representacin grfica de un sistema Imeal de wuaa~ne~.


Como tal, frecuentemente se utiliza para describir esquemticamente un sistema en funcin de sus partes
constituyentes. Los dos elementos bsicos de estas grficas son los nudos y las r-amas. Con un nudo se
indica una variable y con una rama la relacin entre un par de variables. La Fig. C-260 muestra un
componente tpico de la grfica. Las variables X, y XI se representan con nudos. La flecha dirigida es la
ramacuyatransmitancaG define larelacin funcionalX, = GX,. El significado de la flecha es el de indicar
la naturaleza unilateral de la relacin entre X2 y X,. Al estar dirigida la flecha desde X, hacia X2 est
indicando que X, depende de X,. As, en la grafica de !a Fig. C-26h, la dependencia de X, respecto a X1
queda indicada por la transmitancia de la rama G,., y la de X, respecto X, por la de G,.
En la Fig. C-26h, la variable del nudo X, es G& + G ix, resaltando el hecho de que el valor de la
variable de un nudo est determinado slo por las ramas que entran en l. Cada rama incidente contribuye
al valor del nudo en una cuanta igual ala transmitancia de la rama multiplicada por el valor del nudo del
que procede la rama. Los nudos que tengan slo ramas de entrada se denominan sumider-os y los que slo
tengan ramas salientes se denominanfuentes. Los nudos X, y X4 de la Fig. C-26h son fuente y sumidero
respectivamente.
Puesto que el grfico describe un conjunto de ecuaciones lineales, los elementos que lo forman pueden
Resumen de teor de circuitos 839

combinarse algebraicamente. Este proceso de reduccin grfico permite calcular la funcin de transferen-
cia, siendo esencialmente un mtodo para resolver el sistema de ecuaciones para una de las variables. En
la Fig. C-27 se muestran dos reducciones elementales. La configuracin de ramas en paralelo de la Fig.
C- 27~ se reduce a la suma de transmitancias de las ramas, y la estructura en cascada al producto de las
transmitancias de las ramas individuales.
Corrientemente se encuentran otras dos configuraciones que son, las de lazo cerrado sobre s mismo
y la estructura de realimentacin, que se pueden ver en las Figs. C-2& y C-286. respectivamente.

(b)

En el caso de lazo cerrado


X, = G,X, + H,X.

y despus de una sencilla transformacin, tenemos


G,
x2 = ~ X,
I - H,
La fmmla de la rama equivalente de la Fig. C-28a constituye la regla general para eliminar del grfico
estos lazos cerrados sobre s mismos. Esta regla dice que todas las ramas que concurren en un nudo
conteniendo uno de estos lazos tienen sus transmitancias divididas por uno menos la transmitancia de
dicho lazo.
La Fig. C-29a representa una parte del circuito, demostrando cmo en la formulacin de las ecuaciones
de red puede aparecer un lazo de stos. La expresin de Kirchhoff para la parte de circuito es

V, = I,Z, + AV,

con lo yuc x puede traar el grfico de la Fig. C-29b.


Empleando la reduccin grfica y despejando V, de la expresin de Kirchhoff resulta
I,z,
= , - A

La reduccin del lazo de realimentacin de la Fig. C-2@ procede de

Xi = G,X, + H,X, y x, = G?X2

Susuruyendo X, en la ecuacin de X3 y recombinando trminos se tiene

qut: es la relacin para la rama equivalente en el grfico reducido de la Fig. C-286. Obsrvese que se llega
al mismo resultado para el grfico que contiene el lazo cerrado de la Fig. C-2@
Ejemplo C-5

El cuadnpolu de la Fig. C-30 se caracteriza por su parmetros x.


(u) Trazar un grfico de recorrido de la w?al del circuito utiliando como nudos 1,. 1 ,, 1,. /- 4 L?.
(h) Mediante este grfico calcular la funcin de transferencia 1#,.

Solucin

(0) Er, pr,,,,a ,ugarhq que establecer la\ rcuaciner que relacmnan las variables entre \i. Obs&vese
no obstante que I, es la excitacien representada por un nudo fuente. no pudindose indicar ninguna otr
variable por un nudo fuente. En general. todos los dems nudos tienen ramas entrantes y salientes, salvo
los nudos sumideros. Las ecuaciones bsicas que relacionan I,. I,. \, y 1, son los parmetros Y de las dos
puertas dadas en las Ecs. (C-63) y (C-64) que reproducimos aqui

que se rcprerenra como rama G. Las siete ramas forman un posible grfico que caracteriza eI ulema.
(h) La funcin de transferencia se obtiene reduciendo el grfico. Las ramas C, G y D forman un luo
Resumen de teora de circuitos 841

de realimentacin, que se sustituye por la rama equivalente H (vase la Fig. C- 28h) cuya transmitancia
es
-YJL
H=
1 + YZA

wmu se ve en la Fig. C-320. En esta mesma figura, las ramas B, F y A forman un tuo ae real~mentac~on.
Esta reduccin se muestra en la Fig. C-32h en la que el lazo de realimentacin se sustituye por la rama J
y el lazo cerrado sobre s mismo K. Las transmitancias respectivas de las ramas son

v,oi- pp-&

Figura C-31. Gr&tkio del rccomdo de la seal del circuito de la Fig. C-30.

Se llega al grfico de la Fig. C-32~ al eliminar el lazo cerrado K. Las transmttuuhs de rama afecradas
por la reduccin son E y Jcuyos valores quedan divididos por (1 + Y,,z,). la transmitancia del lazo cenado.
Las ramas equivalentes de J y E son L y Mrespectivamente.

(CI
Figura C-32. Reduccrn del grfico de la Fig. C-31.

La Fig. C-32~ indica que un lazo de realimentacin est formado por las ramas M, L y H cuya reduccin
dar
!l- 1w1 + Y,ZJl x L-?~,z,i(l + .Yz&)l
K I - l-Ydx1 + YdJl x [-YnZ,i(l + Y,,ZJl

Stmphficando y combinando trminos resulta


!!- -YJL
V, 1 + y,,z, + Y?Z, + ZZ,(Y,lY12 - Y12h)
CAPITULO 1

1-1. Un electrn parte co velocidad despreciable de un electrodo siendo acelerado por una tenbl I/.
Hallar el valor de V sabiendo que la velocidad final de la partcula es de 9,4x10 mis.
1-2. Un ekctr que tiene una energa cintica de 10~ l7 J en la superfcie de unc, de dos electrodos
planos paralelos y que se mueve nmmalmente ala superficie, est frenado por un campo retardador
producIdo por un potenual v, aplacado entre electrodos, iQu valor de V, se necesita para que el
electrn llegue al segundo electrodo co velocidad nula?
l-3. Los rasgos esenciales del tubo de un osciloscopio quedan reflejados en la figura. La diferencia de
lensin entre K y A es Vo, y entre P, y P, es V Ninguno de los dos campos elctricos afecta al
otro. Los electrones se emite desde K con e iocldad inicial nula y pasan a travs de un taladro
practicado en el centro del electrodo A. Debido al campo entre P, y PI cambian de direccin
mientras pasa por estos platos, siguiendo luego a velocidad constante hacia la pantalla S. La
distancia entre platos es d.
(a) Hallar la velocidad vsde los electrones en funcin de V,, al cruzarA.
(h) Hallar la componente Y de la velocidad de los electrones, v>,,en funcin de V,,, /(,, d, y i>, al
abandonar stos el campo P, P2.
(c) Hallar la distancia desde el centro de la pantalla hasta el punto del impacto en funcin de las
dimensiwes del tubo y de la tensin aplicada.
(d) Hallar los valores numricos de vx, Y,, y d, siendo Vu = 2 kV. V,, = IOOV, 1,, = 1.27 cm. y
1!=20cm,yd=O,5cm.
(P) SI deseamos una deflexin de I cm del haz de electrones, Cul ser el valor de V,,?. Los
dems valores so los del apartado anterior.
844 Microelectrnica moderna

l-4. Una cinta plana de aluminto tiene una resistividad de 3,44xlO~ .Qm, una seccin transver~dl de
2x10 mm2 y una longitud de 5 mm. Cul es la cada de tensin a lo largo de la cinta? La corriente
cs de 50 mA.
1-5. Si a la cinta de aluminio del problema anterior se le aplica una tensin de 30 IV. iQu corriente
circular por ella?
1.6. (a) Calcular el campo elctrico necesario para dar a un electrn en silicio una energa media de
1,l el.
(h) ,Es prctico generar pares electrn-hueco aplicando una tensin a travs de una barra de
silicio? Explquese.
l-7. Kepetir el Prob. l-5 para una cinta de silicio intrnseco a 800 K.
l-8. Calcular la movilidad de los electrones libres en aluminio, cuya densidad es de 2,70x10 kgirn y
su resistividad 3.44~10~ nm. Supngase que el aluminio tiene tres electrones de valencia por
tomo y un peso atmico de 26,9X.
I-Y. (a) Determinar la concentracin de electrones libres y de huecos a 300 K de una muestra de siliciu
con una concentracin de tomos donadores N, = 2~10~ tomos/cm y de tomos aceptadores
N, = 3x10 tomosicm.
(h) La muestra del apartado anterior es de silicio tipo p o tipo n?
I-IU. Repetir el Prob. l-9 para N, = N,, = 10 tomos/cm.
l-ll. Repetir el Prob. l-9 paraNi, = 10 y N, = 10 tomos/cm.
l-12. (a) Hallar la concentracin de huecos y electrones en un silicio tipo I> a 300K, si la resistiwdad
es de 0,02 Qcm.
(h) Repetir el apartado anterior para un silicio tipo n.
l-13. Repetir el Prob. l-12 para una resistividad de 5 Rcm.
l-14. Se aaden impurezas donadoras a un silicio intrnseco y la resistividad baja a 1 Qcm. Calcular la
relacin entre tomos donadores y tomos de silicio por una unidad de volumen.
1-15. Si el silicio fuera un metal monovalente iCul sera la relacin entre su conductividad y la del
silicio intrnseco a 300 K?
1-16. La figura representa la concentracin de electrones en un semiconductor.
(u) Hallar la expresin y esbozar la densidad de corriente de elctrones J,J.x) supomendo que no
exista ningn campo elctrico exterior.
(h) Esbozar y deducir una expresin del campo elctrico interno que debe existir para que la
corriente neta de electrones sea nula.
(c) Determinar el potencial entre los puntos l=O y x = W dado n(O)/n~,= lo.
n(x)
t

1 c1
0 w P,c.b. ,.16

1.17. Cumprobdr la Ix (1.30) para un semxonducror gradual en circuito abierto.


l-18. Comprobar la expresin del potencial de contacto L,, dada en la Ec. (l-42) para la umon abrupra
de la Fig. l-IOh considerando la densidad de corriente de electrones J,, = 0
l-19. La unin de la Fig. 1.10h est dopada con N, correspondiente a un tomo aceptador por cada 10
itomo, de Glicio. Calcular la diferencia de tensin de contacto V, a temperatura ambiente.
Problemas 845

I-20. Determinar el cumhio de la diferencia de tens:ln de contacto en una un& pn en circuito abnto
y a 300 K, suponiendo que N, se cambia por un factor de 2500, mantenindose N, fijo.
1-21. (a) Repetir el Prob. I-20 suponiendo que N, no vara, y N, lo hace con un factor de 8000.
(h) La respuesta del apartado anterior idepende de que N, aumente o disminuya? Explqucbe
brevemente.
l-22. Las resistividades de los dos lados de una unin de silicio en escaln son de 5 ncm en el ladop y
de 2,s clcm en el lado n. Calcular la altura de la barrera de potencial V
l-23. Repetir el Prob. anterior suponiendo que se intercambian las resistividdes de ambos lados.

CAP1UI,O 2

2-l. Esbuarel d~agrdma logartmico de la concentracin de portadores en tunclon de la dl,tnua, para


una unin abrupta de silicio siNA = 5x10 tomos/cm y N,, = 5x10 tomos/cm. Dar los valores
numricos de las ordenadas. Sealar las regiones n, /, y de deflexin.
2-L. Las resistividades de ambos lados de una unin abrupta de silicio son de 2,4 Rcm en el lado p y
25 Clcm en el lado n. Esbozare1 diagrama logartmico de la concentracin de portadores en funcin
de la distancia. Dar los valores numricos de las ordenadas. Sealar las regiones n, ,I y de detlexin.
2-3. (0) i,A qu tensin la corriente inversa en un diodo de silicio pn alcanza el 95% de su valor de
saturacin a temperatura ambiente?
(h) ~,Cul es la relacin entre las corrientes con polarizacin directa de O,l\/ y con polarizacin
inversa del mismo valor?
(<) Si la corriente de saturacibn inversa es de lO,/,A ,Cules sern las corrientes directas para las
tensiones de 0,5.0,6 y 0.7 Vrespectivamente?
2.4. SI la corriente de saturacin inversa de un diodo de silicio de uninpn es de 1 nA, iCul debe ser
la tensin aplicada para una corriente directa de 2,s ti!
2.5. (u) Un diodo de silicio a temperatura ambiente (300K) conduce I mA a 0,7V Calcular la corriente
en el diodo si la tensin sube a 0.81. Tmese q = 2
ch) Calcular la corriente de saturacin inversa.
(c) Repetir el apartado (u) con q = 1.
2-b. (u) i,Qu incremento de temperatura dar una corr~enre de SIIUTUCIOLImver~a bu veces ~nayor que
la tenida a temperatura ambiente?
(h) iQu descenso de temperatura dar una corriente de x+turacin inversa de un dcimo de la de
temperatura ambiente?
2-7. Un diodo x monta sobre un chas\ de tal forma que por cada gmdo de temperatura por encima de
la ambiente se transfiere trmicamente 0.1 n!Wdesde el diodo a su entorno. (La resistenci;i tnnic;]
del cuntclu mecnico entre cl diodo y su entorno es de 0, I rnW/Y?.) La temperatura ambiente es
de 25 C. La temperatura del diodo no debe superar en ms de 10 C la del ambiente. Si la corriente
inversil de saturacin es de 5 nA a 25 C y aumenta a run de 0,07 -Ch, i,Cul es la mxima tensin
de polarizacin it~vcrsa que se puede mantener en el diodo?
2-8. Un diodo de silicio trabaja a una tensin directa constante de 0,7V. ,Cul ser la relacin entre las
corrienles mxima y mnima en el diodo dentro de un campe de temperaturas de 55 a + 100 -C?
2-9. tl diodo de silicio dexrito en la Fig. 2-S se emplea en cl circuit de la Fig. 2.8~. siendo 11,~= 61
y R = IOW.
((1) Detsmkxu la corriente y la tenGn del diodo.
(h) Si Vaa se baja,hasta 3V. ;Cul deber ser cl nuevo babor de K para que la corriente del dindo
conserve el m~sm valor del apartado anterior)
846 Microelectrcinica moderna

Z-10. Un diodo de silicio con las caractersticas indicadas se emplea en el wcuiro de la Fig. 2.Xu, siendo
V,,=5VyR=lkQ.
(a) Determinar la corriente en R y la tensin entre sus extremos.
(b) Cunta potencia disipa el diodo?
(c) iCul sera la corriente del diodo si se cambia R a 2 y a 5kR?

2.11. iu, Repeur las partes (a) y (b) del Prob. 2-10 para V,,, = 1OV y R = 2 ka.
(b) Cul es la corriente de carga si VA, se reduce a W?
(c) iCul es la corriente en el diodo si V,, aumenta hasta ZOV?
2-12. El circuito de la figura utiliza el diodo del Prob. 2-10. Hallar V,, dado V,, = 9V.

WJ 7 Plob.2-2
Z-13. El dwdo de >llicio de las caracterstica dadas en la Fig. 2-5 se emplea en el circuito del Prob. 2.12
con V,, = 60V. Calcular la potencia disipada por la resistencia de 0,4 ki2.
2-14. A lacombinacindediodo y resistenciaindicadase le suministraunacorrienteconstantel = 7OmA.
La resistencia R es de precisin y vale 1 kL2. A 25 C la tensin del diodo es de 700 mV.
(a) Trazar una grfica de 1, en funcin de la temperatura Tentre 55 y + 125 C.
(b) Comentar el empleo del circuito amanera de termmetro. Admitamos que tanto la resistencia
R como la corriente del diodo tienen variaciones despreciables dentro del campo de tempera-
tEL.
Problemas 845

Z-15. Determinar la corriente en el circuito de la Fig. 2.80 para VAA= 12 V y R = 4 kil, suponiendo que
el diodo:
(u) sea ideal,
(h) est representado como en la Fig. 2-I 1 con Vy = 0,6 V y R = ZOR.
2-16. Determinar V,, en el circuito del Prob. 2.12 suponiendo que elidiodo:
Cu) sea ideal.
(h) que est representado como en la Fig. 2-l 1 con Vy = 0,6 V y R, = 30.Q.
Z-17. (u) Representar el diodo de silicio de la Fig. 2.5 por el modelo dado en la Fig. 2-l 1, es decl,,
calcular vy y R,
(h) Utilizar esta representacin para resolver el Prob. 2-9(a).
(c) Comparar la respuesta a (h) con la respuesta al Prob. 2-9(a).
2-H. (a) Repetir el Prob. 2-17(a) para la caracterstica del diodo del Prob. 2. IU.
(h) Utilizar esta representacin para resolver el Prob. 2.12.
(c) Comparar la respuesta con la del Prob. 2.12.
2-N. La corriente en el circuito de la Fig. 2-8 debe ser de 10 mA. Siendo VA, = I ,5V. Calcular K, sxnd
el diodo:
(u) ideal.
(b) representad por VT = 0.W y R, =,50R.
2-20. Esbozar la tensin de salida u,(t) del wcuito representad, para 0 < r < 5 ms. suponiendo el dIodo
ideal.

Z-21. Keprtx cl problema anterior si el diodo est representado por Vy= 0,s V y R = 50R.
Z-22. Esbozar la tensin de salida para el circuito representado, para 0 5 t < 10 ms. iuponiend el dlw.
(u) ideal,
(b) representado por Vy = 0,6V y R, = 20R.

200 cl
+
+ 7
Vi +son Ci,
S=
Prnb. 2.22 i.Il _L
w ,hl
2-23. Esbozar lacaractensticade transferencia de tensin (v,, en funcin de v,) del circuito del Prob. 2.10
suponiendo el diodo:
(0) ideal,

-
848 Micruelecrrnica moderna

(h) representado por VT= 0,6V. y R, = 250.


2-24. Esbozar la caracterstica de transferencia de terrsln (v,, en funuon de i,,) del CIKUI~Odel Prob. 2.22
supuesto el diodo:
(u) ideal,
(h) representado por Vy = 0,5 V y R, = 40R.
Z-2.5. Traar la caracterstica de transferencia de tensin del circuito represmtado, suponiendo los do5
diodos idnticos, teniendo VT= 0,6V y R,= 0.

P,OD.z-z s u~~~~:~tl

Z-26. (uJ Obtener la caracterstica de transferencia de tensin del circuito reprwmtado supon~m~ los
diodos ideales.
ib) Esbozar un ciclo de la tensin de salida suponiendo que la tensin de entrada sea vJt) = 20 sen
CN.

2-27. Ln ternin de entrada a la red cuya caracterstica de transferencia es la representada es v, = 2 + 2


sen cot. Esbozar la tensin de salida v,,(f) en un ciclo de la entrada.

Plc.b. 2-27
2-X (crj La caracterstica de transferencia de temin de una red de diodo es la representada. Esbwar
la tensin de salida para v,(t) = 2.0 + 3 sen cuf.
(h) Disear una red de diodo simple, con diodos ideales. que tenga la funcin de transferenria
dada.
troblemas 849

Z-29. (u, Sc ap1li-a und scw~de ~if) = 3 + 2 sen wf auna red dc dwdo uyacaracrcn~t~ade tranrfercr~c~
de tensibn est sealada A. Esbozar la onda de salida e, durante un ciclo.
(h) iQu cambios cabe esperar en la onda de salida si la caracterstica de transferencia de tensin
es B?
(c.1 Disear un circuito que tenga la caracterstica A usando diodos ideales.

l WI v
Pr&. z-29 4
Z-30. iu, Ubterw la caracterstica de transferencia de tensin del circuito de la Fig. 2.23.
(h) Empleando el resultado de (a) comprobar que el circuito es un recortador a dos niveles.
2-31 (u) La corriente / del Prob. 2.14 cambia en AkI. Emplear el anlisis de pequea seal para
determinar hl,.
(h) Con R = 1 kc>, ;,cul es el mnimo valor de / para el que (A/,/A/) <O,Ol a temperatura ambiente?
Prescndase del efecto de C,,.
2-32. En el circuito del Prob. 2-12, V,, pasa de 6 a 6,25 V. Determinar
(u) La variacin AV,>de VB.
(h) El nuevo valor dc V,,.
2-33. En el circuito del Prob. 2-20(u), v(t) = 8 + 0.02 sen wi. Despreciando el efecto de la capacidad de
difusin y suponiendo que el modelo en continua del diodo es Vy = 0,6 y R = 0, determinar y
esbozar la tensin de salida que aparecer en un osciloscopio si el selector se co i oca en: (a) alterna.
y (h) continua.
u,
u,.v 2. v

f t t

P,Ob. 2.34 (0) (b) (CI


2-34. Al circuito diodo-resistencia representadu se le aphcan Ias ondas Ir, y I?. Esbotar ~,,cr)para
0 < f < 4 ms. Supngase que el diodo de silicio corta instantneamente y que Vy = 0,6V y R, = 20R.
2-35. (a) La figura representa la caracterstica de transferencia de un circuito de diodo. Esbozar la
tensin de salida de un ciclo, supuesto que I, = 6 + V,,, sen af.
(h) Si v,= 6 + AV,, i,Cul ser el cambio AV,, en 10 para un incremento AV, tanto positivo como
negativo?

2-36. Ebbwar lacaractersticade transferencia del circuito de diodo Zenerrepresentado supomendoquc


DI y D2 son idnticos. y tienen los parmetros Vg, Vy y R,.

2-37. Kzpet~r cl probkmd L-WI para C~,= 5 V y Vg, = 10 V. supongase vy= o,~L, K = IO kbi, x, = 200
y una corriente de saturacin despreciable.
2-38. En el circuito de la Fig. 2.32 se emplea un diodo Zener que proporciona regulacin para
50 mA </, < I ,OA. Determinar el campo de las corrientes de carga cuya regulacin se consigue
si la tensin no regulada V, vara entre 7,5 y 10 V. La resistencia es R, = 4,750.
Z-39. El regulador de la Fig. 2.32 suministra una tensin de carga de 6 V para toda corriente de carga
1, < OSA. La alimentacin no regulada vara entre 8 y 1OV y el diodo Zener regula para 1~> 0.
Determinar:
(a) La resistencia en serie R necesaria.
(h) La disipacin de poten& nominal de Zener.
2-N. El circuito de la Fig. 2-32 est diseado con Rq = 2OCL El diodo Zener de 5,6 V regula para
1 mA < /~ 5 300 mA y una coniente de carga 0 < 1, < 200 mA Determinar el campo de amplitudes del
suministro no regulado en el que se mantiene regulada la carga.
2-41. Los diodos con polarizacin inversa se emplean frecuentemente como condensadores variables
gobernados elctricamente.
La capacidad de una unin abrupta de diodo es de 4 pb- a 4V. Determinar les cambios de la
capacidad:
(a) incrementando en 0,5 V la polarizacin.
ih) disminuyendo en 0.5 V la polarizacin.
2-42. La deduccin de la Ec. (2-40) para la capacidad de difusin C, supone que el lado p esta mas
dopado que el n, por lo que la corriente en la unin es esencialmente la corriente de huecos. Deducir
una expresin de C, cuando se prescinde de esta aproximacin.
2-43. En el circuito representado, la tensin umbral de un diodo es de 0,6 V y la cada a travs de un
diodo en conduccin es v = 0,7 V. Calcular I,, para las siguientes tensiones de entrada e indicar
Problemas 851

el estado de cada diodo (ON u OFF).Justificar la presuncin sobre el estado de cada diodo
(0) , = 1ov y v2 = ov.
(b) Y, = 5Vy v2 = OV.
(c) Y, = 1ov y Y2= 5v.
(d) v,=Wyv,=5V.

Z-44. Repetir el Prob. 2.43 huponiendo que la resistencia de 18fi retorna atierra a travs de una fuente
de 5V.
2-45. En el circuito representado v, es un impulso de 5V cuya duracin es de 10 a 40 ns. Esbozar el
impulso de salida para anchos del impulso de entrada de 10. 20. 30 y 40 ns. Supngase aue los
diodos son ideales. (Insinuacin: para x 1, E + = 1 x).

CAPTULO3
3-I. En el circuito de la Fig. 3-3 se emplea una fuente de corriente gobernada por corriente. La fuentl:
gobernada queda definida por:
II = 100 i, mA, para i, 2 0
i, = 0 para i, < 0
uendo por otra parte ideal. Los parmetros valen: Rs = loOC2, K, = 1 kL2, y V12 = 1OV.
(u) Dibu.jar una serie de caractersticas de salida (jz en funcin de v,) para 0 < i, < 200 pA.
(h) Trazar una caracterstica de transferencia (v2 en funcin de v,) para v,>O.
(c) iQu valor de Y, se necesita para hacer v,0,5V?
3-2. En el circuito representado se utiliza una fuente gobernada no ideal. Esbozar la caractersnca de
transferencia (vI en funcin de ea,)para r,, tendiendo a infinito (circuito abierto). Qu partes de la
caracterstica debern emplearse si el circuito debe actuar a manqa de interruptor? Y como
amplificador? (Su,qerencia: Dibujar primero una serie de caractersticas del dispositivo, Supngase
que las tensiones umbral yen conduccin del diodo son ambas de 0.5 V, y R,= 5OQ.)
Fuente ;dmna*a
P,Ob. J-2

3-3. Kzpzur 21 Prob J-L, dadio ,, = 20 kbl.


3-4. El dispositivo de la Fig. 3-2 es una fuente Ideal de tensin g!obernaJa pur curnwtr. DlbuJar un
conjunto de caractersticas de salida tpicas y explicar cmo puede emplearse este dispositivo a
manera de interruptor gobernado o como amplificador.
3-a. (a) Estando la base en circuito abierto (1, = 0) determinar >i las uniones emisor-base y colector-
base estn polarizadas directa o inversamente.
(h) Calcular la corriente que existe en el transistor/~np, teniendof,, = 1 pA. aF = 0,YY y aN = 0.5.
a temperatura ambiente.
3-6. Deducir la ecuacin (3-16). Modificar esta ecuacin para un transistor ~PTI.
3-l. Deducir la Ec. (3-24) de las ecuaciones de Ebers-Moll.
3-8. El circuito de la Fig. 3-24(a) se usa para polarizar un transistor 2N2222A con las caracteristlcas
dadas en la Fig. 3-16, a V,,, = 5 V, e icy = 15 mA. La tensin de alimentacin es V,, = l2V.
(u) Determinar los valores de R, y R, necesarios.
(h) Hallar el valor de p, en estas condiciones.
3-Y. Dibujar el circuito, anlogo a la Fig. 3-24(u), empleando para polarizar el tranGwr/~n[~ 2N2YU7A
(Este transistor y el 2N2222A son complementarios.)
Siendo V,, = l5V determinar los valores de R, y R, necesarios para establecer V,, = IOV, e
I, = -20 mA.
3-10. En el circuito de la Fig. 3-24(u) se emplea un transistor 2N2222A con R, = 22SQ R, = 100 klL y
V,, = 9V. Determinar 1, y V,,.
3-11. Emplese el circuito de la Fig. 3-25(u) para polarizar el 2N2222A a V,, = 5V, e I, = 15 mA, con
1
= 10;
3-12. Un trdnsstor con p, = YY y corriente de s~raciou IIIVZI>~ despreciable he emplea en el LITCU~~L
de la Fig. 3-25(u), con R, = 2 kC1,R, = 1 kR, R, = 200 kR y V,-,-= 61.
(LI) Determinar i y V,,.
(h) Repetir(u) slendo p, = 199.
3-13. El transistor empleado en el circundo represenrado tiene b, = 150 y una cornentc de saturaan
inversadespreciable.
(CI) Determinar 1, y VCi.
(h) Repetir ((1) con p, reduclda a 50
3-14. En el circuito representado se emplea un transistor con p, = 99 y corriente: de saturacin inversa
despreciable. Los valores son V,, = lOV,R, = 2,7 kQy R, = 180kR,estandoR, en circuito abietto.
(u) Hallar los valores de V,, e I,.
(h) Repetir(a) con p, = 199.
iv

3-15. El CIKUI~Odel problema anterior se emplea para establecer yck = 5V, e I, = 5 mA. siendo V,, = !W
Se usa el transistor del problema anterior y K, est en circutto abierto.
((I) Determinar R, y R,
(h) Hallar los nuevos valores de /< y V,, para 0 = 49.
3-16. Se emplea el circuito del Prob. 3-14 con los sigulentes valores: R, = 2 kR, R, = 25 kR y V,, = 12V.
El transistor tiene p, = 49 y una corriente de saturacin inversa despreciable.
(a) Determinar R, para que I t = 2 mA.
(h) Con el valor de R, hallado en (u) determinar /, cambiando p, a 150.
3-17. ElcircuitorepresentadoempleauntransistorconP,= 100 y IosparmetrosR,. = 0,5 kQR, = 1 kCl,
R,=44kR,Vc,=15V,VE,= -lW,yV,,=O.
(0) Determinar V,,, y V,,,
(h) j,Qu nuevo valor de R, hace que V,,, = O?
(c) i,Qu nuevo valor de R, hace que V = O?
Desprciese la corriente de satura& inversa.

3.18. En cl ~IICUW del Proh. 3. ll cada una de las tensones dc suministro L,,, V,, , y V,, pueden er de
(af 10. 10 o OV. Citar todas las comhinacionca posibles de estas tensiones con las que el transistor
puede polarizarse en la regin activa directa.
)!
3-19. Repetir el problema anterior para la regin activa inversa.
3-20. En el circuito de la Fig. 3-27(a) se emplea un tran&or con p, = 125 y p,= 1. Siendo V,, = 6 V.
854 Microelecrrnica moderna

K, = K, = 1 kil, deternGnar R, para que la corriente en R, sea de 1 mA.


3-21. Los valores de los elementos del circuito de la.Fig. 3-26(a) son: R, = 150 ka, R2 = 37,5 kCL.
R, = 7 k0 y R, = 3 kR. El transistor tiene p, = 100 y corriente de saturacin inversa despreciable.
Con v,, = 9v.
(a) Determinar V,, e I,
(b) Repetir(a) para p, = 50.
3-22. El circuito de la Fig. 3-26(a) emplea los trans~ores dada cn el Prob. 3-21. Los valore?, de lus
elementos son: R, = 90 kCl, R2 = 10 kQ R, = 10 kf.3, R, = 0,9 ka y V,, = 12V.
(u) Determinar V,, e I,.
(h) Repetir(a) para p, = 200.
3-23. El circuito de la Fig. 3-26(a) debe emplearse con un transistor pnp con p, = 50 y wn LOKKXN~de
saturacin inversa despreciable. Se dispone de una tensin positiva de suministro de 12V. Las
resistencias de emisor y de colector son de 2 k!J cada una. Determinar los valores de R, y R, que
hagan que V,, = 6V.
3-24. Determinar el valor de VB, en el circuito del Proh. 3-17 con el que:
(u) Justamente sature el transistor
(b) Obliga que p = 10.
Los valores de los elementos son los dados en el Prob. 3-17.
3-25. Los valores de los elementos en el circuito del Prob. 3-17 son: V,, = 0, V,, = IOV, R t = 0,
R, = 2 kR, R, = 50 kR. El transistor tiene p,= 125, y corriente de saturacin inversa despreciable.
Esbozar la caracterstica de transferencia V,,, en funcin de V,, indicando claramente la regin de
trabajo del transistor.
J-26. Repetir el problema anterior siendo V,, = 1OV y todos los dems valores manteniendo los dados.
3-27. (a) Repetir el Prob. 3-25 para los siguientes parmetros: R, = 5 kL2, R, = 100 ki2, R, = 2 kQ,
V,, = 9V, y VE, = OV. El transistor tiene p, = 150 y corriente de saturacin inversa despreciable.
(h) Esbozar la caracterstica de transferencia V,,, en funcin de V,,.
3-28. Esbozar la caracterstica de transferencia Y,,en funcin de v, del circuito representado. El transistor
tiene p, = 75 e I, = 0.

3-29. Esbozar lacaractersticade transfersncia V,,en funcin de I/, del circuito representado. El tranutur
empleado es el descrito en el Prob. 3-28.
3-30. Esborarlacaracteristicade transferencia V,,en funcin de V,del circuitorepresentado. El transistor
tiene p, = 150 y corriente de saturacin inversa despreciable. El diodo Schottky tiene una cada
de 0,4V cuando conduce.
Problemas 855

La ua~~~wxcs Q 1 y Q2 son idnticos con p, = 100 y corncntc de saturacin inversa debpreciable


(a) Hallar V,,cuando V, = 0. Supngase que Ql est en corte y justifquese el supuesto.
(h) Hallar V,,cuando V = 6V. Supngase que Q2 est en corte y justifquese el supuesto.
(c) Esbozar la caracterktica de transferencia de tensin, Vc2en funcin de V, a medida que V, pasa
deOa6V.
Cd) Repetir (c) decreciendo V, desde 6 a OV.

+12\,

7 P.c.b. 3.3,

3-32. La tcns~n dc zntrada v, en el circuito es vir) = 20 + 1,C.lsen2nxlOr. El transistor es el descntu cn


el Prob. 3-31, y v,(r) tal como se indica. Esbozar b,,,(f)en un ciclo.
+i

3-33. En el CIKUI~ representado se utilia el transntor del Prob. 3-3 1.


(a) Determinar R, para que el transistor quede justamente saturado con V, = 5V.
(b) Si V, es el impulso rectangular sealado, esbozar uCJr).Supngase que el transistor responde
instantneamente.
856 Microelectrnica moderna

P,Ob. 3.33 * f

3.34. (u, Esbozar la carac~cr,sua de rranskrcncia dc tensin del cmx~ represenrado El transistor
tiene p,= 120, p,= 2 e I,, = 0. El diodo Zener est tarado a V, = 5,6V.
(h) Esbozar 1, en funcin de V,.

3-35. Un trans~stur he pulana a 1, = 0,s mA y tiene p,, 150.


(a) Determinar R,,,y I-~a temperatura ambiente.
(h) La resistencia de entrada h,( = 7,6 ka. Hallar rh.
(c) Se emplea una resistencia de carga R, = 2 kR y el transistor se exuta de un.i fuente de 30W.
Estimar la ganancia de tensin.
3-36. El 2N2222A se polariza a 1, = 20 mA y Vcby = 5V. La tensin de suministro es de IOV.
(0) Estimar el valor de p,, para el transistor.
(h) Una seal de entrada i,(r) = 20 sen w 0 se superpone al nivel de reposo. Estimar la
componente de seal de la corriente de colector.
3-37. (a) Dibujare1 equivalentedepequea seal,vlido a baja frecuencia, del circuito de 1aFig. 3-25(a)
(h) Deducir una expresin de la resistencia vista entre base y tierra.
3-38. Kepetir el Prob. 3-37 para el circuito de la Fig. 3-26(u).
3-39. El transistordelcircuitodel Prob. 3-28 tiene p, = 100 y p,, = 100. La corriente de saturacin nlvcru
es despreciable. La tensin Early V, tiendp a Infinito y la resistencia de dispersin de la base rh = 0.
La tensin V, = 3.75 + AV,V.
(u) Dibujar el modelo de pequeti seal de circuito a baja frecuencia, incluyendo los valores
numricos de los parmetros del transistor.
(hJ Emplear(u) para calcular la variacin AV,, de V,, motivada por AV,.
(c) Calcular AV,, para AV, = 0,25V.
(d) Comparar los resultados de (c) con un anlisis en continua del circuito, para V, = 4 V.
Explquese cualquier diferencia.
3-W io) Dibujar el circuito equivalente de pequea seal, de la etapa en base comn de la Fig. 3-13.
(h) Calculara baja frecuencia la resistencia entre emisor y base (vista hacia el transistor).
3-41. (a) Dibujar el circuito equivalente de pequea seal, vlido a baja frecuencia, del circuito de la
Fig. 3-37.
Problemas 8.51

(b) Determinar V,,, dado V, = V, = 23V. Los valorzs de loa parmetros del tranristor son
6 = 125, r h= 0 y y= 1 MR. La fuente de corriente I,, = 0,2 mA, y R, = 250 ka.
3-42. Repegrel problema anterior, parte (b), para V, = 25 FV y Vz = 0.
3-43. Repetir el Prob. 3-41(b) para V, = 0 y V, = 25 FV.
3-44. Los parmetros de baja frecuencia y pequea seal del transistor en el circuito en colector cumr~
son: q, = 40 mu, p,, = 150, r,,+- y ;, = 0.
(u) Dibujar el equivalente de pequea seal de esta etapa.
(b) Determinar R,,, y R,,.
(c) Evaluar la funcin de transferencia V,,/V,.

J-45. El tranator del circuito representado est descrito en el Prob. 3-44. Determinar la reslsencia
eauivalente Rc,qde pequea wal del transistor en conexin diodo.

3-46. Sc unplra un trans~sror con b, = 100 en el circuito de la Fig. 3-36(u). Sendo V,, = 15V detemunar
el valor de R que hace que 1, = 0,2 mA.
3-47. Los parmetros de la Fig. 3-32 son: I!, = 50R, rx = 950Q Cx = 50 pF, Cp = 1 pF, ;. = 50 kQ y
x m= O,lU. Determinar, con los terminales c y e cortocircuitados:
(a) La relacin l(/I,> como funcin de la frecuencia.
(b) A qu frecuencia la relacin en (a) es igual ala unidad.
(c) La impedanciaZ,,,(s) vista entre los terminales b y e.

CAPTULO 4

4-l. El dispositivo del circuito representado eh una fuente ideal de corriente gobernada por tensin
definida porI = 3x101V,mA.
((IJ Esboar la caracterstica de salida (1, en funcin de V,) para 0 < L, 5 3V con mcremrntos de
0,5v.
ch) Siendo V, = 1,W. determinar 1, y VI.
(c) Si V, es un impulso positivo +xl ha de ser su amplitud para que este circuito acte como un
interruptor gobernado?

J-2. Con rclauor~ al dispositivo y circuito del Prob. 4.1,


(u) Esbozar la caracterstica de transferencia V, en funcin de V,.
(h) Siendo V, = 1,5 + 1,O sen wf esbozar un ciclo de la onda de V?.
(c) En las condiciones de (h) esbozar un ciclo de la tensin u travs dc la IWS~~IICM de 2 ka.
(d) Si se observa Vz en un osciloscopio con el selector en AC (en alterna), esbozar un ciclo dc la
onda que se ver.
a-3. Una fuente de corriente gobernada por tensin, definida por II = 2,5 x 10~ V, + 5 x 10 I V2 se emplea
en el circuito del Prob. 4-l. Repetir el Prob. 4.2.
4-J. Consideremos un dispositivo de canal n con una concentracin de donadores de N, tomos/cm y
una puerta fuertemente dopada con una concentracin de aceptadores de N, tomos/cm siendo
N,N,,, con una unin canal-puerta abrupta. Supongamos que V,, = 0 y que el potencial de
contacto de la unin es muy inferior a l V,, 1. Demostrar que con la geometra de la Fig. 4.6
1V,, / = qN,,u/2&, siendo E la constante dielctrica del material del canal, y q la magnitud de la
carga electrnica. Hallar V,, para un JFET de silicio de canal n con u = 2 pm, N,, = 7~10~
tomos/cm y E, = 12.
4-j. Deducir la Ec. (4-l)
4-6. (0) Calcular lil<,(>~,a v,, = 0 V para el JFET cuyas caractersticas sean las dada\ en la Fig. 4.7.
ib) Un JFET de silicio de canal n tiene la estructura mostrada en la Fig. 4.6. Hallar lidio\, para V,,,
= 0, siendo L = 10 pum,a = 2 pm, W = 8 pum y V,, = 4V. (Sugerrncio: Emplear la expresin
de V,, en el Prob. 4.4.)
J-7. El JFET cuyas caractersticas se dan en la Fig. 4-7 se emplea en el circuito de la Fig. 3.19. Lo5
valores de los elementos son: V,,,>= 24 V, R,>= 4 kR, R, = 1 kQ y R,>lOO kR. Determinar V,>,, I,>
y va.
4-n. El circuito de la Fig. 4-19 emplea el JFET de la Fig. 4.7.
La tensin suministro es de 30V y se desea tener V,,, = 17,5V e I,, = 2.5 mA. Determinar R, y
4.
4-9. Un JFET de canal ,I tiene V,, = 5V e I,,,, = 12 mA. La tensin de alimentacin disponible es de
12V. Empleando un circuito anlogo al de la Fig. 4-19 para un elemento de canalp determinar R,,
y R, para que I,, = 4 mA. y V,,, = 61;.
J-IU. Un JFET de canal n tiene V,>= 5V e I,,, = 12 IA y se emplea en el circuito representado. Los
valores de los parmetros son V!,,, = IX!,R,= 2kR,R,>=2kR,R,=400kR,yR2=90kR.
Determinar V,,, e I,,.
4.11. (u) Se cambia la resistencia Rl del Prob. 4-10. i,Cul debe ser el nuevo valor de RI si /, = 8 mA!
(h) Con los mismos valores dados para el Prob. 4-10, pero cambiando el de V,,,,, hallar el nuevo
valor de ste para que I,, = 8 nrA.
(c) En las condiciones de (h) Cul es el nuevo valor de V,,,?
Problemas 8.59

I
1
/
4-1.2.
SC
en~pka
el
CI~UII~O
&l3.
R,,R,yR,.
del Prob. J-IU para tener] u = 2,5 VIA, y Vijs = 17,5V con un suminisrro de
30V para el JFET de la Fig. 4.7. La resistencia R, = R, 11R, 2 100 kR y R, = 1,2 ka. Determina

El MOSFET descrito por la caracterstica de transferencia de la Fig. 4-13 se emplea en el circuito


de la Fig. 4-21(u). Los valores de los parmetros son: V,,, = 18 V, R, = 50 kR y R, = 10 kR
(a) Determinar la relacin R,/R2 que hace 1, = 0,l mA.
1 (h) iCul es el valor de VDS?
-5-IJ. En el circuito de la Fig. 4-21 se emplea un transistor de acumulacin NMOS con k = 1 mA/V,
W/,C = 2 y VT= 4V. La tensin de suministro es de 12V, R, = R, = 2 ka, R, = 100 kR, y
R, = 300 ka. Determinar:
(al ,, Y V,
(h) El nuevo valor de R, necesario para mantener el valor de 1, del apartado (a) si W/L = 4.
J-15. Un transistor de acumulacin PMOS con VT = IV, W/L = 1, y k = 0,2 mAIV2 se emplea en un
circuito anlogo al de la Fig. 4-21(a). La tensin de suministro es de 9V, R, =240 ka, y
R, = 120 k0.
(a) Determinar R, para que VG, = 2V.
(h) Determinar el valor de R, necesario para que V,, = 3V.
(c) Hallar el nuevo valor de R, que mantiene el valor de 1, en (a) y (b) con V, cambiada a 1,Sc.
Supngase que todos los dems parmetros conservan los valores dados o calculados en (a)
I ye* (b).
A-16. Los transistores Ql y Q2 del circuito representado son idnticos, con las caractersticas dadas en
la Fig. 4-24(b).
(a) Determinar la corriente de drenaje en Ql y la tensin Vez.

/
I (b) iCul es el valor de V,,,?
j-17. (a) Repetir el problema anterior, parte ((1) suponiendo que la relacin de aspecto W/L de Q2
disminuye en un factor 4 sin cambiar Q 1.
(b) Repetir lo anterior suponiendo que la relacin W/L de Ql disminuye en un factor 4 sin variar
/ Q2.
-l-18. Las caractetisticas de Ql y Q2 empleados en el circuito representado son las dadas en las Figs.
4-24(b) y 4-26 respectivamente. Determinar V,,, y V,>(?.
4-19. Repettr ~1Prob. 4-18 uponirndu que la relacin de aspecto WIL dc Q2 disminuye en un tactur 5
sin variar Q 1.
1-20. Repetir el Prob. 4-18 suponiendo que la relacin W/L de Ql disminuye en un factor 5 sin variar
Q2.
d-21. Repetir el Prob. 4-l 8 para el circuito representado
+6

P.Ob. 4.21

4-22. Repeur el Prob. 4-21 suponiendo que:


(a) La relacin W/L de Ql disminuye en un factor 5 sm variar Q2
(h) La relacin W/L de Q2 disminuye en un factor 5 sin variar Q 1.
(c) Las relaciones W/L tanto de Ql como de Q2 aumentan en un factor 3.
4-23. En el circuito representado, Ql, Q2 y Q3 son transistores idnticos, de las caractersticas de la Fig.
4-12. Determinar I,, y V,,.
ib v
P
Problemas 861

1-24. Repetir el Prob. 4-23 suponiendo que se intercambian las conexiones de la reswencia de 10 kU Y
de Q2.
4-25. Repetir el Prob. 4-23 suponiendo que se sustituye Q2 por un transistor de detlexin conectado
corno resistencia y con las caractersticas de la Fig. 4.26.
I
J-26. En el circuito del Prob. 4-23. 01, Q2, y Q3 son transistores idnticos con k = 40 pA/V, W/L = 5
y V, = I ,O V. Determinar R,, para que V,, = 3,5V.
4-21. Esbozar la funcin de transferencia V,sen funcin de y, del circuito de la Fig. 4-24(u) con Vo0 = 6V
El transistor Q 1 tiene las caractersticas dadas en la Ftg. 4-26(h) y Q2 es un transistor idntico con
1 4.28. una relacin W/L de 0.4 veces la de Ql.
Los transistores del circuito de la Fig. 4-24(o) tienen k = SOpA/V y V, = 1V. Las dimensiones de
la puerta de Ql son W = 50 PL y L = 5pm. Q2 tiene W = 10 prn y L = 5pm. Esboar la funcin
de transferencia V,, en funcin de V , para V,,,, = 51.
1
4-29. (a) Un circuito NMOS tiene la caracterstica de transferencia dada en la Fig. 4.30. Siendo
I, = 3,O + 0,25 sen W, esbozar la onda de \,, en un ciclo, vista en un osciloscopio con el selector
en DC (continua)
(h) Repetir lo anterior con el selector del osciloscopio en AC (alterna)
/ 4.30. (a) Repetir el Prob. 4-29 para la caracterstica de transferencia de la Fig. 4-25.
(h) La amplitud de la entrada senoidal se eleva a I ,25 V. Describir la onda de salida.
5-31. EL FET usado en el circuito de la Fig. 4-31 tiene V,>= 6V, Io,, = 15 mA y h = 0,02 V
polarizndose a I D = 6mA y V,, = 1OV.
(0) Dibujar el modelo de pequea seal del circuito abaja frecuencia
(h) iQu valor de R, se necesita si la amplitud de la componente de seriat de v,, debe sr de 10
veces la amplitud de LS,?
A-32. (0) Dibujar el equivalente de pequea ssial del circuito del Prob. 4.10.
(h) Determinar la resistencia de salida vista entre el terminal I y tierra.
I (c) Si R, = 0, la resistencia en (u) jaumenta, disminuye o se mantiene la nhma!
J-33. En el circuito del Prob. 4-10:
(0) Determinar la resistencia vista mirando al circuito entre el terminal 2 y tierra, a baja frecuencia.
(h) Calcular la resistencia en (a) para R, = 5 kQ Rq = 3 ka, R, = 240 kn, R, = 80 kR, g,,, = 2mU
yr,=SOkR.
,<
(c) Repetir (6) para R,, = 0.
4-34. El JFET del circuito representado tiene las caractersticas indicadas en la Fig. 4-32. Determinar
para I,,] = 2.5 mA la componente de seal de vg,debida a una seal de entrada vi2 sen wf mV. Los
valores de los parmetros son: R, = 100 kR, y l-d= 100 kR. Se supone que la corriente en continua
de R, es despreciable y que la frecuencia de la seal es suficientemente baja para que sea vlido
el modelo FET de baja frecuenha.
862 Microelectrnica moderna

4-35. (u) Dibujar el modelo de pequea seal y baja frecuencia del circuitu representado.
(h) Determinar R,,.
(c) Calcular R,, para R,,,= 1,O mU, rd = 50 kC2y R,, = 10 ka.

4-36. Kepctlr 21 Prob. J-35, partes (a) y (h) con la resistencia de deflexin representada.

4-37. (u) DIbuJaI el modelo incremental del circuito del Prob. 4. 35, vlido para alta frecuencias
(h) ,Cul es la capacidad equivalente vista entre drenaje y tierra?
4-38. (a) Dibujar el modelo de alta frecuencia del circuito del Prob. 4-36.
(h) Determinar la capacidad equivalente vista entre fuente y tierra.
4-39. (a) Dibujar el equivalente de pequea seal de la etapa MOSFET representada en la Fig. 4-2Yu.
(b) Deducir la Ecuacin (4.19).
(c) Deducir una expresin vlida a baja frecuencia que relacione las amplitudes de las seales de
salida y de entrada. (Sugewncia: Pueden resultar tiles los resultados del Prob. 4.36.)
4-40. Esbozar la caracterstica de transferencia del circuito representado con V,, = 6V y siendo Q 1 y Q2
transistores idnticos descritos por la Fig. 4.12.

4.41. (n) L]lbuJar el mude10 de pequea sei~al y baja frecuencia del CLKUI~O del Pr& 4-U
(b) Deducir una expresin de la componente de seal de v,, debida a la seal de entrada ,
Problemas 863

J-42. Los transistores NMOS y PMOS de la Fig. 4-38 son complementarios y nenen K = 20 pA/V
W/L = 1 y VT= IV. Esbozar la caracterstica de transferencia v,, en funcin de v, para V,, = 5V.
4-43. En la Fig. 4-38 el transistor NMOS tiene k = 15 pA/v2, W/L = 10 y VT = 2 V, y el PMOS tiene
K, = -1,0 V, W/L = 10 y k = 15 pA/V. Esbozar la caracterstica de transferencia v, en funcin de
v, para V,, = 6 V.
4-44. Los transistores de la Fig. 4-38 son elementos complementarios cuyos parmetros se dan en el
Prob. 4-42. La relacin de aspecto W/L se cambia a 2. Esbozar la caracterstica de transferencia
del circuito.

CAPTULOS
5-l. Citar por orden los paso> requerIdu:, cn la fabncauon de un mns~swr mte&rado de siluxo por el
mtodo de difusin epitaxial. Esbozar la seccin transversal despus de cada crecimiento de xido.
5-2. (a) Consideremos un transistor yr~ integrado Ql construido sobre un sustrato S de tipo p.
Demostrar que entre los cuatro terminales E, B, C y S existe un transistorpnp Q2 adems del
Ql.
(h) Si Ql est en su regin activa, ;,en qu modo trabaja Q2? Explquese.
(c) Reptase la parte (h) con Ql en saturacin.
(d) Reptase la parte (h) con Ql en corte.
s-3. Esbozar (en forma de circuito) las cinco conexiones bsicas de diodo para circuitos integrados
iCul de ellas tiene la menor cada de tensin directa? y jcul la mxima tensin de ruptura?
5-4. Una oblea de 25pm. de espesor ha sido dopada uniformemente con fsforo ala concentracin de
10 cm~, ms boro a la de 5x10 cm . Hallar su resistencia pelicular.
S-5. (u) i,Cul es la longitud total requerida para fabricar una resistencia de 20 kR de 25pm de anchura
si R,,= 200 n/cuadro?
(h) iQue ancho es necesario para construir una resistencia de 5 k n cuya longitud sea de 25 bm!
j-6. Un condensador de pelcula fina tiene una capacidad de 0,4pFI(pJ. El espesor de la capa de
SiO, es de 500 A. Calcular la constante dielctrica relativa E, del SiO!.
5-l. Se fabrica un condensador MOS con un espesor de xido de 500 A. ,Qu superficie de chip se
necesita para tener una capacidad de 200pF ? La constante dielctrica relativa tudel SiO, es de
3s.
5-8. Hallar. para el circuito representado: (u) el mnimo y (h) el mximo nmero de regiones aisladas.

5-Y.
IH
2
3
4
QZ

tv"b. j-8
iu) ,Cual ae1 nmero mnimo de regiones aisladas necesarias paraconseguiren formamonoltlca
la puerta lgica representada?
(h) Dibujar una disposicin monoltica de la puerta en la forma de la Fig. 5.1.
864 Microelectrnica moderna


PIb. 5.9 2

S-IU. Repetir el Prub. anterior para el amphficador dhxencial representado.

Prh 5-I b f &b. 5.11


6

S-11. Para el cmu~o representado: (u) hallar el mimmo numero de reg:lones aisladas, y (h) dibujar una
disposicin monoltica.
(Nota: En los problemas 5-12 a 5-22 sealar la letra de la premisa que se considere conecta.)
5-12. El nmero tpico de difusiones empleadas para construir un circuito integrado de silicio con
difusin epitaxial es: (u)6, (b)3, (c)4, (d)5, (c)2.
5.13. La capa enterradan en un transistor npn fabricado sobre un sustrato tipo p de un circuito integrado:
(a) Se usa para reducir la capacidad parsita,
(b) est dopada p,
(c) est localizada en la regin de emisor,
(d) est dopada ni.
S-14. Se emplea en los circuitos integrados el crecimiento epitaxial:
Problemas 865

tu) Porque origina capaciadea parsitas pequeas,


(h) porque forma uniones p en oposicin, aisladora,,
(c) para crecer un cristal de silicio dopado n sobre un ~u~trato dz cns~al upop.
(d) para crecer selectivamente un cristal de silicio dopado p de resistividad dada wbre un ~u~traw
de distinta resistividad.
5-15. En los circuitos integrados se utiliza el Si0 :
(a) Para controlar la localizacin de la difusin y para proteger y aislar la superfiue de silicio,
(h) porque facilita la penetracin de los difusores,
(<,) para controlar la concentracin de los difusores,
(d) por su elevada conduccin trmica.
5-16. Cuando se practica una abertura en el SiO, y se inuoducen impurerab, sta se dlfundlrn
verticalmente:
(u) A mayor distancia que lateralmente,
(h) a la misma distancia que lateralmente,
(c) a menor distancia que lateralmente,
(d) a doble distancia que lateralmente.
5-17. El sustrato tipop en un circuito monoltico debe wnectarse:
(a) A cualquier punto a tierra en continua,
(h) a ningn sitio; se deja flotante,
ic) a la tensin ms positiva del circuito,
Id) a la tensin ms negativa del circuito.
S-18. La resistencia pelicular de un semiconductor es:
(0) Un parmetro cuyo valor importa en una resistencia de pelcula delgada,
(h) una caracterstica cuyo valor determina el rea requerida para un valor dado, de una capaudad
integrada,
ic) una caracterstica importante de una regin de difusin, especialmente si se usa para formar
resistencias difundidas,
id) un elemento parsito indeseable.
SIY. En los circuitos integrados se requiere un aislamiento para:
(a) Minimizar la interaccin elctrica entre los componentes del circuito,
(h) simplificar las interconexiones entre dispositivos,
(c.1 proteger los componentes frente a daos mecnicos,
(d) proteger el transistor de posibles elevaciones trmicas.
5ZU. La mayor parte de las resistencias de un circuito integrado monoltico se forman:
(a) Durante la metalizacin,
(h) durante la difusin de emisor,
(c.) mientras crece la capa epitaxial,
(d) durante la difusin de base.
S-21. En un circuito integrado monoltico:
(u) Cada transistor se difunde en una regin de a~kuniento separada,
(h) pueden construirse resistencias y condensadores de cualquier valor,
(c) se eliminan todos los problemas de aislamiento,
(d) todos los componentes se fabrican sobre un solo cristal de silicio.
5-22. Repetir el Prob. j-16 suponiendo que las impurezas se han introducido por implantacin de iones.
523. Citar,porsuorden, lospasosnecesariosparalafab~cacindeun transistordeacumulacinNMOS.
Esbozar la seccin transversal despus de cada paso de oxidacin.
524. Repetir el Prob. 5-23 para un transistor de deplexin.
5-25. Dibujar la disposicin de los circuitos representados.
X66 Microekcmhzica moderna

CAPTULO 6

6-1. Cunwnir los qyentes nmeros decimales a binanos.


(a) 127, (b) 360, (c) 1066.
6-2. Repetir el Prob. anterior para:
(a) 222, (b) 302, (c) 1776.
6-3. Convertir los nmeros decimales del Prob. 6-l a numeros del sistema de base 8.
6-4. Convertir los nmeros decimales del Prob. 6-2 a nmeros del sistema de base 16.
6-5. Expresar, para las ondas representadas, A, B y C como nmeros binarios, suponiendo que:
(a) se emplea un sistema de lgica positiva,
(h) se emplea un sistema de lgica negativa.
Problemn 867

4 8 I P,Ob.
6.5
6-6. Supunga~~~o~que el mtenuptor de la Fig. 6-l est gobernado por una tensin Y, estarh uxrado ~1
v = V( 1) y abierto si v = V(0). Cuando est cerrado, el interruptor tiene R,,, = 5Ofi, y cuando est
abierto se puede representar por R,,, = 50 kfi, Determinar el campo de valores de R que garantice
que V(O)<O,ZV y V(1)>4,5V.
6-7. Repetir el Prob. anterior para V(0) 5 0,3V y V( 1) > 4,7V.
6-8. El circuito de la Fig. 6-l se emplea tal como est descrito en el Prob. 6.6. El valor empleado para
R es de 5 ka. Determinar:
(a) el valor mnimo de R,,, con el que V( 1) > 4,8V.
(h) el valor mximo de R,, con el que V(0) 5 0,2V.
6-Y. Las ondas del Prob. 6-5 son las tres entradas auna puena UK de lgica positiva.
(a) Esbozar la onda de la tensin de salida de la puerta.
(b) Escribir la tabla de la verdad de la puerta.
6-ltl Repetir el Prob. 6-9 para una puerta de lgica negativa.
6-11. Las ondas del Prob. 6-5 son las tres entradas a una puena IUANUde lgica posittva.
(a) Escribir la tabla de la verdad de una puerta AND de tres entradas.
(b) Esbozar la onda de tensin de salida con las entradas dadas.
6-U. Repetir el Prob. 6-11 para una puerta AND de lgica negativa.
6-13. Las tres entradas mostradas en el Prob. 6-5 alimentan un inversor (puena NUT). Las sajas del
inversor se emplean como entradas auna puerta AND de lgica positiva:
(a) Esbozar las ondas de salida de la puerta AND
(b) Qu operacin lgica se cumple en las entradas A, B y C?
6-14. Repetir el Prob. anterior suponiendo que las salidas del inversor son las entradas a una puerta OR.
6-15. La onda C del Prob. 6-5 se introduce en un inversor. La salida del inversor y A y B son las tres
entradas de una puerta AND.
(a) Esbozar la onda de salida de la puerta AND
(b) iQu operacin lgica se realiza?
6-16. Las ondas dadas en el Prob. 6-5 se aplican a una puena NORde tres entradas. Esbozar la onda de
salida de la puerta.
6-17. Repetir el Pr& anterior si las ondas se aplican a una puerta NAND.
6-18. Construir puertas AY, ORy VOTempleando slo puertas UAND de dos entradas.
6.19. Mediante el lgebra de Boole, comprobar:
(u) (A+B)(A+C)(B+C)=AB+AC+LIC
(h) (A + B)+ + C) = AC + AE-
(c) (AB+BC+AC)=AB+BC
6.20. (u) Empleando slo puerta NORcon~~rur un ucutu log~u que amrplan IUI da wlcmblus de Id
Ecuacin de Boole del Prob. 6-19(h).
(h) Repetir el punto anterior usando slo puertas uuo.
(c) iCul de los dos circuitos (u) o (h) emplea menor nmero de puerta,!
6.21. Repetir el Prob. 6-20 para la ecuacin de Boole del Prob. 6-19(c).
6-22. (a) Usando slo puertas NORconstruir un circuito Exclusivo-OR
(h) Repetir el punto anterior empleando puertas ~ND.
6-23. Un semisumador es un circuito lgico de dos entradas y dos salIda> WII Id h&uxntc [ahla de la
verdad:

Entr. 1 Entr. 2 Salida 1 Salida 7


0 0 0 0

I t 0

I 0 , 0

t I ll I

Formar este urcutto empleando:


(0) puertas &\IAN,
(h) puertas NOK.
6-24. El circuito representado es un mversor de Iglca posmva que exc~a f\ arcuttos idenruz cn
paralelo. El interruptor gobernado tiene R,,, = lOOR, R,,, = 50 XQ y R,,, = 200 kR. Determinar el
an-out (nmero de salidas en abanico). Los niveles lgicos son I(O) 5 0.51 y V( 1) > 3V.

6-25. En el ZIICUI~Udel problema anterior R,,, = 0.5 kD Y K,,, = 100 kQ, siendo los niveles lgico?,
1(0)~0,5vyv(1)>2,5v.
(0) i.Cul es el mnimo valor de R,,, para que el fan-out sea IO?
(h) Dado el valor de R,,, hallado en (0). i,qu efecto tiene la disminucibn de R,,,, sobre el lan-out
y los niveles lgicos!
(<) Repetir(h) si R aumenta.
Problemas 869

6-26. En el interruptor gobernado del c~cuito reprcxntado est cerrado con I, = V( 1) y abierto con
I = (0). Cuando est cerrado, el interruptor se caracteriza por R,,,, y por R,,,, si est abierto. La
t&% de entrada ha estado en V(1) durante un largo rato. En el instante , = 0, I, pasa a V(O).
Deducir una expresin para el retardo de propagacin t,,,,

b-Ll. La~znson i, en 21urcuitodel problema anterior ha sido de V(O) dumnte un rato largo. En el inaute
f = 0 pasa a V( 1). Deducir una expresin para t,,H,.
6-28. Los valores de los parmetros del circuito descrito en el Prob. 6-26 son I,>,>= 5 V. R = 10 k!J
C = 50pF, R,, = 41 IC1 y R,, = 40 /cCl.En el instante r = 0, V, pasa de V(0) a V( 1) yen el instante
i = 0,2 ps, vuelve a V(0).
(u) Determinar el retardo de propagacin (promedio).
(h) i,Cul es la corriente instantnea mxima que el interruptor es capar. de soportar!
(c,) ;,Cul es el mnimo tiempo de ciclo de este circuito?
b-29. Supongamos que el intenuptor del Prob. 6-28 est cerrado la mitad del tiempo y abierto la otra
mitad.
(CI) Determinar la potencia media disipada por el circuito durante un ciclo.
(h) Calcular el producto retardo-potencia.
6-30. En el circuito de la Fig. 6-20(h), tanto Ql como Q2 tienen k = 25pA/V y Vi = I .SV. Las rclawxw
de aspecto son W/L = 5 pra Ql y WIL = I para Q2. La tensin de suministro es V,,,, = SV.
(u) Esbozar la caracterstica de transferencia de la puerta.
(h) Determinar V,,,, V,,,,, V,,, y Vi,#y el margen de ruido.
6-31. El inversor del Prob. 6-30 est sujeto a variaciones de fabricacin.
Repetir el Prob. anterior &ado que k varia en + 20%. Sealar los cambios de actuacin.
6-32. Los transistores dc I Fig. h-20(h). son idnticos, con V, = 1,25V, siendo kW/L de IOOpA/V par
Ql y de SOo/V para Q2. y la tensin de \uministro V,,,, = Sc.
(u) Esbozar la caracterstica de transferencia de tensin.
(h) Calcular el margen de ruido.
-33. Debido a variacione\ en la fabricacin V, vara en ?0,2SV. Repetir el Prob. 6-32 con ata.\
variaciones de V,
y Malar las diferencia\ en el comportamiento del circuito
6-34. Las tensiones de alimentacin de la Fig. 6-23(u) son: V,,,, = 5V y PC,<,= IOV. Q 1 y Q2 son idntico?,
teniendo kW/L = 1 mA/V y V, = 5V. Esborar las caractersticas del circuito y calcular cl margen
de ruido.
6-35. (u) La relacin de aspecto de Q2 en el circuito del Prob. 6-34 aumenta en un 10%. iCul es el
porcentaje de variacin del margen de ruido?
(h) Repetir el punto anterior en cl supuesto de que vare slo la relacin de aspecto de Ql en un
10%.
870 Microelectrnica moderna

6-36. La polarizacin de puerta VG. del circuito de las Fig. 6-23 vara de 7 a 12V. Trazar las curvas del
margen de mido en funcin de VG,.
6-37. En el circuito de la Fig. 6-24(a) el transistor de acumulacin tiene kW/L = U,l mA/V* y VT = 1,5V.
El MOSFET de deflexin tiene kW/L = ZOpA/V y VT = - 1,SV. Siendo V,, = 5K
(a) Esbozar la caractetistica de transferencia de tensin.
(b) Calcular el margen de mido.
6-38. El circuito de 1aFig. 6-24(a) emplea el Q 1 descrito en el Prob. 6-37, teniendo V,, = 5 V. El transistor
de deplexin tiene kW/L = 25pA/V2 y su tensin umbral vara entre 0,5 y 2,5V. Trazar las curvas
del margen de ruido en funcin de V, de Q2. Tomar incrementos de 0,5V.
6-39 El circuito de la Fig. 6-24(a) emplea el Ql descrito en el Prob. 6-37 y una alimentacin V,, = 5V.
El MOSFET de deplexin tiene VT= - 1,5V. y k = lOpA/V.
(a) Esbozar las caractersticas de transferencia con relaciones de aspecto de Q2 de 1, 2,5,5,7,5,
y 10.
(b) Trazar curvas del margen de mido en funcin de la relacin entre kW/L de Ql y kW/L de Q2.
6-40. Determinar l,u1 del circuito del Ejemplo 6-5.
6-41. Calcular el retardo de propagacin medio del circuito descrito en el Prob. 6-37.
6-42. Calcular, para el circuito del Prob. 6-38 con VT= - 1 ,OV el producto retardo-potencia. Supngase
que la salida es alta durante el 50% del tiempo.
6-43. Calcular el producto retardo-potencia del circuito del Prob. 6-30. Supngase que la salida es alta
durante el 25% del tiempo.
6-44. Consideremos que en la puerta NOR de la Fig. 6-28(a) los dos excitadores tienen V( 1) = 5V. En
efecto, los MOSFETs estn en paralelo. Los MOSFETs utilizados quedan descritos en el Prob.
6-37, y V,, = 5V. Determinar la corriente de drenaje de cada transistor. (Sugerencia: ya que los
excitadores estn en paralelo dibujar una caracterstica compuesta del conjunto y construir la recta
de carga.)
6-45. (a) Consideremos dos inversores NMOS aislados. La entrada de uno de ellos es A, y la del otro
es E. Se conectan entre s las dos salidas, y la salida comn es Y. j,Cul es la relacin lgica
entreA,BeY?
(b) Dibujar el circuito y demostrar que la lgica en (a) queda satisfecha. Prescindir de una carga
FET ya que las cargas estn en paralelo.
6-46. Repetir el Prob. anterior empleando puertas NAND de dos entradas en lugar de los inversores.
6-47. (a) Se conectan en cascada tres inversores teniendo cada uno de ellos la caracterstica de
transferencia de tensin representada. Esbozar la caracterstica de transferencia de la cascada.
(Sugerencia: se necesita tomar varios valores entre 2,45 <v,,<2,55V.)
(b) Comparar la pendiente de la caracterstica de transferencia en la regin lineal de la cascada
con la de un inversor solo.
(c) Comparar los margenes de mido del inversor solo y de la cascada.

6-4X. hbupr el esquema del ctrcutto de una puerta NAND CMOS de dos entradas
Problemas 871

6-49. Si los inversores del Prob. 6-45 han sido fabricados con tecnologa CMOS Lpueden conectarse
entre s las salidas para tener la misma relacin lgica entre A, B e y? Explquese.
6-50. Consideremos el circuito de la Fig. 6-30(a) para el que se han descrito los MOSFETs en la Seccin
6-8. La tensin de entrada Y,vara linealmente con el tiempo alcanzando los 5V en 100~s.
(a) Esbozar la corriente en el circuito en funcin del tiempo.
(h) j,Cul es la potencia media disipada durante cada intervalo de 10Op.~?
6-51. Tenemos la representacin de la entrada al inversor CMOS de la Fig. 6-30(a) descrito en la Seccin
6-8.
(a) Determinar la potencia media disipada en un ciclo.
(h) Al disminuir T(aumentar la frecuencia) el resultado de (a) aumenta, disminuye o se mantiene
igual?
ti v
u,.
4

LI
Proll. 6.5, Y 7 I+b. 6.56

6-52. DibuJar cl circuito CMOS que cumpla la operaun lgica aplicada en el Prob. 6.46.
6-53. Consideremos la puerta de transmisin de la Fig. 6-32 con tensiones de control !401= 5V
V(1) = 5V, y una senoide con pico de 5V. Supngase que la tensin umbral es VT= 0.
(a) Comprobar que a la salida, con C = V(1) aparece la senoide completa,
(h) Demostrar que la transmisin queda inhibida si C = V(0)
(c) Repetir(a) y (b) dado VT = 2,5V. Sealar el campo de las tensiones de entrada con las que Q 1
y Q2 conducen.
(d) Supngase una tensin senoidal de entrada de 7,5V. de pico. Esbozar la tensin de salida si la
tensin gobierno es V(1).
(e) Repetir(d) dado que la tensin de gobierno sea V(0) y VT = 2,S
6-54. El BJT inversor de la Fig. 6-34(a) estdiseado con R, = 12ka, R, = 3kQ y V,, = 6V. La corriente
de saturacin inversa es despreciable.
(a) Determinar el valor mnimo de p, para saturar justamente el transistor cuando Y\ = V( 1) = 6V
(b) Suponiendo que la salida del transistor es V( 1) durante el 50% del tiempo, calcular la potencia
media disipada.
6.55. El transistor empleado en la Fig. 6-34(a) tiene 50 < p, < 150. La tensin de suministro es de 5V,
V(0) = 0,3V, y V(1) = 4,SV. Un impulso de corriente de salida debe ser de 10 mA.
(a) Determinar R, y R, de forma que el transistor quede justamente saturado al p, mnimo.
1 (h) Suponiendo que el transistor conduce durante el 5% del tiempo, determinar la potencia media
/
disipada por la puerta. Tmese p, = 150.
(c) Larespuestaa(b) jes significativamentedistintasi p, = 50? Justiticarlarespuesta(sin resolver
nuevamente el problema).
/ 6-56. El inversor representado debe excitar N puertas idnticas.
! (a) Siendo p,= 40 qu valor de v,,= V(1) satura justamente el transistor?
(b) Dado v, = V(0) = 0,3V, calcular N suponiendo que cada una de estas etapas est justamente
saturada.
I 6-57. El inversor del Prob. 6-55 debe excitar N puertas idnticas.
, (a) Calcular el mnimo valor de p, del transistor si un transistor en conduccin est justamente
812 Microelectrnica moderna

saturado.
(h) Hallar el valor de v, = V( 1)
(c) iCul es, aproximadamente, el margen de ruido?
6-58. En el inversor de la Fig. 6-34(a) se emplea un transistor 2N2222A con C,, = IOV, R, = 5OW y
R, = 50kR. Trazar la caracterstica de transferencia de tensin de la puerta para 0 < \, 5 IOV.
6-59. El circuito representado se emplea a veces como inversor en chips de lgica TTL. Los transistores
empleados son idnticos, con p,= 25 y PR= OS. Con V(0) = 0,2V y V(l) = 3,5V:
(a) Comprobar que el circuito se comporta como un inversor.
(h) Determinar las corrientes de base y de colector en cada transistor para v$= V(0) y vs= V( 1)
(0 i,Cul es el fan-out del circuito?

6-60. Hallar la caracterstica de transferencia de tensin del circuito del Prob. 6-59.
6-61. Entre el colector y la base del circuito del Prob. 6-56 se conecta un diodo Schottky. Esbozar la
caracterMca de transferencia de tensin para 0 5 Y, < 5V, e indicar a estima el margen de ruido.
6-62. El transistor del circuito representado tiene p, = 50. Determinar vc,y las corrientes de colector, base
y diodo para Y, = V( 1) = 4V.

6-63. Dado b((J)=WV. en el circuito del Prob. 6-62, determmar el tan-out.


6-64. (u) Comprobar que con el circuito representado Y = ABC
(h) Si p, = 25 j,cul es el fan-out?
(c) iCul es la potencia media disipada por la puerta suponiendo Y = V(l) durante el 50% del
tiempo!
Problemas 873

-LT * Pruth 6.64

6-65. (u, Calcular b,, ,,//,,,para la puerta NAND TTL de la Fig. 6-37 para un funcionamiento apropIadu.
Supngase que Q2 y 93 se saturan si todas las entradas son V( 1) y que p, = 0,I para Ql.
(h) Repetir (a) suponiendo que Q2 se mantiene en la regin activa y Q3 se satura cuando todas
las entradas son V( 1).
6-66. (u) Esbozar la funcin de transferencia de tensin de la puertza NAND TTL de la Fig. 6-37. Indicar
cuidadosamente el estado de cada transistor en cada una de las partes de la caractetstica. Tomar
para todos los transistores & = 25 y p, = 0,2
ib) Determinar el margen de rudo.
(c) Determinar el fan-out.
6-67. La puerta NAND TTL representada emplea una etapa en totem modificada. Supngase que las
entradas proceden de las salidas de puertas idnticas y que p, = 20 y p,= 0.5.
(a) Dado A = B = C = V(l), determinar la corriente en cada resistencia, en cada colector y en cada
base. Calcular la tensin respecto a tierra de cada base y de cada colector. Comprobar que Q5
est en la regin activa directa.
(h) Repetir(a) para el caso en que por lo menos un nivel lgico sea V(0). Comprobar que Q5 est
en saturacin.
(c) Determinar los niveles lgicos.
(d) Determinar el fan-out.

Iruh. 6.67
6-68. (a) En el circuito representado en la parte (a) de la figura, v* = V( 1) = 5V durante un rato largo
En el instante f = 0, vr = V( 1) = 0,2V. Determinar el tiempo de subida de v,,.
(h) Parareducirel tiempode subidade (a) se aadeen paralelocon laresistenciade 5kRel circuitc
sealado con trazo mas dbil en la parte (h) de la figura. Explicar cmo trabaja el circuito 4
por qu es deseable reducir el tiempo de subida.
(c) iPor qu la simple sustitucin de la resistencia de 5kR por otra de 0,5kR es una forma eficaz
de reducir el tiempo de subida?

P.Ob. 8-M (b)


6-69. La salida de la puerta TTL de la Fig. 6-38 queda accidentalmente cortuwcuitada a wxra.
Determinar la corriente de cortocircuito, dado que p, = 20 y que:
(a) Todas las entradas estn a V( 1).
(h) Por lo menos una entrada est a V(0).
6.70. Las dos entradas de la puerta TTL estn unldas entre SI tal como queda representado. Los
transistores son idnticos con p, = 0,5.
(0) Detehnar P,,,,,,,,para tener un funcionamiento correcto. Supngase que Q2 y Q3 se saturan
con Cr,= V( 1).
(b) Repetir (a) suponiendo que Q2 no se satura.
+5 v
p ,
r I

6-71. (u) Esbozar la caracterstica de transferencia de tensin del circuito del Prob. 6-70. Supngax
f3, = 25.
Problemas 875

(h) iCUl es el fan-out?


(c) j,Cul es, aproximadamente, el margen de ruido?
6-72. (a) Deducir la caracterstica de transferencia de tensin de la salida OKde la puerta ECL de la Fig
6-47.
(h) Calcular el margen de ruido.
6-73. Repetir el Prob. anterior para la salida NOR.
6-74. Deducir los mrgenes de ruido correspondientes a los puntos de pendiente unidad de la cotiente
del interruptor. (Sugerencia: Esto se puede dar analticamente empleando la relacin exponencial
de 1,; e Ic,.>
6-75. (a) Para la puerta ECL bsica de la Fig. 6-47 determinar V(0) y V( 1) teniendo en cuenta las
corrientes de base. Tmese p, = 50.
(b) iCules son los mrgenes de ruido?
6-76. Demostrar que cuando Q2 est en conduccin, L,, de la Fig. 6-45(a) es mayor que l,, (con Ql en
conduccin)
6-77. Para el circuito representado, V,, = 5V, V, = - 1,2V y vr es la salida de una puerta idntica. Los
niveles lgicos son V( 1) = - O,XV, y V(0) = 1,6V. La corriente mxima en cualquier transistor es
de 6 in.4. Supngase que @>l, con lo que se pueden despreciar las corrientes de base. Determinal
los valores de las resistencias R,, R,, R,, R, y R,

1 I 1
d
VEE &b. 6.77

CAPTULO 7

7-1. (a) Indicar cmo llegar a S,,de la Ec. (7-l) con puertas AND, OR y NOT.
(h) Comprobar que la suma S,,de la Ec. (7-l) para un sumador completo puede ponerse en la forma

Sr =4 @ BS<@ C#, /
7-2. (a) Hagamos, por conveniencia A,, = A, B,, = B, C,, , = C y C,, = C.
Mediante la Ec. (7-4) para C demostrar que
F=BC+CA+AB
(b) Calcular D = (A + B + C) % y demostrar que Smde la Ek. (7. 1) viene dado por
S,,= D + ABC.
7-3. ~MkKIm 1) slslema digital de I&~a mayoritaria. Hay tres entradas A. B y C. La sa,,&, Y es
I@XII a uno si dos o tres entradas so 1,
(u) Escribir la tabla de la verdad.
01) A partir de esta tabla obtener la expresin de Boole de Y.
(c.1 Minimizar Y y mostrar el diagrama de bloques lgico.
7-J. EI EDIPO empleado para sumar dos nmeros en paralelo est limitado por el tiempo necesario
Para Propagar el at?%tre a Irak% de la informacin. Este tiempo de propagacin se puede evitar
generando a seal de WKS[~~apropiada. Demostrar que si se suman dos informaciones de 4 bit
CA,. AZ.A,, A,, YB,, B,, B,, B,,, siendo A, el bit ms significativo) el arrastre C, viene dado por

r, = c- ,(BuA)(B,A,)(B,A,)(B,A,) + (Ao + E,)(B,A,)(B,A,)(B,A,)


~-- ~- __
f CA, + ~,)(&AdB,A,) + (AZ + B,KB,A,) + (A, + Ex)

donde C e\ el U~~stre de entrada. Obsrvese que la salida de arrastre viene dada icamete en
funcin de variables de entrada y no involucra arrastres intermedios. [Su,~e~.enc;a: Aplicar
repetitivamente la Ec. (7-5) cuatro veces (n = 0, 1, 2 y 3). Partir de Ia Ec. (7.5) en la forma
cn = Cn] (BA,) + 64n + Bnl
7.5. El sktema representado se denomina unidad verdadera complementaria. Comprobar ]a [abla de ]a
verdad.

7-6. (ci) Cumprobar que una puerta 0~.Exclusiva el una unidad verdadera comp~emelltar,a,
(h) Una entrada es A. la otra (de control) es C y la salida es Y. ;,Y ser igual a A cuando C = ] v
cuando C = Oy
7-7. (0) COnStmir la tabla de la verdad de un temirrestador binario, A menos B (correspondiente a]
semisumador de la Fig. 7-4. En lugar del arrastre C introducir el auxiliar P.
(h) Comprobar que el dgito D se satisface co una puerta OR-Exclusiva, y que P sigue la lgica
B pero no An
7-8. Consideremo, un comprobador de 8 bit. Justificar las conexiones C=C,., U= U y k?=,!? para cl
chip que manipula los bit ms significattvos. Su,~errw<~i~:Sumar 4 a ca& rubid& d la Fig.
(7-13). Extender la EC. (7- 12) para E y la Ec. (7-13) para C, para tener en cuenta los 8 bit,
7-g. Consideremos un comparador que tenga como entrada dos informaciones de ri bit y como salida\
E. C y D como en la Fig. 7- 13, pero los terminales de entrada E, C y ll no son accesibles. iQu
Igica adicional se necesitar para comparar da nmeros de 2n bit empleando dos comparadores
de n bit?
7-10. Consideremos dos informaciones de 5 bit, S,A,A,A,A,, y SpjBIB,B,,, \m~oS,
: y S,,los bit de +~o,
mientras que los dems indica la magnttud de la informacin. S (o S ) = 0 indica que Ia
ifolTnXib Cwrespo$ete es positiva y S, (o S,J = 1 indica que es Agativ. Disear un histema
Para co[nPaw ambas Informaciones. empleando u cotnparador de 4 bit para comparar la\
magnitudes y un comparador dc 1 bit para 1~ \igo\.
7-I 1. id) Cqxobar la siguiente identidad de Boole mediante una tabla de , crda<,
Y=(AOB)OC=A@(BOC)
no ebk limitado a tres entradas smo que es vlido para cualquier nmero de ellas. En la Sec.
7-5 se ha empleado para construir un comprobador de paridad.
7-12. Con~uir la tabla de la verdad para la o&Exclusiva de la Fig. 7-14 para todas las entradas A, B, C
v U posibles. Incluir A 0 B y C 0 0 as como la salidaZ. Comprobar que Z = 1 (0) para paridad
inrpar (par).
7-13. (u) Dibujar el diagrama de un circuito lgico para un comprobador generador de paridad de 8 bit.
(h) Comprobar que la salida es 0 (1) para paridad impar (par).
7-14. (u) Indicar un comprobador de paridad de 8 bit como un bloque con 8 bit de entrada (designados
colectivamente A ) una alida P, y una entrada de control P,. Consideremos una segunda
dnidad de 8 bit co; entradas Al, salida P y control P Mostrar cmo conectar en cascada
ambo\ bloques para comprobar la paridadknpar de una &fonnacin de 16 bit. Comprobar que
zl \i\temafuncionacorrectmenre si P, = 1 .Tomarenconsideracinlascuatrocombinaciones
poiible\ de paridad de A, y A..
(/ji \lo~tmr cmo cnectar en cakada tres unidades para obtencr la paridad de una informacin
de 24 bit. Con paridad impar i,P, ser igual acero o a uno?
,i , Indicar cmo conectar varia\ unidade en cascada para obtener la paridad de una informacin
de 10 bit.
7.15. ,u) Dibuiar un decodificador de 4 a 10 linea\
(h) Mo\& cbrno convertirlo cn decodificador de 3 a X lneas.
7.16. (u) Dibujar el diagmma de bloques de un demultplex con 32 olidas, empleando N, = X Y NI = 4.
Explicar el ftlncionamicnto referido a la lnea 25.
7.17. (u) Dibujar cl diagrama de bloque\ de un demultplex con 1.024 salidas. Obsrvese que
1.024 = 16 8 x 8, por IO que se requieren dos niveles de ramificacin.
ihj ,Cunto\ cncapsuladoi equivalentes se emplean!
(< , Si \e ddivide I.024 en el producto 16 x 16 x 4, indque\c el nuevo \iStema y fjese el nmero
de encp\ulad\ requeridos.
7.18. ,<,, ;,(lhta\ entda\ de puerta\ \,WD debe tener un demultiplcx dt: I a 16?
(he ;,Cunta entradas dc puertas tiene un dcmultplex ramificado de I a 16 formado slo a base
de demultiplenc~ de I a 4!
7.19. tu) Dibujare1 diagmma lgico de un multplex de 6 a I lneas.
(1,) ;,C&no d&c arnpliarvz el \i\tema anteriw para convertirlo en un rnultiple~ de 8 a I lineas!
7.21). Di\eC,r un \i\tcma para convcrtirdo\ chips \clectore\ de I entre 16 datos, en un selector de 1 entre
12. F.~PI~~~~~c CI t~n~%~~~mienr~ del \istema. (~~,~~w~iu: La entrada de habilitacin .y2 al chip
de orden superior e\ cl complenento de S, id de orden inferior. Asimismo lac salidas Y, e Y, de 10s
~10, chip\ \on la, cntrad\ a una puerta OK cuya salida Ye\ la alida del \i\tema.)
7.21. (o) Dibu, el diagrama dc bloque, de UI, xlector dc 32 I Inca cow el de la Fig. 7-21. Per
con 1 = 4 y N, = X. Explquex el funcionamiento rapectu la cntradaX,5.
l l/>J ; cL,nto\ cncapsulados cquivalentc se ncce\ilan!
7.22. Kepct,r el problema 7.21 para un multplex dc 64 a I empleando chip\ idnuco\.
7.23. (<,) ~itu.j;,r cl ,Iiagr;,,,,a ,le bloque\ dc un multiplcx con 2.04X cntradas, observando el hecho de
que 2.04X = 16 x Ih x X.
(11) ;,Cunto, chip\ \e nece\~tan?
7.24. (aj Dctcrminar Ia Ec. (7-l) ,,ara la ,u,,,a ., dc un wmador cwrpleto emplend multpl-.
fallar ta\ x en funcii>n dc <, C, (1 y 1. ~ii,,u- tara simplificar, prescindir de los \ubndice de
A. H y ( y hccr Y = S,,.

7.25. Emplear un multplex para e\tahlecer la \iguiente ecuacibnlgi& combinacwnal


818 Microelectrnica moderna

--

iCuntas entradas de datos se necesitan? Hllense los valores de las entradas de datos X.
7-26. Consideremos un sistema digital con cuatro entradasA, B, C y D. La salida vale 1 si tres o cuaro
entradas valen 1,
(a) Escribir la expresin de Boole para Y.
(h) Emplese un multplex selector para satisfacer esta lgica. iCules son los valores de las
entradas de datos X?
7-27. Disear un codificador que satisfaga la siguiente tabla de la verdad, empleando una matriz de
diodos.

7-28. (u) D~seiiar, empleando transistores de emisores mltiples, un codificador que satisfaga la siguien-
te tabla de la verdad.
(h) j,Cuntos transistores se necesitan, y con cuntos emisores cada uno?
Problemas 879

7-29. La figura representa en diagrama de bloques una matriz decodificadora de tres entradas (A, B y C)
y ocho salidas (Y, a Y,). El bit Y, debe ser 1 (5 V) si el cdigo de entrada es 101, correspondiente
al decimal 5.
(a) Indicar cmo se deben conectar los diodos a la lnea 5.
(h) Reptase para Y,, Y, e Y,.
7-30. Comprobar que para el codificador de prioridad de la Tabla 7-3 se cumple:

7-31. Comprobar que en un codificador de prioridad de 10 lneas decimales a 4 lneas BCD se cumple:
Y = w, + w, (W, + w,w, +w,w,w, + w,w,w,w,,
7-32. (~1) Escribir la tabla de la verdad de un codificador de prioridad de 8 lneas de datos a 3 lneas
binarias, indicando con X el estado indiferente.
(h) Hallar la expresin para Y,.
7-33. Repetir el problema 7-32 para Y,.
7-34. (a) Llevar a cabo la conversin de cdigo indicada ms abajo, utilizando una memoria de solo
lectura (ROM). Indicar fodas las conexiones entre las entradas X y las salidas Y. Emplense
los smbolos normalizados de inversores, puertas AND y puertas OR.

Entradas / Salidas

(b) Dlbujx las puertas OR como transistores de emisores mltiples.


7-35. (a) Dibujar el diagrama de bloques de un ROM de 1.024 x 4 bit, utilizando direccionado
bidimensional.
(b) iCuntas puertas N.uvD se necesitan?
(c) iCuntos transistores deben emplearse en la matriz de la memoria y cuntos emisores debe
tener cada uno de ellos?
7-36. Consideremos un ROM de 1.024 x 8 bit utilizando direccionamiento bidimensional con selectores
degal.
(a) iCuntos bit se necesitan para el direccionamiento del ROM?
(h) iCuntos bit se precisan para el direccionado de X?
(c) iCuntas puertas N*ND se requieren?
(d) Especifquese el nmero de transistores en la matriz y el nmero de emisores en cada uno de
ellos.
7-37. (a) Escribir las expresiones para YPe Y, en el convertidor de cdigo binario a Gray.
(b) Indicar cmo establecer con dlodos la relacin pan Y,
7-38. (a) Dar la relacin entre los bit de salida y de entrada para Y, e Y, en el convertidor de cdigo Gray
a binario.
(b) Indicar cmo cumplimentar con transistores la ecuacin para Y,.
7-39. (a) Escribir en forma cannica la suma de productos para Y, de la Tabla 7-5, para el cdigo del
indicador de siete segmentos.
880

(b) Comprobar que esta expresin puede reducirse a Y = 5 cA+ CB A+ B A.


7-40. Minimizar el nmero de trminos de la Ec. (7.33) para Obtener la Ec. (7-34).
7-41. Consideremos un ROM de 4 kb de salida. Si el codificador es cuadrado icuntos bit se necesitan
para: (a) direccionar X, (b) direccionar Y? Esbozar el diagrama de bloques del sistema.
7-42. Consideremos un ROM de 8 kb con 8 bit de salida, Si la matriz de memoria tiene 128 filas, jcuntos
bit se necesitan para: (a) direccionar X, (b) direccionar r!
(c) Repetir(a) y (b) suponiendo que en el codificador hay 64 filas.
(4 iCuntas informaciones tiene este ROM y cuntos bit se necesitan para decodificar estas
informaciones? Buscar la respuesta para la suma de bits de los direccionados X e Y de cada
una de las dos ROM consideradas en este problema.
7-43. Se dispone de dos ROMs de 16 kb (2048x8). Mostrar cmo conectarlos para tener: (0) un ROM
de 32 kb (2048x16), y (b) un ROM de 32 kb (4096x8)
7-44. Indicar en forma de diagrama de bloques cmo montar 32 ROM de 16 kb (2048x8) para tener un
ROM equivalente con 16 lneas de direccionado y 8 lneas de salida.
7-45. Hay que convertir un ROM de 32x8 en otro de 64x4. Las ocho salidas son 0 . ..O y los
direccionados A,,...A,. Aadamos un direccionado ms X = A, para controlar las puerta! AN;>.ORde
forma que con X = 1 se emplean las cuatro salidas 0,. .O, y cuando X = 0 se emplean las otras
cuatro 0,. .O,. Mostrar este sistema ROM de 64x4.
(b) Indicar cmo convenir dos chips ROM 32x8 en un ROM 128x4.
7-46. (a) Mostrare1 diagrama de bloques de un sistema para convenir un ROM de 64x8 en uno de 5 12x 1
empleando un multplex selector.
(b) Repetir(a) para convertir de 64x8 a 256x2.

CAPITULO8
8-l. (0) C~~p~~~r que no es pable que las do> salIda> de la Kg. 8-l estn 211el IWIIIO estado.
(b) Comprobar que no es posible que en la Fig. 8-l(h), B = B = 0.
8-2. Consideremos el interruptor de la Fig. 8-2. En el insta&r$~ se pulsa la llave de forma qut: 21
contacto pasa de 1 a 2 alcanzando ste en el instante r? rebotando seguidamente tres veces. Indicar
Ias fmm de onda de B,, B, y Q razonando la respuesta.
8-3. (0) Comprobar que la t&loga AOI representada da la misma lgica que el biestablc de fijacin
de la Fig. 8-3.
(b) ~~a~~fmnar el diagrama de bloques de forma que resulte equivalente al de la Fig. 8.3.
8-4. Las puertas NOR de la Fig. 8-4 se han fabricado con tecnologa NMOS. Los excitadores tienen
kW/L = 400 p4P y VT = 1V. La carga de deflexin tiene kW/L = 100 @An/. Haciendo V,, = 5 V,
determinar los niveles de salida del circuito biestable.
8-5. Las puertas NAND de la Fig. 8-l se han fabricado con tecnologa TTL con V,, = 5 V. Las puertas
TTL tienen V( 1) = 2,7 V, V(0) = 0,3 V y NM, = NM,. = 0.2 V. Suponiendo que la puettaTTL NAND
tenga una caracterstica de transferencia de tensin como la dada en la Fig. 8-5(a) determinar los
niveles de salida del biestab; y la seal de disparo mnima necesaria para cambiar de estado.
8-6. Las puertas NORde la Fig. 8-4 estn construidas con tecnologa CMOS. El dispositivo NMOS tiene
kW/L = 200 !U/Vy VT = 2V, y el dispositivo PMOS tiene kW/L = 200 pA/V2, y V, = - 2V. Siendo
V,, = 5V, determinar, (a) los niveles de salida del biestable y (h) la seal de entrada mnima
necesaria para provocar que la salida cambie de estado.
8-7 Mostrar cmo se puede construir el biestable de la Fig. 8-3 empleando la configuracin AOI.
8-8. La tabla indicada es la de excitacin de un FLIP-FLOPJ- K. Una X en la tabla debe interpretarse
como que no importa que esa entrada sea 1 0, condicin denominada indiferente. La segunda
lnea indica que para que la salida cambie de 0 a 1, la entrada J deber ser 1 mientras que no importa
que K sea 1 0. Comprobar esta tabla de excitacin con referencia a la tabla de la verdad de la
Fig. 8-l 1.
Qn Q,+, ~ J, 1 K
l
0
0
I
1

8-Y. Comprobar que la tabla de la verdad de un FLIP-FLOPJ-K se cumplimenta con la ecuacin

Q,,, = J,Qm+ &Q,.


X-10. (u) Demostrar que el FLIP-FLCJPJ-Kde la Fig. 7-7 quedar dispuesto corxtamente (Pr-= 0, Cr = 1)
slosiK+Ck= 1.
(h) Demostrarquedicho FLIP~FLOPboTTarcorrectamente(P~= I.Cr=O)nicamente si?+Ck = 1.
(c) Demostrar que Cr = P>-= Ck = 0 conducen a un estado indeterminado.
(d) Mostrar que Pr = 1 y Cr = 1 habilitan el RIP-FLOP.
8-11. (a) Comprobar que no hay dificultad respecto a las condiciones de auto-oscilacin en el circuito
J-K de la Fig. X- 12 para cualquier combinacin de los datos de entrada, excepto para J = K = 1.
(h) Explicar por qu no existen condiciones de auto-oscilacin ni aun con J = K = 1, siempre que
f <At<T.
8-12. (a) &pngase que en el FLIP-FLOPJ-K ordenador-seguidor de la Fig. S-13, Q = 0, Q = 1, Ck =l,
J = 0 y K arbitrario. $ul ser QM?
(h) Si ./ pasa a 1, cul ser Q,?
(c) Si J vuelve a 0, ,cul ser Q,w?Obsrvese que Q,,, no retorna a su valor primitivo y por tanto
ni J ni K deben variar durante el impulso.
8-13. Las ondas J. K y Ck de la figura se aplican aun FLIPWJIP J-K. Dibujar las ondas de salida de Q y
Q dinmh respecto a los impulsos del reloj. Noto: Supngase que cuando se aplica el primer
impulso del reloj Q = 0 y que Pr = Cr = 1.
8-14. (0) Comprobar que un FLIP~FLOP S-R se convierte en tipo 7 si S se conecta a-Q y R a Q.
(h) Comprobar que un FLtwtaP tipo D se convierte en tipo T si D se une a Q.
8-15. Mostrarctno construir un FLIP-FLOPA-Bcon la tabla de la verdad expuesta a continuacin, a partir
de un FLWFLOP J-K y cualquier otra lgica adicional que se requiera.

8-16. La figura representa un registrador de prioridad de 4 bit formado por biestables tlpo D.
(a) Hagamos P,, = 0, D,, = D, = D, = 0 y DI = I y todas las dems salidas son 0.
(h) Hagamos P,, = 0, D, = D, = 0 y D2 = D, = 1. Comprobar que slo Y, = 1.
(0 Generalizar los resultados anteriores demostrando que el Dn de menor orden de entre 1o.rque
estn en estado alto (1) se transfiere para hacer alta la correspondiente Y
(d) Poner en cascada dos de estos chips de 4 bit. Hagamos P,, = 0 para el c<ip de orden inferior.
En el chip de orden superior unir P, al complemento de la salida P, del de orden inferior.
Demostrar que este sistema en cascada funciona como un registrador de prioridad de 8 bit.

8-17. Comprobar, para el registrador bidmxuonal de desplazamiento de la Kg. 8.17, la modalidad de


operacin representada en la Tabla 7-4 en su (a) segunda lnea, (h) tercera lnea y (c) cuarta lnea.
S-18. Aumentemos el registrador de desplazamiento de la Fig. S-16 con puna puerta NOR de cuatro
entradas cuya salida se conecta al terminal de enrruda en serie. Las entradas de la puena NOR son
Qa.Q,.QzY Q,.
(a) Comprobar que independientemente del estado inicial de cada FLII~~FLOP, al aplicarle la
alimentacin, el registrador funcmnar como un contador de anillo despus de P impulsos de
reloj, siendo P 5 4.
Problemas 883

(b) Si inicialmente Q, = 0, Q, = 1, Q, = 1, Q, = 0, Q0 = 1, esbozar la forma de onda de Q, durante


los 16 primeros impulsos.
(c) Repetir el apartado b si Q, = 1, Q, = 1, Q2 = 0, Q, = 1 y Q, = 0.
S-19. (a) Dibujar las formas de onda de un contador de anillo de Johnson, es aecir, dibujar las ondas de
Q,, Q2, Q2, Q, y Q,, en, por ejemplo, 12 impulsos. Supngase que inicialmente Q,, Q,, Ql, Q,
y Q4 valen todos 0.
(b) Escribir la tabla de la verdad despus de cada impulso.
(c) De la observacin de la tabla demostrar que se pueden emplearpuertas AND de dos entradas
para decodificar. Por ejemplo, el impulso 1 se decodifica por Q,Q,. Por qu?
S-20. (a) Supngase que en el contador de anillo modificado de la figura se tiene inicialmente Q,, = 0,
Q, = 0 y Q2 = 1. Hgase una tabla de lecturas de Q, Q,, Q,, J, y K2 despus de cada impulso
del reloj. iCuntos impulsos se necesitan antes de que el sistema empiece a funcionar como
contador divisor por N? iQu es N?
(h) Repetir(a) si inicialmente Q, = ?, Q, = 1 y QI = 0.

-21. Se desea un contador asncrono de 25:l.


(0) iCuntos FLwFLLoPsse precisan?
(b) Si se dispone de chips con FLIP-FLOPSde 4 bit, cuntos chips se necwtan? Cmo deberan
interconectarse?
(c) Indicar las conexiones de realimentacin a los terminales de borrado.
8-22. (a) Trazar el diagrama de bloques de un contador asncrona divisor por 20. Inclyase un biestable
en la entrada de borrado.
(b) i,Cules son las entradas a la puerta NAND de realimentacin, para un contador asncrono de
125:1?
8-23. Consideremos el funcionamiento del biestable de la Fig. 8-21. Hacer una tabla de los valores de
Ck, Q,, Q,, P,, Ck y P? = Cr en las siguientes condiciones:
(a) Inmediatamente despus del dcimo impulso.
(b) Despus del dcimo impulso suponiendo que Q, se reponga antes que Q,.
(c) Durante el undcimo impulso.
(d) Despus del undcimo impulso
Esta tabla deber demostrar que:
(0) El dcimo impulso prepara el biestable para borrar el comadur.
(h) El biestable permanece fijo hasta que se hayan borrado todos Io& ~I.IP+LOP.
(c) El flanco positivo del undcimo impulso repone el biestable de firtll,a que Cr = 1
(d) El flanco negativo del undcimo impulso inicia un nuevo ciclo de L ~xnta.
8-24. Dibujar en forma de diagrama de bloques un sistema contador que se pueda emplear para generar
seales desde 0.1 s, a 1 h. con incrementos de 0,l s.
Se dispone de una seal de reloj de 1,8 MHr.
8-25. (u) Indicar en forma de diagrama de bloques un contador asncrono divisor por II. Sealar Ia,

-.
conexwnes a J, K y Ch dc cada FLII-~W~ as como Iab entradas a la puerta de realimentacin
a las entradas de borrado (puede omitirse el biestable). Las entradas de habilitacin be
mantienen al nivel 1,
(h) Existe un xgundo procedimiento para obtener un contador asncrono 1 1: 1. Las entradas de
borrado se mantienen al nivel I y la puerta de realimentacin excita las entradas de habilitacin.
Dibujare1 diagrama de bloques de un contador asncrono programable de este tipo sealando
cuidadosamente Ia conexiones.
8-26. irii Para el diagrama lgico del contador de dcadas de la figura establecer la tabla de Q , Q Q.
y Q, despus de cada impulso (partiendo de 0000). Si no se seala ninguna conex& las
entradas J o K se sobreentiende que tal terminal esta alto (1). Comprobar que este sistema ch
un contador de 10: 1,
(h) ;,Cmo puede emplearse estc sistema u manera de contador 5: l!

+ -
P.ds.8.26 L- .i
X-27. Mothticar el diagrama li>gico del problema X-26 de la siguiente forma: Suprimir el reloj de Id
entrada a I;FO y aplicara esta entrada la salida Q,. Suprimir Q,, del terminal CX de fF1 y aplicar
este terminal lacntrada del reloj. no variando ninguna otra conexin. Escribir la tabla de la verdad
para Q,,. Q,. Q? y Q: (partiendo de 0000) despus de cada impulso. Comprobar que esrc sistema es
un contador 10: 1. Este contador da en Q,, una onda cuadrada simtrica. La tabla de la verdad debe
confirmar que esto es cierto.
X-28. (o) Para el diagrama de bloque> de la figura escribir la tabla de la verdad para Q,,, Q,. Q1 y Q3
despus de cada impulso (empuando con 0000). Demostrar que se trata dc un contador 12: 1.
(h) ;,Cbmo puede emplearse este sistema como contador 6: 17

-2!+. [ii) El clicu~it de l ligura cs un conlador asncrono/n i,,y:,.unrub/e. Ini&lmen[e Cl, z () y \c bom,
eI contad<>r poniendo momentneamente Ci- = 0. Se sobrernknde que a continuacin
./ = K = C,. = 1 4 que el biesrable de la Fig. 8.21 est situado entre P / y P1. Si Pr,, = /,., = 0 y
Pr? = Pr; = 1 y si SCaplica un impulso procedente de una~ire~~ie errr,-iw (no representada) a
Ia entrada de habilitxibn ;,en qu eslado <luedarA situado cada FI.IP-FLOP?Si ahora se aplica a
la entrada del contador un tren de impulsos del reloj. ;,cul ser IU cuenca N? Explquese
cuidadosamente el funcionamiento.
troblemas 885

(h) Por qu se necesita el biestable?


(c) Generalizar cl resultado del apartado (0) de la slguenre fomla: el contador tv~ne n evapu y
debe dividir por N siendo 2 > N > 2~. i,Cmo deben programarse las entradas de puesta en 1
(habilitacin)?

8.30. Dibujar el diagrama lgico de un contador sncrono reversible de 5 bit con arrastre en scnc.
X-31. Comprobar que el sistema de la figura es un contador sncrono 3: 1. Partir de Q,, = Q, = 0 y seriala~
el estado de Q,, y de Q, despus de cada impulso

1
Re,,
*- J
!
X42. L>crlblr I tabla de la verdad de Q,,, Q, y Q1 despu& de cada impulso, para el diagrama Iog~c<rdel
contador sncrono de la figura y comprobar que se trata de un contador 5: 1.
886 Microelectrnica moderna

parpadee aproximadamente una vez cada segundo, empleando como entrada los impulsos del
cristal. iCuntos segundos pierde el sistema a lo largo de una hora? (Sugerencia: 2 = 131.072.)

CAPTULO 9

9-1. (LI) Modificar cl mver&or MOS dinmico dc la I-lg. Y-l aadxndo uwo FET, Q4, en serie wn Ql.
Designar la entrada a Q4 (y a Q 1) por V, (o V,). Comprobar que este circuito cumple la funcin
de una puerta NAND dinmica. Los niveles de entrada de V, y V, son 0 y 10 V.
(h) Demostrarqueeste circuitodisipamenos potenciaque lacorrespondientepuerta~~~~esttica
de la Fig. 6.29~.
9-2. Modificar el circuito de la Fig. 9-1 aadienmdo otro FET, Q4, en paralelo con Ql. Reptase el
problema 9-I (sustituyendo la voz NAND por NOR y la Fig. 6.29~ por la Fig. 6.2%~).
9-3. (aI Consideremos la etapa de registrador de desplazamiento de la Fig. 9-2 pero con carga nu
temporizada, es decir, que las puertas de Q2 y Q5 se unen a V,, en lugar de ser excitadas por
las ondas de reloj. Explquese el funcionamiento de este circuito.
(h) Demostrar que en esta clula hay mayor disipacin de potencia que en la versin de carga
tenporizada de la Fig. 9-2.
Y-4. (a) La figura representa una etapa de registrador de desplazamiento dinmico NMOS. Las dos
ondas 0, y 0, pueden verse en la Figura 9.2h. Explquese cuidadosamente el funcionamiento
de este circuiio. Supngase C, C,.
ch) $on los inversores de relacin o no? Explquese.

7 Prub.Y-J

9.5. Comprobar la Ec. (9-l). (Sufierenciu. Cuando la puerta de transmisin Q3 cw~a. la meona carga
que aligera C, debe aadirse a C,.)

e* 0, VD.5

T t+b. Y-4
Y-4. (4 Consideremos el IW~MN NMOS de dos faes de la figura, que emplea las ondas mos!radas
enlaFig. 9~5h.Explicarelfuncionamientodelcircuitoconsiderandoprimeramenteel intervalo
i,.fl. luego el r2-r,. etc.
ib) i.Es ste un inversor de relacin o no? Explique~e.
(c) Esbozar una etapa de registrador de desplazamiento empleando do\ m~er~ores cumo IOI
anteriormente citados y dos puertas hidireccionales. (Syywrr~io. Intercambiar 0, y 0: en el
qundo inversor y tomar la salida durante 0,.)
(ci) Explicar el funcionamiento de esta clula de registrador de desplazamiento.
9-7. Consideremos la clula de registrador de desplazzamiento dinmico NMOS de cuatro fAe\
representada en la figura. Obsrvese que los cuatro impulsos de reloj no se superponen, de forma
que si una fase est alta. las otras estarn bajas. Explicar el funcionamiento y comprobar que V,
es i$ual al valor que tuvo \, un perodo antes.

Prob. 9-7 T
4-8. El circundo de la figura se conoce a veces como puea I\~OKNMOS a contrafase (push-pull) y >c
emplea para mejorar el producto retardo-potencia respecto a las puertas NMOS convencionales.
(u) Comprobar que se cumple una lgica YOK
(h) Comparar las tensiones V,,, y V,, con V,;, del transistor de carga en una puerta NR NMOS
normal durante una transicin de la entrada de V(0) a V( 1).
(c) ;,Cmo afectan estos niveles a la carga y descarga de C, durante una transicin?

Y-Y. (u) En cl circuito representado, ;,cul es la relacin lgica entre V,,,y V,>,?
(h) iQu cambio del nivel de entrada se necesita para provocar una transicin de V(0) a V( 1):
(cJ Repetir(h) de V( 1) a V(0).
(d) ,Pueden usarse los resultados de (h) y (c) para mejorar el producto retardo-potencia?

+vD

Pruh.Y-Y
Y-l& Un KAM de 1024 bit colta de 128 informaciones de 8 bir cada una. Si se emplea xlecun lincal
mostrar el diagrama ti bloques de la organizacin del sistema. (Nnta: Emplear un rectngulo para
representar la clula de lectura-escritura de 1 bit de la Fig. 9-8 con tres terminales: X para la entrada
de dwxcionado, W para la de escritura y R para la salida de lectura).
Y-11. (a) Si se emplea seleccin lineal ;Cuntas puertas NAND y de cuntas entradas cada una hay en el
decodificador (o decodificadores) de un RAM 4096x 1~
(h) Repetir(a) suponiendo que se emplea un direccionado bidimensional~para tener una disposi-
cin de memoria cuadrada.
(<,) Repetir (a) suponiendo que se emplea un direccionado bidimensional para disponer una
memoria de 256x16.
Y-12. En la Fig. 9-19 el chip (0) contiene las informaciones 0 a 1023, el chip (1) las 1024 a 2047, y as
sucesivamente. iQu informacin es decodificada porA,,... A(, a:
(a) 011100101011,
(h) ll 10000101101
(c) iQu direccionado se debe aplicar para tener la informacin 2600?
Y-13. Dibujar el diagrama de bloques de un sistema RAM de 4096x16 construido a base de RAMs de
1024x1
Y-14. Dibujar el diagrama de bloques de un sistema de lectura-escritura de 128x4 bit formado a abase
de RAMs de 16 kbxlbit.
Y-15. Consideremos la estructura CCD de la Fig. 9-23(a) accionada por las ondas de la Fig. 9.27. Todos
los electrodos de numeracin impar estn unidos a 0,. y todos los pares estn excitadqs.por 0 :
Dibujar los perfiles de tensin como en la Fig. 9-23 y demostrar que este sistema r,o resul&
satisfactorio porque queda indeterminado el sentido de transferencia de la carga.
Y-16. Consideremos un CCD de dos fases. La longitud efectiva de cada electrodo es de 8 pm. y su ancho
tambin de 8 Pm. Asimismo la separacin entre filas de electrodos es de @un.
(a) Calcular, en mm2 la superficie ocupada por una clula de memoria.
(h) La Mnemonics Inca ha construido una memoria de 64 kb (65536 bit) empleando la clula
desata en (u). El tamao del chip es de 5,54x5,97 mm. iQu fraccin del rea del chip est
ocupada por los circuitos auxiliares (entrada, salida, reloj, etc.)?
Y-17. Mostrar la organizacin del RAM del Prob. 9-10 dada la clula empleada corno se ve en la Fig.
9-20.
Y-18. Consideremos IaestructuraCCD de dos fases de IaFig. 9-26(u) excitada por los impulsos positivos
del reloj. Supongamos que V, = V y V, = V/2. Dibujar los perfiles de energa potencial bajo los
cuatro primeros electrodos en los instantes de tiempo t,...$ indicados. Empezar con carga bajo E,
Problemas 889

en el instante i = 1, y demostrar que se desplaa a E: en el momento f = f, Emplear papel


cuadriculado.
9-19. ConsideremoslaestructuraCCDdedosfases de la Fig. 9-26(a) excitada por los impulsos negativa
del reloj representados. Tmese C,= V y V, = 0. Dibujar los perfiles de energa potencial bajo los
cuatro primeros electrodos en los mstantes f,...f, indicados. Empezar con un bit almacenado debajo
de E, en el momento f, y demostrar que la informacin se transfiere al depsito debajo de E, en el
instante I = i,. Emplear papel cuadriculado.
1 Y-LU. (u) Consideremos una estructura CCD de una fase. Los electrodos impares estn polarizados a
una tensin constante V/2. Los electrodos pares se excitan por los impulsos positivos de la
onda 0? de la Fig. 9-27 con V, = 0 y V2 = V. Dibujar los perfiles de energa potencial bajo los
cuatro primeros electrodos en los tiempos f:, f, y f, Partir con electrones almacenados bajo
E, en el instante f2 y demostrar que la carga queda retenida en el depsito debajo E, en f = t4.
Emplear papel cuadriculado.
(hj Dibujar los perfiles de energa potencial en el momento f, (donde 02 = O,25 V) en f o r6 (donde
0: = 0) y en t; (donde 01 = O,5 V). Demostrar que la informacin bajo E, se ha transferido a
E, en un periodo del reloj.
Y-21. Consideremos tres variables IgicasA,B y C en los colectores de tres inversores de lgica integrada
inyectada (/L). Conectar entre s estas tres salidas. Demostrar con argumentos fsicos que en el
nudo comn la variable lgica CS Y = ABC. En otras palabras, justificar el funcionamiento
wo-conectado en la lgica inyectada.
Y-22. Dada\ Ia< cuatro variable\ externas A. B, C y D, dibujar un diagrama de conexione\ IL para la
\alidaAO/. Y = AR + CD.
Y-23. La\ tres entrada\ un dccodificador son A. H. y C. DibuJar un diagrama dc conexiones IL para
tener la\ ocho \alida\.
Y-21. Convderemos un multplex dc 2 a 1 lnea, sin entradas de habilitacin. Dibujar un diagrama de
conexiones IL para este <elector de datos.
Y-25. El arrastre en un wmador completo e\ de la forma:
C = AB + BC + CA. Dibujar el diagrama de conexiones IL para C.
Y-26. Dihu.jar un diagrama de concxionc~ IL para el ~LIWLOP ./-K temporizado.

CAPlx!I,O 10

NCUA: A lo largo de lo\ problema\ de c\tc capitulo \c emplean lo\ vguiente, tran\,stores:

,r<,>,\,.,,or
i U,iiidd A H c- 0 I<
I W l!,>l? n,,n ?,,>t? ,j w ,>Z,>
ilr, 125 IX, 2 IX, 50
u.. 125 is,, ?,,O IX, 50
v,. v L I,,,, / / 511

Sc ~XJ~K que para esto\ tranri\torc\ I ,, = 0 y que trabajan a 7 = 25 C, MIYO que se indique otra coba.

IU-I. La lucnte de corriente de la Fig. I&~(u) e\tu dijeriada con transistor A, y debe dar una wrricntc
de O,5 mA con V, ( = I 0 V.
890 Microelecrronrca moderna

(u) Determinar el valor de K.


(h) Suponiendo que todos los dems parmetros se mantengan constantes y que la variaun de
VB, es de 2,2 mVP.2. iQu variacin de temperatura se puede admitir si se ha de mantener
I,, dentro del 1% de su valor nominal de diseo?
10-L. En el circuito de la Fig. 10-5(a) se emplea un transistor C con V,, = 5 V y R = 5 kG.
(a) Determinar I, ,
(h) Cules son los valores mnimos y mximos de p, si la variacin del,., no debe ser mayor del
1% del valor en (a)?
10-3. La fuente de corriente pnp representada utiliza transistores D. Con I,, = 1 mA y V,, = 15 V.
(a) Determinar R.
(h) Determinar en tanto por ciento el cambio en I,, para un cambio de temperatura de 50 C si VB,
vara 2,2 mV/C mantenindose invariables todos los dems parmetros.

b
-5 v
Prob.NJ-3 Fvb. m-4

10-J. Lus tranatore~ del circuito representado son Idnticos. Cules son los valores mmmos y
mximos de/, si 75$,<175?
10-j. En el circuito representado se emplea el transistor C
(a) Determinar I,, e f,,.
(b) Hallar R, para que V,, = 6 V.

t11.2 Y t15

28ktl 4
Prob. Kl.6 -IS v
t+b. IU-5 7
10-6. En el circuito representado se usa el transistor B.
(a) Considrese que VAtiende a infinito y hallar R, para que V(,= 0.
(b) Utilcese el valor de R, hallado en (a) para determinar Vo cuando VA = 100 V. ~Sugerencru
I, = (1, i &VB#,) (1 + V,, /VA) da razn de la tensin Early]
Problemas 89 1

10-7. Hay que convertir la fuente de corriente del Prob. 10-l en el circuito de la Fig. 10-X haciendo
I,, = 50 pA. Determinar R,
10-8. El circuito de la Fig. 10-8 se ha diseado con V,, = 15 V, R = 30 kR y R, = 1 kR. Se emplean
transistores C:
(a) Determinar I, ,
(h) iCul es, en porcentaje, la variacin de 1, , si V,, aumenta 0.3 V?
IU-Y. El circuito de la Fig. 10-9 est diseado con transistores idnticos. Deducir una ecuacin para
l,,il,, y demostrar que esta relacin es proporcional a RJR,.
10-10. Demostrar que en el circuito de la Fig. 10-8, MJln es inversamente proporcional a [(V&,,) l]
si V,, vara en AV,,. Supngase que todos los dems parmetros se mantienen constantes.
10-11. Hay que disear una fuente Widlu usando transistores pnp (transistor D). Se dispone de un
suministro de tensin negativa de 9 V, emplendose una R = 25 ka. Determinar R, de forma que
la corriente de fuente sea de 40 fl.
10-U (a) Repetir el problema anterior con una tensin de suministro positiva de 9 V.
(b) Dibujar el diagrama del circuito de la fuente de corriente.
10-13. Deducir la Ecuacin (10.15).
10-14. Deducir la Ecuacin (10.16).
10-15. Se emplea el circuito de la Fig. IO-IOa para obtener una comente de t nlil con un surmmstru de
12 Vcon transistor A.
(a) Determinar el valor de R.
(b) Si p, disminuye un 60% iCul ser la variacin en porcentaje de I, ,?
10-16. Repetir el Prob. anterior para el circuito de la Fig. 10-l Ob.
10-17. Los transistores de la Fig. 10-l 1 son idnticos y tienen VA tendiendo a infinito.
(a) Deducir la expresin de I,, en funcin de p,, V,,, R y V,,.
(b) Con V,,. = 15 V y p, = 150 determinar R de forma que IcI = 300 pA.
(c) Suponiendo que todos los dems parmetros se mantienen inalterados, qu amblo de
temperatura es admisible si / A/c, / < 30 M y V,, cambia a razn de - 2,2 mV/C?
10-18. EnelcircuitodelaFig. l-12seusael transistorC. Los valores delosparmetros son: Vc, = ll,2 V,
R, = 1.2 kR, R, = 0,3 kR, R, = 90 kQ y R, = 10 k!A.
(a) Determinar el punto de trabajo.
(b) Si p, decrece un 50%. jcules sern los nuevos valores de lcQ y Vo?
10-19. El circuito de la Fig. lo-12 se ha diseado con V,, = 15 V, R, = 72 kR, R2 = 1X k.0, R, = 1,4 kR y
R, = 4,0 kQ. Se emplea el transistor A.
(a) Determinar el punto de trabajo.
(b) Determinar el nuevo punto de trabajo duplicando b,.
(c) Comntese la eficiencia del circuito.
10-20. El circuito representado emplea transistores C v est diseado para hacer V,, = 0 y VcLv = 3 b
(a) Determinar R,. y R,. tb

9 kn

ikS2
Prota.LO-20
6V
892 Microelectrnica moderrru

(h) Vahndose de los valores obtenidos en (LI) hallar la variacin de V,, dado que I-1,
se reduce ala mitad.
(c) Las tensiones de alimentacin varan en un 5% cada una. Determinar la mxima variacin en
V Usense los valores de los parmetros hallados en (a).
10.21. El circuito representado utiliza un transistor R (considerar que V,) tiende infinito).
(u) Determinar I,, y V,,,.
(h) Se akade una resistencia R al circuito entre la base y tierra. i,Qu valor de K se ccesta para
hacer V,,, = 6,l V!
(c) Si p, cambia c +lOO i,Cul es el campo de valores de V,,, cn el circuito de (h)!

60 kn

E
tvb. N-21 4 I
10.22. Hay que J~sctia~21 urcuito de la Fig. 10.12 empleando un suministro de 2X V. El transistor tiene
50$,<2OOydebe trabajarentre = 0 -C y T = ICO C. El puntode reposo nominal es lcn = 1.5 mA
Y v,,, = 13 V. En el peordelos casos serequiereque/, sea de 150 tiLA.Desprciesel,,, y supngase
que tanto las variaciones de p, como las de V,, motivan desviaciones iguales. Determinar R,, R,,
R, Y R,.
IU-23. El circuito representado es un par acoplado en emisor en el que Q3 y Q4 se emplea para polarirar
Ql y Q2. Los transistores QS. Q6 y Q7 f arman un repetidor de corriente y Q6 y Q7 forman la
carga para Ql y Q2. Todos los transistores /XI/I tienen p, = 50 y los nen, p, = 150. Supngase V,
tendiendo a infinito. HallarR para que se satisfagan las relaciones de corriente.
115 v
Y

-
Proi?. 10.34 i

10-25. La .MOSFETs de la Fig. 10-15~ quedan definidos en saturacin por:


W
0
1, = 25 z CV,,, 1.5) PA

(u) Hallar K con WlL = 3, dc forma que I,j = 400 pA con V,,,, = Y V.
(h) Empleand el valordeR halladoen (a) determinarlavariacin en /,,, si W/L de Ql se haze = 2.
(c) Rcpctir (h) para W/L = 8.
10.26. El circuito de la Fig. 10-15~ emplea MOSFET& de las caractersticas dadas en la Fig. IO-1%. La
tensin de alimentacin es de 5 V. Determinar R de forma que I, = 100 pA.
ltJ-27. Los MOSFETs de la Fis. 10-15~ tienen k(Wil.) = 200 pA y 1) = 2 V. Estn alimentados por una
filente de 12 V Determinar R para que I,, = 0,5 mA.
10-B. Los transistores deacumulacin del circuitorepresentado tienen/,, = lOO(V,,, 3)pA. El transistor
de deflexin tiene I,, = loO(V,, + 1) ly3. Determinar I,,,.
+24
ilO P

hh. LO.29

10-30. El FET del circuito de la Fig. IO-1Xu uene I,,,, = 3 niA y VI = 3 V. Determmar liiu < 1mi) k <,>(,
para R, = I ,5 mR, R1 = 0,3 MLL, R,>= 20 kR, R>= 5 kR y <>, = 60 V.
10-31. La variacin de VI,en el FET del Prob. 1O-30 es de kO,S V. Determinar el campo de valores de I,,(,
4 v,,,,.
10-32. El FET cuya caracterstica dc transferencia se nrucctra en la Fig. I O- 1Y se emplea en el circuito de
894 Microelectronrcu moderna

la Fig. 10-18~. La corriente de drenaje est restringida a valer entre 4.0 y 5,O mA y VB,> 6 V. La
tensin de suministro es de 24 V y R,>lOO ka. Determinar R,, R,, R, y R,
10-33. El fabricante de un JFET de canalp facilita los siguientes datos:
Vp = 5 V mnimo y 6 V mximo.
ID,,, = 2,5 mA mnimo y 4,5 mA mximo.
Hay que disear un circuito anlogo al de la Fig. 10-18~ para disposmvos at anza~ p de forma que
1, est entre 1.6 y 2 mA. Con V,, = 30 V y R,>lOO kR.
(ag DeterminaR,, R, y R,$.
(b) Dado R, = 10 ka Cules son los valores mnimos y mximos de V,,u?
10-34. Deducir la Ecuacin (10.29).
10-35. En el circuito de la Fig. lo-21a se usa el transistor A, y est polarizado a Iiu = 1 mA. Detemkxu
A> y R, para R, = 300R y R, = 1,2 ki2.
10-36. El transistor C polarizado a /, = 0.5 mA se emplea en el circuito de la Fig. 10.2 IU
10.37. En el circuito de la Fig. 10.22b se emplea el transistor B. La corriente l,,, que es de 50 pA, se obtiene
de una fuente que emplea el transistor E. Siendo R, = 5 kR, determinar A,, R y R,,.
10-38. Para la fuente de corriente de la Fig. lo-22b se emplea el transistor E. El tranktor B se excita por
una fuente de seal con Rv = 20 k!J y debe polarizarse de forma que Ra = R,.
(a) Hallar l,,.
(b) Determinar el valor de A,.
10-39. El circuito de la Fig. 10-2211se excita con una fuente de seal que tiene R, = 10 kR. Se emplea el
transistor C polarizado a /cn = 1.5 mA. Siendo R, = 2 kQ determinar:
(al A,..
(b) R,.
((.) Rc,Y R,,.
10-40. Una etapa en colector comn emplea el transistor fI polarirado a lcu = 0.25 mA y excitado por
una fuente de 3 ka.
(a) iQu valor de R, se necesita para hacer que R,, = 110 R?
(b) Con el valor de R, hallado en (a), determinar A, y R,.
10-41. Un seguidor de emisor emplea el transktor A polarizado a I, = 2 nrA, y se pide que tenga
R >500 ka.
(d> HallarR,
(b) DeterminarA,, R,,, y R,,, para R, = 5 kil.
10-42. Comprobar las ecuaciones aproximadas de la etapa en base comn de la Tabla 10-M
10-43. El circuito de la Fig. lo-250 emplea el transistor A polarizado a 0,2 mA. Con R, = 2 ka, R, = 100 Q
y R, = 5 kR, hallar:
(0) A, Y R,.
(b) El campo de valores de A,, variando p,, en un 60%.
10.44.<a) Repetir el Prob. anterior suponiendo que se emplea el transistor B.
(b) Determinar R,, y R,, para el amplificador.
10-45. (a) SuponiendoPOl deducirunaexpresinpara~,/A,,conunavariaclnABde p,,enelcircuito
de la Fig. IO-250.
(b) Empleando el transistor C y considerando un cambio en p,, del 5iI%, deducir una ecukin para
R, que limite / AAA,/A, 1< 0.1.
(c) Hallar K it para R, = U,6 kQ y el transistor polariudo a I,, = 0,5 mA
(d) Suponiendo que el valor nominal de A, e> 10, hallar R,.
10-46. Comprobar los resultados de la Tabla 10.3B para la etapa en colector comn.
10-47. Comprobar los resultados de la Tabla lo-38 para la etapa en emisor comn con una resistencia de
emisor.
10.48. Comprobar los resultados de la Tabla IO-3B para la etapa en base comn.
Problemas 895

10-49. Comprobar los valores numricos aproximados de la Tabla 10.4


10-50. Repetir el Prob. anterior para el caso de que r, = 50 kR.
10-51. Para cada una de las configuraciones de la Tabla 10-4 determinar A, suponiendo rh = 50 R y
r,, = 50 ki2.
10-52. Deducir una expresin de la resistencia de salida en una fuente Widlar.
10-53. Un JFET con Ir,, = 5 mA y V,, = 4 V, est polarizado a VGsn= - 1 V. Se utiliza en el circuito de
la Fig. 10-27~ para el que los parmetros son R, = 16 kR y R,=l kn,
(a) HallarA, = Vo, /V,.
(b) Hallar R,%y Rf, vistos entre v,,, y tierra. Tmese 1/X = 90 V.
lll-54. Hallar, para el circuito JFET del Prob. 10.53:
(a) A,. = V,, IVs.
(b) R,, y R,, vista entre v,,~y tierra.
IU-SS. El JFET del prob. lo-53 se polariza a V,,, = 2 V.
(a) Determinar R, de forma que en una etapa en fuente comn 1A, 1 = 20.
(h) Suponiendo que I,,, se mantenga constante y empleando la R, de (a) hallar el nuevo valor de
A, para V, = 5 V.
(c) Repetir(h) para V,>= 3 V.
10-56. El circuito representado es el de una tupa en puerta comun o a twra. Deducir tas expresiones de
A,,, R,, Y R,. 0 0
d -

4 t+b.IU.56
I.

IU-S7 En el LXCUIIUde la Flg. 10-27~ se emplea un JFET con R,, = 20 ki2 y. R,i = 1.5 kiL. LUS Lus parnrzrro\
parnrzrn>\
del JFET son: ,q,, = 1 mU y ;d = 40 ka.
-.--
ia) DeterminarA, = V,,lV,
(h) Suponiendo que V,,, /Oi. ( en un 20%. determinar el nuevo valor de A, si V,, y VG, se mantienen
Ds,vare
l-qTRtP-
constantes.
10.58. El JFET del Prob. ,ob. lo-57
10.57 se utiliza corno seguidor de fuente
fuente.
(a) Hallar R, de forma que A,, = 0,95
(b) Con el valor de R, en (a) hallar R, y R,.
lo-S9. El circuito representado es una etapa MOSFET en fuente comn en la que Ql tiene los parmetroc
x#,,, i-,,,, y Q2 ttene los gni2y rdl. Hallar A, = V,JV, comentando la eficiencia de la etapa.

i
10-60. Kepetir el Prob. 10.59 para el circuito CMOS representado.
+

PL

+
+

u i
Ivh. 1.6 : 7
III-61. Caa~t~p~d~~t~~cascadaenErnisorcomn-Emisorcomnernpleaeltransistor~4yestpolari~ad~
a lev = I mA. Los valores de los componentes son R, = 0,6 kC1 y R,, = R, 2 = 1.2 kR. Determinar
A,,,A,:,yA,.
10.62. A la cascada del Prob. 10-6 I se le aade una tercera etapa, y emplea el transistor A polariudo a
I,, = 2 mA. La resistencia del colector es 0,6 KL.
(a) Determinar A, del amplificador suponiendo que esta etapa sigue las dos etapas anteriores.
(h) Repetir (u) suponiendo que esta etapa preceda a las dos del Prob. 10.61.
(c) Repetir(u) suponiendo que esta etapa se site entre las dos etapas del Prob. 10-61.
+

7 Plb. 10.62
IU-63. La> cqa del amplificador del Ejemplo 10-7 se cunccran como queda indicado.
(cl) Determinar la ganancia global A,.
(h) Comparar la respuesta de (a) con A, del ejemplo 10-7 y justifcar verbalmente ata compara-
+
cibn.
IU-64 Repetir el Prob. IU-62 para el circuito reprcacntado.

2iSl 1kiL

+
Li 0 VI
Y
+
5 ki? 1UOil
L1

2 Ltl: Y
Problemas 891

10-65. La fuente de seal y la resistencia de fuznte del Ejemplu 10-7 excitan una sola etapa en emisor
comn empleando Q2,
(a) Determinar el valor de R, necesario para tener la misma ganancia global que en el Ejemplo
10-7.
(h) ~Cul es la tensin de alimentacin mnima necesaria si se emplea el valor de R,. de (u)!
10-66. En el amplificador representado se emplea la combinacin Colector comn-Emisor comn de la
Fig. IO-34h. Tanto Ql como Q2 tienen p! = 150 y V, = 130 V, estando polarizados a l,,o = 100
pA e Icxa = 100 pA. Determinar la ganancn A, = V,JVq,para R3 = 50 kCl y R, = 250 kR

N-67. Se wmaxm cn imada dos etapas en base comn, usando ambas el transi.ax C polanaxk~ a /
= 0,5 mA. El circuito est excitado por una fuente de seal de SO!J y R,, = K, 2 = 5 kR. Detetmink!
(a) Las ganancias de las etapas individuales.
(h) La ganancia de la cascada.
10-68. Para cada transistor de un amplificador cascodo se usa un rransntor C, polarizado cada una a O,2
mA, estando el conjunto excitado por una fuente con R, = 1 kQ siendo R, = 5 kC2.
(a) Determinar A,..
(h) iCul es la variacin en porcentaje de A, si R, varia en +20%?
(c) Repetir(h) suponiendo que R, vare en flO%.
N-69. Comprobar que en el circuito representado:
898 Microelectrnica moderna

10.70. Se emplea un par Darlington (Fig. 10.340) como seguidor dz emisor, con K, = 500<)1excitado por
una fuente de 50 ka. Se emplea el transistor B. Q2 est polarizado a 1,O mA y Ql a 15 flA. Hallar
4, R,, Y R,.
10-71. Los FETs de Iunin Ql, Q2 y Q3 son idnticos y sus parmetros son los dados en el Prob. 10.57.
Determinar:
(a) La &uxmcia de cada etapa.
(h) La ganancia global V,/V,
(c,) Las resistencias de salida R,, y R

IU-72. Deducir una expresin de V,/V, para el amplificador cascado reprcscntado.


10-73. El transistor Ql tiene R,,, = 10 kR y g,,, = 3 mC2. Q2 tiene rn = 15 kC2 y gm7= 2 m U
(a) Hallar la ganancia VJV, para V, = 0
(h) Hallar la ganancia Vc/V, para V, = 0.
(c) Siendo k, = 5 sen uf, y v2 = 2,5 sen af, hallar v,> Iksl

Pm+. 10-n

10-74. El anplifkxdur dkrenual de la Fi lo-36 usa el translsror C po~an~auu a / = IW pw.


DeterminarR, y R, de forma que 1A,>, 500 y la Relacin de Rechazo de Modo Cok% (CMRR)
= 80 dB.
10.75. Las entradas al amplificador diferencial del Prob. 10.74
lo-74 son:
0, = 15 sen 120 Tl + 5 sen 2lr x IOJf mV
ti2 = 15sen120m ssen2?i x 101mV
Problemas 899

La seal de 60 Hz representa una interferencia y la de 1 kHz es la que debe ser procesada:


(a) Determinar v,,(r).
(b) Determinar ~,,~(t).
10-76. En el circuito representado, para Ql y Q2 se emplea el transistor C.
(a) Siendo la, = v = 0, determinar las corrientes de polarizacin Ica e I,,
(b) Hallar I,,, y L,,~para las condiciones en (a). +is v
(c) Calcular A,,w, A,, y la CMRR.
0
(d) Determinar R,d y R,,. / 1

b
15 v Prub. IU-70
10-77. Ld rcs~~tenc~ade IA,3 kll del Prob. IU-76 se sustituye por una fuente de corriente para establecer
las mismas corrientes de polarizacin que en dicho problema. Para la fuente se emplea el transistor
c salvo que VA = 130 v.
(0) Disear la fuente de corriente.
(b) iCules so los nuevos valores de A,, y de CMRR?
10-78. La etapa diferencial de la Fig. 10-3 tiene unos valores dados de A,, y de CMRR
(a) Determinar Y,,,y 102para L, = VTy vI = 0.
(b) Determinar IZ,,,y v8,?para v, = 0 y v1= V
10-79. (a) Demostrar que para la etapa diferencial &grada representada

donde V,,, y V,, son las tensiones Early de los transistores nl>n y pr!~ respectivamente.
th) Dado V = 126 V, y v = 50 V, calcular 1A 1,
(c) La vari&in de la cor&te de polarizacin $&tera el valor de A,,,? Explquese brevemente.
+vcc
900 Microelecrrnicu modernu -

10-80. 13 el circuito del Prob. lo-79 calcular su CMRR y A,, dados V,, = 15 V, RI, = 55,s W V,, = 15
V. y R. = 28 ka. LOS transistores ,?[~ntienen p,. = p,, = 200, y los /vfp tienen p, = p,, = 50. Las
tenkok Early estn dadas en el Prob. 10-79.
IO-81, ~1 circuito representado corresponde a unaetapa diferencial CMOS en laque Ia I&IC~II de asptXt0
W/L esti indicada en la figura junto a los elementos. LOS NMOS tienen k = 25 @A/V , V, = 1.5 V,
v V = 1/h = 50 V. v los PMOS tith k = 12.5 pA/V , VT = l,5 V, y V, = l/h = 100 V.
ia) D&rminar lascorrientes de drenaje de polarizacin en Q3. Q5 y Q7.
(h) CalcularA,, y la CMRR.

A
PlOb. 10-m ,0v

IO.82. Lu> bET\ de un par acoplado en fuente tienen s,,, = I nrlT, y r, = 50 kU Ehtn polarirados por una
fuente de corriente con resistencia de salida de 40 kR. Las resistencias de drenaje R,, = 30 ka.
DeterminarA,j,, A,, y la CMRR.
10.83. Comprobar la Ecuacin (IO- I 10).
10-84. En el circuito de la Fig. 10-46, l,, I,,... v,, = 1 V, y KI = 2R,, R; = ZR1,... R,, = 2R,,~,, y R = R,12.
(u) Determinar I al tender n a infinito.
(h) Calcular IIc,Ci,? = 4
10-85. El circuito de la Fie. 10.46 se ha diseado con R, = R = 1 kQ, y R, = 2R,, R, = 2R, ,... R,, = 2R ,,
Las tensiones de &trada i,. ,>,... I,,pueden ser 0 0 10 V.
(a) Para n = 4 Cul es la tensin de salida mnima si por lo menos una de las entradas no 2s cero?
(h) Para II = 4 i,Cul es la tensin de salida mxima?
10-86. (a) Determinar la tensin de salida mnima en el supuesto de que por lo menos una de las entradas
no sea cero, y en las condiciones del Prob. 10.85. La mxima resistencia disponible es de 55

(h) LQu nuevo valor de ,I ,e puede tomar si R, se reduce 100 R?


10-87. Deseamos emplear el circuito de la Fig. lo-46 para obtener el promedlo de la clax en un examen.
El nmero de &mno~ es 25 y todas las calificaciones son nmeros enteros comprendidos entre 1
y 10. La mxima tensin de salida es de 10 V, y la resistencia mnima que se puede utilizar es de
I kCi. El valor mnimo de la tensin de entrada que se puede utilizar es de 250 pV
(u) Dkear el circuito.
(h) Comprobar el diseo con la siguiente dihbucin
10-88. El Amp-Op de la Fig. 10.46 tiene una ganancia finita A,, pero por otra parte es ideal.
(u) Determinar la funcin de transferencia i,/~,,.
(h) Si R, = 10 kC2 iQu valor de A, re debe emplear si cl resultado de (u) ha de estar detm~ JCL
porcentaje dado en la Ec. 10-l 15?
IU-8Y. Repetir el Prob. 10-8X para el circuito de la Fig. I O-4%. Hacer R, = 10 kQ y comparar eI resultado
conlaEc.(lO-116).
10-90. Repetir el Prob. lo-88 para el integrador de la Fig. 10.50 y descrito por la Ec. (10-l 17).

c.wTlJLo 11
Norrr: En muchos de los diagramas de circutos colsespondientes a los problemas de este captulo no
figuran las disposiciones de polarizacin. Se supone que los dispositivos estn polarizados conveniente-
mente y que los componentes empleados para tal polarizxin (no representados) tienen un efecto
despreciable sobre el funcionamiento del circuito.
En los problemas que siguen se emplean frecuentemente los siguientes transistores

11-l. Se excita un amplificador con una seal v, = 0,l sen w,; + 0,l sen 2a,!. La salida v,, sin distorsin
en frecuencia viene dada en la Fig. 1 l-l (curva 1)
(a) Si hay distorsin de amplitud y de fase, L,,= l,O sen w ,f + 0,75 sen (2w,; 30). Dibujar un
ciclo de I,, y comprese con la onda no distorsionada.
(h) Repetir ((I) para LI,,= 1,O sen (wf 15) + 1,O sen (2w,, f 3OO)Comntese el resultado.
11-L. Calcular, para el circuito representado, la frecuencia superior de media potencia suponiendo que
el amplificador de baja frecuencia es una etapa en emisor comn.
11.3. Reperir ci Prob. I I-2 upuniendo que cl amplificador de ha,,a trecuenc~a eh un seguidor de em,ror.
11-4. Determinar. para el circuito representado, la frecuencia inferior de media potencia suponiendo que
el amplificador de baja frecuencia cs un seguidor de fuente.

11-S. Kcpetu 21 problema anterior para una etapa en fuente comn con resistencia de fuente.
11.6. La entrada en el Prob. 1 l-5 es una onda cuadrada.
(LI) Determinar la pendiente en la onda de salida.
(h) Repetir(u) para una etapa nica en fuente comr~.
11-7. El constructor de un transistor facilita los siguientes daru\: A baja lrcwz~~~a b,, = 160: df = 30
MHr. 1p($u) 1 = 8. Hallarf, y j,.
11-8. Un fabricante de semiconductores indica que con una corriente de polarizacin I, = 1 mA, un
transistor en particular tiene p,, = 120. Con la misma corriente de polarizacin y a la frecuencia de
25 MH7, / &JI) 1 = 10. Determinar Cn en el modelo hbrido-n a I, = ImA suponiendo CV = 1 pF.
11-Y. La funcin de transferencia de un amplificador es (A,/( I +s/a,,).
(u) Demostrar que la respuesta a un escaln unidad de do> de tales amplificadores en cascada (nv
interactiva) es
c..(/l = A: II tl k .r, c 1

(h) Demostrar que con w,~l, la salida varia cuadrticamente con el tiempo
Il-IU. Demostrar que la ganancia de corriente en cortocircuito y base comn a(s) puzdc expresac

donde u,, = B <_/c 1 + I-1,,>Y wa = $i( 1 a,)


11-11. Un amplificador de dos polos tiene la funcin de transferencia de la Ec. (1 l-22) con u, = U.
ka) Estimar las frecuencias de polos.
(h) Definiendo n- ui2/a2 como factor de separacin aproximada de los polos, demostrar que WII
010 la separacin real de ellos es por lo menos de tres octavas.
11-12. (a) Determinar la impedancia de salida en alta frecuencia Z (s) de una etapa en emisor comn.
Supngase que I;, tiende a infinito, pero utilizar el modelo hbrido-n.
(h) Repetir (0) para r#,<-.
11-13. (a) En un circuito en emisor comn y polarizad a I,, = ImA, se emplea el transistor A. Siendo
R,=300 Q y R, = 1,2 kC2 determinar la ganancia a mitad de la banda y la frecuencia superior
w, a media potencia.
(h) ;,Cul es la impedancia de entrada a s =,jw,?
(Nora: Este es el &cuiro de/ Proh. 10-35.)
11-1-i. Un transistor con ,q,, = 4 m7T, Cn = CV = 1 pF y p,, = 120 se emplea en la conf~gurxin en colectul
comn. Demostrar que Z,, tiene un funcionamiento inductivo para 12S&R, <XX&
1l-15. Se utiliza un lransistor C polarizado a /CO= 0,5 mA en la configuracin en emisor comn, excitado
por una fuente de tensin con resistencia de fuente de 2 ka. La resistencia de colector es de 6 !&
Determinar la ganancia a mitad de la banda y la frecuencia superiora media potencia.
11-16. En 21circuito representado se empleael transistorD polarizado a 2,5 mA. Determinar la ganancia
a mitad de la banda y la frecuencia superior a media potencia.
Problemas 903

PrdJ.11-M v
11-17. Se en~plea el rranslstor C polariado a ley = 1,O rrul, zn la configuraan en base comn, con K =
5 kR. La seal aplicada es vq(t) = 2,0 sen wt mV y Rs = 50 fi. DeterminarA,, y el valor aproximkio
de f,.
11-18. Un JFET con ~=50 y rd= 10 kQ se emplea en un seguidor de fuente con R, = 1 kQ. Las
capacidades del JFET son: C <= 5 pF, Cxd = 2 pF y C,< = 2 pF. Determinar A,,, y el valor
aproximado de& siendo R = 4 kR.
ll-IY. (a) Detemhar Z,, del seguidor de fuente del Prob. 11-18 en funcin de R,
(b) iPuede Z,, mostrarse inductiva en alguna zona de frecuencias?
11-20. Enelcircuitorepresentadoseutilizael JFETdelProb. 11-18. DeterminarA, yelvaloraproximado
defx +

Prob.n-20
11-21. El transistor A polariudo a liv = 0,2 mA, se emplea en la configuracin en emisor comn con
resistencia de emisor. Teniendo Rs = 2 kQ, R, = 0.1 kR y R, = 5 ka, determinar A, y f,, ovola
Este es el circuito del Prob. 10.43.)
M-22. Una etapa en emisor comn utiliza el transistor cuyos parmetros estn dados en la Fig. 1 l-19.
Determinar A,!, y f, para R, = 1,s kQ y R, = 0,6 ka.
11-23. Comprobar las Ecuaciones (1 l-47) y (1 l-48).
11-24. Comprobar las Ecuaciones (1 l-53), (11-54) y (1 l-55).
11-25. Comprobar las ecuaciones (1 l-56) y (1 l-57).
H-26. (a) Detehnar los coeficientes a, y a, para el circuito representado, empleando el mtodo de ia
constante de tiempo.
(b) Sugerir la posicin de los polos si R, = R, = R, C, = C, = C, y A, = 2.
(c) Comparar los resultados de (b) con 10s polos reales obtenido; de las races de la ecuacin
cuadrtica.
Cd) Comentar la validez de la aproximacin de polo dominante.
11-27. mpetu cl problema anterior pra el circuito representado.
11-28. (u) Repetir el Prob. 1 l-26, parte (u) para el circuito representado. Supngase que A, 23 UTI
amplificador de tensin ideal.
(h) Serialar a estima los polos para K, = R? = R, = R. C, = CI, A, = 2.
(L.) Repetir el Prob. 1l-26, partes (0 y (4.
1 I-29. Cadaetapa de una configuracibn CE-Ckeemplea el transktor A. polari/.ada cada una a/,, = 1 WIA.
Los valores de los componentes son Rs = 06 kR, R,, = R, I = 1.2 kSZ.
(0) Determinar V,,, y el valor aproximado de f,.
(h) Estimar la localizacin del polo no dominante ms prximo.
1l.3(1. (0) El circuito representado usa los transistores descritos en el Ejemplo 10-7. Supor,lelldo que
cada transistor tiene f, = 200 MHz y Cu = I pF, determinar A,,,, y el valor aproximado de f,,.
(h) Estimarlalocali~acindel polonodotiinantc ms prximo. (Notu: Supngasequelarespucsta
en frecuencia del seguidor de emisor es suficientemente alta para poder despreciar sus efectos
sobre el valor de f;, dc la cascada.)

11-31. Los transistou~ del Ejemplo 10-7 tienenf; = 200 MHz y Cu = I pF.
(a) Estimar el valor def;, para la cascada.
l
Problemas 905

(h) Comprese ehte resultado con ioh del amphficador del Prob. 10-30.
(c) Determinar la situacin aproximada del polo no dominante ms prxlmu. (Nora: Supngax?
que la respuesta en frecuencia del seguidor de emisor ejerce un efecto despreciable en la
respuesta en frecuencia de la cascada.)
11.32. Repetir el Prob. 1 l-3 1 para el circuito representado.

11-33. Los trans~ores del circuito representado wn idnticos, con rn = 1,5 klL, b,, = 150, Cn = 50 pF 4
CV= 1,O pF.
(a) Determinar A,, y el valor aproximado de J,, dado R, = 20 k62. (Nora Supngase que la
respuesta en frecuencia de la etapa en emisor comn es bastante alta para que no ejerza ningn
efecto sobre la respuesta en frecuencia de la cascada.)
(h) Estimar la situacin del polo no dominante ms prximo.
11-34. En el circuito representado Ql y Q2 tienen p, = 150, V, = 120 V,fT = 400 MHr y C,, = 05 pF, a
la corriente de polarizacin & = 100 WV\:
((I) Determinar el valor aproarnado def,, para R, = 50 kR y R, = 250 kQ.
(h) Estimar la situacin del polo no dominante ms prximo.
(Notu: En el Prob. 10-66 se calcul A,,, para este circuito.)

Pr&. 11.34

11.35. ti UICUI~U del problema anterior emplea el transistor B, con QI polarizado a 75pA. y Q2 a 250
pA. Determinar A,, y el valor aproximado deJ, para R, = 500 XR y R, = 500 kC2.
11.36. En cada etapa de un amplificador cascodo se emplea el transistor C, estando cada una polarizada
aw = 0.2 mA.
(a) Siendo R, = 1 kl2 y R, = R, ?= 5 kR determinar el valor aproximado def,,.
(h) Comprese el resultado de(a) con el de una etapa en cm~sor comn wn trans~~t~C puhzado
aI,.,=0,2mAconR, =1kRyR,=5kR.
11-37. (0) En el circuito cascodo integrdo representado, se usan transistores B y E polarizados a / /cO 1 =
125 p.4. Determinar A,, y el valor aproximado de f,.
(h) Estimar la frecuencia del polo no dominante ms prbximo.

11-38. krla iaxada ~uenre cumn-Fwnte comn. emplea JFET> idntlco~ wy<n parametros so,,;
R,,,= 1 mUT,R,, = 40 kR, Ct, = 5 pF. C<,!= I pF y C, = 1 pF.
(0) DeterminarA,~I y el valor aproximado def, con: R, = 5 kQ, R,,, = 40 kR y RD2= 10 kR.
(h) Estimar la frecuencia del polo no dominante ms prximo.
11-39. Los FETs del circuito representado tienen los parmetros dados en el problema anterior.
Ca) Determinar A, o y el valor aproximado de f,,.
(h) Estimar la frecuencia del polo no dominante ms prximo.

~~~ ,1;;1
t+b.
ll-39- Pr&,.
11.4,
-
11-W. Se intercambian las etapas de la cascada del Prob. I l-39. Repetir el problema.
11-41. El JFET del circuito representado tiene s,, = 2 m7T, T(,= 30 kR, Ce5= 10 pF, Cs<!= 5 pE y C,,, = 5
pF. Los parmetros del BJT son: Rx = 2.5 kR, p,, = 125, Cn = 100 pF y Cu = 1.5 pF.
(a) DeterminarA,, y el valor aproximado de&.
(h) Estimar la frecuencia del polo no dominante ms prximo.
11-42. Repetir el Prob. antetior para el caso en que se intercambien el BJT y el FET,
11-43. (a) La figura representa el modelo de un amplificador diferencial con una seal diferencial ;
aplicada. Dado p, = 125, rr = 25 kQ, CE = 5 pF, C,, = I pF y, I;, = 1 MQ determinar el valoT
Iroblemns 901

aproximado deJ,!.
(hJ Estimar la frecuencia del polo no dominante ms prouno.

11-J-I. La figura representa el modelo de alta frecuencia de la etapa diferencial del Prob. 1 l-43 con una
seal en modo comn aplicada.
(a) Determinar el valor aproximado def,.
(b) Estimar la frecuencia del polo no dominante ms prximo

2000 0.5
A - A
OM 1 + \/2?i x 10 <* 1 + .s/2n x 10

(UJ kbuur el diagrama asinttico de Bode de la Relacin de Rechazo del modo comn (CMRR).
(h) LA qu frecuencia la CMRR es la mitad de su valora baja frecuencia?
U-46. Comprobar las Ecuaciones (1 l-73) y (1 I-74).
11-47. Los Amp-Op del circuito representado son idnticus, teniendo A,,, = 10 yf,, = 10 Hz. En todos In<
dems aspectos los Amp-Op son ideales.
(u) Determinar la ganancia en baja frecuencia y el ancho de banda de cada etapa.
(h) Valindose de los resultados de (a) escribir una ecuacin de A,,,(s) para la cascada.
(c,) Trazar el diagrama asinttico de Bode de la funcin de (h) y estimarf,.
(d) Mediante la aproximacin por polo dominante, determinar;, y compararlo con el resultado
de (c), comentando la comparacin.
YO8 Microeiecrrnica moderna

P,c.b. 1I-47

Primeraetapa Segundaetapa Terceraetapa

1 l-48. kuones trcnolgicaa y de fabricacin obligan muchas vecer a que la relacin entre lar res~\tz~~cla\
mxima y mnima empleadas en el circuito sea igual o menor que diez.
(a) Teniendo en cuenta esta limitacin, hallar la ganancia mxima en mitad de la banda que se
puede consegur con un amplificador de tres etapas Amp-Op en cascada, suponiendo que la
sahda est desfasada 180 respecto la entrada.
ihj Con la limitacin citada y suponiendo que las caractersticas del Amp-Op sean: A,,, = 126 dB
yf;, = 5 HI, hallar el ancho de banda del amplificador obtenido en (u).
11-49. Repetir el problema anterior suponiendo que la salida del amplificador y Ias seales de entra&~
tengan que estar en fase.
11.50. En el circuito representado se emplea el transistor C.
(a) Determinar los valores de reposo de 1, y de r,,.
(h) Suponiendo que C, pueda hacerse arbitrariamente grande, determmar C, de forma que
f, = 20 HL.
(0 Suponiendo que C, pueda hacerse arbitrariamente grande determinar C paraf = 20 HL.
(d) Elegir C, y C, paraf, = 20 Hz, para minimizar la capacidad total. Suingasi que el efecto
capacitivo no dominante tiene una frecuencia menor de 2 Hz.

13 v

L,

1 Ii
11-51. Comprobar la Ec. (1 l-81).
11.52. (0) Detenf~inar A,. y el valor aproximado def,, del circuito del Prob. 1 l-50.
(h) Se conecta un condensador C entre B y C. Determinar C para qwf, quede reducida d 20 kHL.
(c) Si se aplica v,(r) = V,,,sen(2rrxlO t) iCul es la tensin de salida v,, si V,, = 0, l V?
(d) Cunto puede crecer Vn,antes de que la etapa acuse distorsin?
1 l-53. (a) Demostrar que la ganancia de una etapa FET con capacidad de paso de fuente C, e&
Ai,, I + <lW,
A~L(.F) =
I + R,R, I + siw,
dundc ,.l,l, = -,q, R,, ws = VR, C,, y w, = (1 + g,R,JR,C,,. Suponer R, + R,, << J-<,
(h) Dados g,,,R,<<1 y g,,, = 3 m 7J,determinar C, de forma que una onda cuadrada de 60 H/ nu acuse
una pendiente mayor del 10%. +
P

/ kll

.,o
Gt u,+ r-++

t-
0l-
P, b. 11.54
i
11-54. El t~a,,.s,stor empleado t,en~ p,, = 100, rn = 1 kSZy ;,m.
(a) Determinar el valor de,f,.
(h) Dada una onda cuadrada i(t) = 200 Hz, determinar la pendiente en porcentaje en la salida.
(<,) ,Cul es la onda cuadrada de menor frecuencia que acuse una pendiente no mayor del 2%!
11.55. Los transistores del circuito representado son idnticos y tienen I. = 4 kR y p,, = 200.
(0) Detetminarf, de cada etapa suponiendo C,, =c,~=I~F,~~,,=~,~=~OO~F.
(h) ;Cul es la frecuencia inferior de media potencia de la cascada?
(r) Comprese el valor de (h) con el def;, obtenido del diagrama asinttico de Bode d la cascada.
l-56. El circuito del Prob. 1 l-55 debe tener globalmente una frecuencia inferior de media potencia de
50 Hz. Seleccionar los valores de C,,, C,?, C,, y Ci para minimizar la capacidad total empleada.
l-57. Los JFETb del circuito representado son idnticos, teniendo ,g,, = 2 mU y r,, = 40 kR.

9
YIO Microelectrnicu moderna

iU) ccm C!,, = CbA= 1 Pb, Y L,, = c,. = 100 pF, drtermmar la trecuenc~ mfer~or de media
potenaa de cada etapa.
Ch) ;Cul esf, de la cascada?
CL) Comprense estos valores con los obtenidos del diagrama asinttico de Bode para la cascada.
ll-j% Elcircuitodel problema 1 l-57debe tenaL = 50 Hz. DeterminarC,, , C,,. C,, y c,? para minimiTa
la capacidad total empleada.

CAPiTULO12
Cvoiu: En muchos de lo.\ diagramas de circuitos con-espondle:rrtes a Iu> prublerrlab diz eSte caplulu ,,.
figuran las disposiciones de polarizacin. Se supone que tales dispositivos estn polarizados congenien.
temente y que los componentes empleados para ello (no representados) tienen un efecto despreciable sobre
el funcionamiento del circuito. En los problemas que siguen se emplean frecuentemente 10s siguiente3
transimres:

li?ln.~i.w,res

12-l. iA qu tlp de amplificador ideal se aproxima cada uno de los siguientes? Justificar la rapuesta
(0) El seguidor de emisor.
(h) A la etapa en fuente comn con resistencia de fuente.
12-Z. Un amplificador de transimpedancia tiene Z, = R, = 50 R, Z = R = 50 R, y R = 10 kR. La\
caractersticas de cierto amplificador de transconductancia so<Z, =k, = 50 kR, Z,,: R,, = 100 kc1,
yG,,,=O.l u
(u) Con los circuitos dados construir un amplificador de corriente.
(h) ;.Cules son los valores de R,, R,, y A,?
12-3. (u) Emplear los amplificadores de transconductancia y de transimpedancla del Prob, 12.2 para
construir un amplificador de tensin.
(h) i.Cuks so los valores de I,, R,, y A, ?
12-J. En el circuito representado Ql y Q2 son transistores idntwx con I~ = l ka, y <?,, = 0.1 s.
(CI) i,A qu tipo de amplificador se aproxima este circuito?
(h) Determinar la\ resistencias de entrada y de salida y la relacin de tmn$ferencia del amplificar
Amplificador
Problemas 911

12-S. Repetir el Prob. ntcrior para el circuito reprexnrado. Lo\ transistores bun 101 dados cn cl Pr&
12-4.

12-b. (u) Hallar. para CI c~rcuiro representado, la componente de serial de la tensin !, cn funcin dc I
y L;. Supngase que el amplificador inversor tiene una resistencia de entrada infinita y una
ganancia de tensin A = 4000. La red de realimentacin est caracterizada por p = VJV,, =
11300. Los valores de los parmetros del circuito son: R, = R, = 2 kCl, y R, = 6 kR. teniendo
21 transistor p,, = 200 y ,-x= 4 kQ.
(h) Hallar A, = VS/\,
12-7. El diagrama de bloque5 representa un sistema de realimentacin de dos etapas. en el que XStx la
sefial que hay que ampliar, XI es el ruido introducido con la kal, X, es un disturbio introducido
en el propio amplificador(qulrs debido a un rizado del sumini<ltrn de-potencia) y X, otro disturbio
introducido ala salida del amplificador:
(a) Hallar A,,, TyA,,siendoX,=X1 =X,=0.
(h) Determinar las relaciones de transferencia X,, ix,, X,/X?. y X,, /X,.
(c) Comprobar que

x = Ao&. + X,1 + W,IAJ + XJA,,, 1


l+T
[Ji) Sra X,,, la componente de la salida debida a X,, X,,, la componente de la salida debida a X, y
as sucesivamente. CalcularX,,)X,,, , X,,/X,,? y X,,,X,,,
(e) Repetir (b) con p = 0.
v) Repetir(d) con p = 0 y comprense 105 resultados. ,t& conclusin se deduce?
12-. Hay que disear un amplificador realimentado para que tenga una ganancia en lamo cerrado dc
50 k 0,l. El amplificador bsico tiene una ganancia que puede gcbernarse dentro de un + 10%.
Determinar los valores de lagananciaen lazo abierto, la relacin de retorno y la transmisin inversa
p de la red de realimentacin.
12-Y. Un amplificador sin realimentacin da una seal de salida de 15 V. con un 10% de distorsin por
segundo armrricu cuando la serM de enrrada es de 15 mV.
(a) Si el 1,5% de la salida se reenva a la entrada en un amplificador xne-parnlelu rxgauvu, ;,cul
es la tensin de salida?
(h) Si la salida fundamental se mantiene en 15 V. pero la dibtorsin de segundo armnico he reduce
al 1% jcul es la tensin de entrada?
12-l& Valindose del anlisis aproximado, determmar A,,, p. T y A, del seguidor de fuente.
12-11. (a) Empleando el anlisis aproximado determinar A,,, <p, T y A, de una etapa en ermsor comn
con reststencia de emisor R,.
(h) Comparar el valor de A, con el de la Tabla 10.3A y ruonar cualquier diferencia hallada.
12-12. (a) Determinar Ac,,, 7, fi y A, del circuito representado. Emplese el anlisis aproximado.
(h) i,Cul es la topologa de realimentacin empleada?

12-13. iu) Emplear la frmula de la impedancia de Blackman para determmr K,, de la etapa del Prob,
12.11.
(h) Repetir (0) para R,,,. Incluir ;, del BJT.
(c.) Comparar estos valores con los dada en la Tabla 10.3.
12-14. Obtener R,, y R<,, del circuito del Prob. 12.12.
12-15. El Amp-Op del circuito representado tiene una ganenria en lazo abierto A, y una resister~cta de
salida R,,.
(a) Determinar A,, , p. T y A! de la etapa.
(h) Determinar R,,, del circwo.
(c.1 ;,Qu topologa de realimentacin be emplea!
12-M. Emplear los parmetros f (Sec. 12-X) para obtenerA,,, A,,,, T y A, de un se&uidor de fuente d bajas
frecuencias.
12-17. Repetir CI Prob. anterior para una etapa en emisor comn co resistecia de emisor R,,
12-18. El Amp-Op. del circuito representado est caracterizado porA, y R,,.
(LI) Usando los parmetros t obtenerA,,. A,,,, T y A,.
(h) Utilcese la frmula de la impedancia de Blackman para obtener R,, y K(,,
K-.
Problemas 913

12.19. En el seguidor de fuente representado, Ll z v xa sen 2~ x 1201,eb latw~~nde rudodel uministro


de potencia y puede ser tratada como una perturbacin en la etapa. Determi,,ar, para K, = 2 kfi,
s,, = 2 mi3 r,, = 20 kR y K = 500 kQ, el mximo valor de v,,~ suponiendo que la componente del
rizado de la salida no debe superar los 20 WV.
Amolificador

Red de realimentacin
12-2u. iu) .Yu& lopolugla se emplea en el circuito representado?
ChI Con R, = 1 kQ, R,, = 5 ka, A, = IO, RI = 50 ki2, R, = 2 ki2, y R, = O,6 kQ, determinar AC,,, I
YA..
Ir,) hado A+-, hallar A,.
(d) Calcular R,, y R,,.
(el i.Qu nuevo valor de A, deber usarse si R,, debe ser 600 $1?.
12-21. (u) iQu topologa se emplea?
Ch) Dibujar el diagrama del circuito de un amplificador sin realimerlracin,
((,) Con R, = 500 Cl, R,, = 20 kR, R2 = 50 kQ, R, = I kQ G,,, = 100 7T, R = 6~ LL b K, z z kll,
hallar A,,., T y A,.
id: Determinar R,, y R,,.
Ce) Con CY,,,+=-, hallarA,.
Am,,l,ficc,dor

Red de realimentacin

2-22. (Ui ~CPc~~~ 4 Prob. 12-21 para el Circuito representado. Los valores de loS &,,,,antos s:on: R, = 5
kQ, R,, = oS kQ> R,,, = 100 kR, R, = 10 kS2,R, = I hR, R, = SO 0, y R, = 2 ka,
-
Amplificador

12.23. Comprobar las ecuaciones (12-41) y (12.42).


12-24. Los FETsdelcircuitorepresentadoson idnticos, teniendoK,, = 2 mU y j,, = 20 ki. Los par~rlrztru~
del circuito ron: K,>= 12 kR. R,, = 500 kR, R, = 50 R y R, = 5 kQ Determinar A, y R,,.

12.25. tn ~1CI~LUIIOrepresentado se emplea el translstorA polarizado a I,(, = 1.5 mA.


C(I) DeterminarA, y T.
(h) Hallar R,, >R,,,
(c i Qu valor de R se necesita. si se necesita al&uno, para hacer que K,,, = 47U!
12.26. Comprobar la Ecuacin (12.43).
12-21. Una etapa en emisor comn con resistencia de emisor R, se diseria con un transistor que tlenc rn
=2,5kRyP=125.
(u) Dado R, = 2.5 kR y R, = 3 k0, hallar R, para que S;I; = 1/31.
(h) Con el valor de R, hallado en ((I) determinar A,
(c) Comparar la respuesta a ib) con A, del ejemplo 12-Z.
12.28. El circuito de la Fig. 12.12 emplea el transistor descrito en el Prob. 12-27.
(u) Dado R, = 2.5 kR y R, = 3 kR, hallar R,. para que S; = l/3 I
(h) Calcular A, usando el valor de R, de (u).
Problemas 915

12-29. (a) Determinar T, A UL,y A, para el circuto representado.


(b) Calcular R,,. Los MOSFETs tienen g,, = 1 mu y rd = 20 ka.

y P,Ob. 12.31
12-30. Comprobar las Ecuaciones (12.48) y (12-50).
12-X (0) Repetir el Pr&. L-29 parte (0) para eI CKUI~O representado. se emplean transIstores c >-u
polarizados a Icn = 0,25 y 0,5 mA respectivamente.
ch) Calcular R,,
72-32. (0) iQue topologa se emplea en el amplificador representado?
@) Suponiendo que T 1, demostrar que A = i /V = R /R R siempre que K (K, + R ,.
(c) Dado que Ql, Q2 y Q3 son transistores C:on> = 0,!?5 mA I , = 1 0 mi 1 = 0 5 GA.
Los elementos del circuito son: R,, = 5 kQ R,, :?,5 kR, R,, : t?kQ ;P, = 0,2 i8, R, : 0,33
kR y R\ = 0,6 kR. CalcularA, y T si R, = 20 kQ.
(dJ Hallar la ganancia de tensin V,JVr.
+
P

Ri
R, K1

1Y
P.Db. 12.32

12-33. D~tmmnarR,, y R,, del urcuito del Prob. 12.32.


12-34. Comprobar las Ecs. (12-55) y (12-56).
12-35. Emplense los parmetros f para calcular A T y A, del circuito del Ejemplo 12.6.
12-36. Repetir el Prob. 12-35 para el circuito del e&plo 12-7.
12-37. Repetir el Prob. 12-35 para el circuito del ejemplo 12.9.
Y16 Microelectrnica moderna

12-38. Repetir el Prob. 12-35 para el circuito del ejemplo 12-2Y.


12-39. (a) Comprobar la Ec. (12.60).
(b) Sea Afi, = A en la Ec. (12.60): Determinar la sensibilidad AAf empleando la EL. (12-X).
(c) Calcular el resultado de ib) para Af = 1
12-40. En el circuito multi-lazo de la Fig. 12-42 hagamos: A, = a,/(l + T,s), AI = uJ( 1 + t,s) y A, =
u,/( 1 + 7,s). Las transmisiones de realimentacinf,,f, y f, son constantes reales.
(u) Obtener la funcin de transferencia A,(s).
(b) Comprobar que cada coeficiente de A,(s) pucdc cspccificarse ajustando IU gananua (A,) de un
solo lazo.
L-ll. Repetir el Prob. anterior para la estmctura en esalto de rana de la Fig. 12-43.
12.42. El transistor del circuito representado tiene los parmetros ,n, r,, y p,,.
(u) i,Qu relacin debe existir si R,, ha de ser I-~!
(h) Calcular V,/V, en las condiciones de (0).

i 4 Pda. 12.42

12-43. (LI) En el urcuito del Prob. 12.42, iqu relacin debe existir si R,, = r-,?
(h) CalcularA, = V,, /V, en esta condicin.
12-44. Disear el circuito del Prob. 12-20 utilizando el transistor C

CAPWLU 13

13-l. Un amphficador dr: und etapa sn realimentacin puede reprexntarx por

A,,
4YH(Jl = , + s,w
h

At., rlw,
Ai,. = , + s,w

d dha y d bd~ trccwncra respectivamente. siendo A>,, la ganancia a mxad de I banda.


Entre la salida y la entrada del amplificador bsico se intercala una red de realimentacion p.
(CI) Siendo A,,, = 500, p = O,Ol.i;, = SOkHr y.f; = 1 kHz. determinar los valores de las frecuencia\
superior e inferior de 3 dB del amplificador realimentado.
(h) Determinar los productos ganancia-ancho de banda del amplificador con y sin realimentacin,
y comparar los resultados.
13-Z. Sc dispone de dos bloques amplificadores idnticos teniendo cada uno de ellos A = 200 yf, = 100
kHz.conlosquedi~e~arunamplificadordegananciaglobaliguala 1000ycuyaf~ecuenciasuperior
Problemas 91-J

de media potencia seaf, > 2 MHz. Supngase que no hay interaccin entre etapas. Qu campo
de valores de p satisface las condiciones del diseo?
13-3. Deben compararse dos amplificadores realimentados. Supngase que A, = a,/( 1 + s/w,);
A, = a,/(l + s/w,) y que p, , p,, y p son independientes de la frecuencia.
(a) Suponiendo que A,P, , A,&, y A,A$, sean mucho mayores que la unidad, determinar p de
forma que la ganancia con lazo cerrado de los dos amplificadores sean iguales a baja
frecuencia.
(h) Comparar S$ a baja frecuencia de los dos amplificadores.
(c) Si AL = A, y p, = p, cul de los dos amplificadores tiene mayor ancho de banda?
(d) ~Cual de los dos tiene en conjunto mejor funcionamiento? Explquese.
13-k La relacin de retorno de un amplificador realimentado viene dada por
TC>
T(s) =
(1 + siw,) (1 + sio,) (1 + sio,)
(a) Con Tu = lo, W, = 0,l Mrad/s, y W, = 1 Mrad/s y wj = 10 Mrad/s detemktar si el amphficador
con lazo cerrado es estable.
(h) ~Cules son la ganancia y el margen de fase en(a)? Etnpkkse el diagrama asinttico de Bode.
13-5. Repetir el Prob. anterior para T,, = 2x10 , w, = 0,2 Mrad/s, w2 = 40 Mrad/s y w = 200 Mrad/s.
13-6. La relacin de retorno a baja frecuencia de un amplificador realimentado viene3dada por
2 x lo-.6
T(s) = (1 + s) (1 + SilOO)(1 + S/1000)
(u) El amplificador con lazo cerrado jes estable?
(h) iCules son la ganancia y el margen de fase?
13-7. La relacin de retorno es:
Tu (1 + s/IO~~)
T(s) = (1 + Silos) (1 + x/106) (1 + Silo)*
(u) Detaminar el mayor valor de T, con el que el amplificador es estable.
(b) j,Qu valor de T, hace que GA4 = 10 dB?
(c) iQu valor de T, hace que 0M = 45? Emplese el diagrama asinttico de Bode.
13-8. Se requiere que el amplificador del Prob. 13-4 tenga 0M = 9.
(a) iQu nuevo valor de O, se necesita?
(h) ACunto es GM en las condiciones de (a)?
(c) iCul es aproximadamente el ancho de banda con lazo cerrado?
(d) Usando la expresin analtica de T(s) calcular L(jw,) y T(jo,). Utilcense los valores o+, y
W~obtenidos del diagrama asinttico de Bode.
13-9. El amplificador del Prob. 13-5 debe compensarse usando la cancelacin polo-cero para tener un
margen de fase de 45. La red empleada para proporcionar la cancelacin polo-cero tiene la funcin
de transferencia (1 + s/z,)/( 1 + s/ oA).
(a) Determinar z, y wA
(h) iCul es el nuevo margen de ganancia?
13.10. Supngase que despus de aadir una red de compensacin polo-cero, T(s) del Prob. 13.5 puede
aproximarse por la funcin de dos polos
Tu
T(s) = (1 + sio,) (1 + sio,)
(uj Determinar wA de forma que 0M = 45.
(b) Comprese el valor de w, hallado en (u) con et resultado del Prob. 13-Y.
13-11. La relacin de retorno de un ampltficador e>
4 x 10
m) = [l + (s/w,)] [I + (silo)] [l + (silO~)I
(u) Determnar el valor de w, necesario para tener @,, = 45.
(h) Un mtodo alternativo de compensacin es el de aadir una red en el lazo de realimentacin.
que haga
4 x 10 (1 + Sil,)
T(r) = [l + (.T/0,)1 [l + (SilO)] [l + (Silos)]
Cw J,>w, y z,<105, determinar o, y z, para tener @,,,= 45.
(c, Comparando los resultados de (a) y de (h) determinar cul de las tcmcas de compensacto
da un mayor ancho de banda en lazo cerrado. Explquese.
13-12. (u) Con w, = 20 rad/s, determinar el margen de ganancia y el de fase para T(s) del Prob. 13-l 1
parte (a) mediante el diagrama asinttico de Bode.
(h) De la expresin analtica de r(s) calcular LT(jw,) y Rjw,), en decibelios. Emplense los
valores wc y wcI deducidos del diagrama asinttico de Bode.
(c,) Comparar los valores obtenidos en (h) con los sacados del diagrama de Bode, y comntese
cualquier diferencia.
13.13. (u) Un amplificador de dos polos tiene las frecuencias de codo[, = 400 kHz, yf, = 1.6 MHL. iQu
valor de T se necesita (en decibelios) para tener la subida sm sobrevalor ms rpida?
(h) Comparar los resultados de (a) LO* el tiempo de subida de un amplificador con las dos
frecuencias de codo dadas.
13-14. Si se aplica T = 30 dB al amplificador del Prob. 13-13, ~,Cules son el tiempo de subida y el
sobrevalor (si lo hay)?
13-15. (a) Las dos frecuencias de codo de un amplificador son:f, = 50 kHz yf, = 5 MHz. Determinar el
valor mximo de T, con el que el sobrevalor de la respuesta a un escaln sea del 5%.
(hJ En qu momento se alcanza el pico?
(c) Calcular la magnitud del primer mnimo y el momento en que ocune.
13-16. Deducir las Ec. (13-28) a (13-31) para la respuesta a un escaln de un amplificador de dos poloh.
[Sugerencia: Para el caso sobre-amortiguado, tomark 2 1, y ( 1 - l/k 2)2 en una serie de Taylor.]
13-17. Calcular el margen de fase correspondiente a k = 0,4, 0,6, 0,707, O, y I de un amplificador de
dos polos.
13-18. En el circuito representado se emplea el transistor A polarizado a Icu = 1,5 mA. Los valores de los
parmetros son: R, = 2,5 kQ, R, = 3 kC2 y R, = 20 ka. Determinar las frecuencias del polo
dominante con lazo abierto y con lazo cerrado. (Nora: Los datos de los transistores A y E vienen
dados al principio de los problemas del Captulo 12.)
Problemas 919

13-19. Valindose del anlisis de la realimentacin comprobar que los productos ganancia-ancho de
banda, en lazo abierto y en lazo cerrado de una etapa de Amp-Op no inversor son iguales.
13-20. Con las tcnicas de la realimentacin comprobar que para una etapa Amp-Op inversora

Wn = 1 + iA,/

en donde A,,, wh es el producto ganancia-ancho de banda del Amp-Op y A, es la ganancia en baJa


frecuencia de la etapa inversora.
13-21. En el circuito representado, demostrar que el polo dominante en lazo cerrado es el polo dominante
en circuito abierto multiplicado por (1 + T,,). Emplese el transistor C polarizado a Icp = 0.5 mA.

13-22. LUS ~mns~swresempleados en el Ejemplo 12-7 tienen, cada uno de ellos,f, = 200 MHz y C = 1 pF.
(a) Determinar aproximadamente las frecuencias de los dos polos dominantes en lazo akerto.
(b) Basndose en (a) estimar las frecuencias del polo en lazo cerrado.
(c) Esbozar el diagrama asinttico de Bode basndose en (a) y estimar el margzn de fase.
13-23. Los JFETs del Ejemplo 12-9 tienen, cada uno de ellos, Ce, = 5 pF, C,ezi= 2 pF y C, = 1 pF.
(a) Estimar aproximadamente las frecuencias en lazo abierto de los dos ~010s ms urximos al
origen.
(h) Basndose en (a) esbozar el diagrama asinttico de Bode y determinar el margen de fase 0,.
(c) Del resultado de (b), indicar la estabilidad del amplificador global.
13-W. En el Prob. 13-23 se dan los valores de las capacidades de los FET del circuito del Prob. 12-24.
Reoetir el Prob. 13-23.
13-25. Repetir el Prob. 13-22 para el circuito del Prob. 12-25.
13-26. Repetir el Prob. 13-22 para el circuito del Prob. 12-29. Las capacidades de los JFET vienen dada>
en el Prob. 13.23.
15-27. Repetir el Prob. 13-22 para el circuito del Prob. 12-3 1.
13-28. Repetir el Prob. 13-23 para el circuito del Prob. 12.32.
13-29. En el circuito de los problemas 12-24 y 13-23 se conecta una capacidad C, entre la puerta y el
drenaje de Q2.
(a) Determinar C,. para tener k = 0,8.
(b) iCul es el margen de fase para la situacin en (a)?
(c) Estimar el ancho de banda con lazo cerrado.
13-30. En el circuito de los Prob. 12-32 y 13-28 se conecta una capacidad C, entre la base y el colector
de 92.
(a) Determinar C, de forma que Q2 = 0,l.
(b) Estimar el ancho de banda a lazo cerrado
920 Microelectrnica moderna

13-31. Para hacer Q = 0,l hay que aadir un condensador de compensacin C, al circuito del Ejemplo
13-7.
(a) Determinar C, suponiendo que est conectado entre la base y el colector del transistor de
entrada.
(b) Repetir(a) suponiendo que C, se conecte entre la base y el colector del BJT de salida.
13-32. Hay que compensar el circuito tratado en los Prob. 12.32, 13.28 y 13.30 conectando C, entre la
base de Q2 y tierra.
(a) Determinar C, para que Q = 0,l
(b) Estimar el ancho de banda a lazo cerrado y compararlo co el resultado del Prob. 13-30.
(c) Comparar los valores de C, obtenidos en (a) y en el prob. 13-30, parte (a), y comentar las
posibilidades de cada uno.
13-33. Se desea que el circuito del Ejemplo 13-7 excite una carga capacitiva C, = 20 pF
(a) Determinar los nuevos valores de o, y wz.
(b) Estimar las nuevas frecuencias del polo (lazo cenado) y el ancho de banda resultante en lazo
cerrado.
(c) CCul es el tiempo de subida de la salida y el sobrevalor (si lo hay) ante una tensin de entrada
en escaln?
13-34. Consideremos la etapa en emisor comn representada a la que se le aade una capacidad de
realimentacin C,Cv.,
(a) Estimar la frecuencia del polo dominante.
(b) iCul es la nueva situacin del cero en la functn de transterencta!
(c) Estimar la localizacin del polo no dominante.
(d) Calcular las posiciones del polo y del cero para los siguientes parmztros: g, = 1,5 mU, r., =
100 kR, Cx= 1.5 pF, C,,=O,5 pF, C, = 25 pF, R, = 10 kR, y R,= 10 kR. Comntese la
separacin.
(e) Comparar los valores en (4 con los que se obtendran si C, = 0.

Pruh.
13.34
13-35. El Anlp-Op del urcuito representado es ideal: Los parmetros del tranci\t<rr y del circuito son los
dado\ cn el Prob. 13.34.
(u) Demostrar que el polo dominante viene dado por

wx,do K: = R, /i r,, x,,,R, + 1, y C, ti C, + C,


(b) Comprobar que el cero aparece en .s= g,,, /C.
s = g,,,ic,
(c, Comprense los valores cn (u) y (h) con los obtenido5 cuand C, = 0.
(d) Comprese la eficiencia de este circuito con la del circuito del Prob. I 1-34
Prob1ema.s 921

1 T PT Prnb 33 is
13.36. (ir, Dcmuaar que la cumpr~uicmn Jr pulu duminante en la que Q% 1O/ 12 I da pvr resultado urla
separacin entre polos a lazo cerrado de por lo menos una dcada.
(hi Si T,, = 100 i.Cul es la separacin de polos apropiada en lazo abierto!
13-37. La cacadaColectorcomn-Emisor comn utiliza transistores idnticos con b,, = 250, V, = 125 V,
f; = 400 MHr, y C = 0.5 pF. Ql est polarizado a Ice, = 5 ti, e lcQ2 = 250 0.
(a) Siendo w = 0 &terminar R,, R,, y la relacin de transferencia V,,//,.
(h) Si i, procede de una fuente de tensin ll, = i,R$ con resistencia de fuente R, i,Cul ?E la ganancia
de tensin V,/V! a w = O?
(0 Detemkar la sttuacin aproximada del polo dominante de la cascada.
(d) ;,Qu valor de C, debe emplearse para hacer la frecuencia del polo dominante igual a I 0 H/?

13.38. iu, Ar~~l~rarzlcircuitodelProb. 13.37empleandolas tcnicasde larealimentacin,y hallar,$,, is).


p(.s), T(S) y A,(s). Obsrvese que todos ellos son funciones de C,.
(h) Calcular T para s = jw = 0. Este resultado i,es razonable?
(c) Dado que i, es una corriente en escaln, esbozar la tensin de salida v,,(t).
13.3Y. El polo dominante w, de la etapa representada en el Prob. 1 l-37 es uno de los dos polo& de la
ganancia en circuito abietto A,,(s) = lO/( 1 + s/w,) (1 + s/2~ x 10) de un Amp-Op. Determinar C,
para que d un margen de fase de 45 para una ganancia unidad en lazo cerrado.

14-l. Los transistorespr~/> 2 la fuente de cortente reprexxttada rienen p, = 50. fi, = 5tJ y b / = 5lJ L.
(u) Determinar R para I,, = 1OOti.
(h) ;Cul es la resistencia de salida de pequeca seal!
(c) Este circuito ipuede fabricarse en un chip?
Plmb. 142

14-2. (u) tn 21 clrCuito representado / = 50 ti. L.Q ue re 1,dcmn R,/R, se necesita para que /,, = 1~0 @!
(h) icul es la resistencia de salda de la fuente?
1h3. (0) LOStransistorespnp de la Fig. 14-2 tienen na tensin Early V = 50 1/ y 10s npn la tienen 1/
= 120 V. Jhmstmrque con una corriente de polatizacin /CQAp la resistencia efectiva de cari:
del transistor npn es:
vm VA,
R, =
&u (VA, + VA,)
ihJ Gkular R,
(r) Con r+? e 1, = 0x1 mA, calcular V /V d 1
(d) El resultado de yc, iqueda afectad,, si& &;;tapa
14-J. (U)
Comprobar la Ec. (14.2). S uPoW= que 1% Cocientes de base son despreC,ablC,
(h) iQurelacin de superficies necesita una fuente de 300 tiA, SI Ia cmiente de referencia es dc
50 MA?
Cc) i.Cmo se puede alcamar tal corriente con una fuente pnD?

(d &n una corriente de referencia de 50 ti . Glue se r=?JleR PUa que la cmiente de fuente est
comorendida entre 50 Y 100 ti?
4-5. La figura rCPmXIta un .&P-op de dos &pas (vanse tambin loS Probs. 14.6 a 14.,o)
ia) Identificar la funcin de cada transistor.
ch) Comprobar que sin seal
transistores w tienen p,z=
PFti-nPfi =b,, =50,
*'cc
1 r-
Problemas 923

14-6. Disear el repetidor de corriente de forma que / lLVl 1 = Icv,,) = 12 @, en el Amp-Op del Prob
14-5.
14-7. (a) Determinar la ganancia a baja frecuencia de la cascada CC-CE. Tmese Icuy = 12 ti, Icu,(
=12pA,eIcu, =14pA.
(b) iCules son las resistencias de entrada y de salida de esta etapa?
14-S. (a) Calcular CMRR, A,, y R,, de la etapa diferencial del Prob. 14.5.
(b) iCul es la resistencia de entrada diferencial?
14-9. (a) Determinar la ganancia de tensin y la resistencia de salida del seguidor de ermsor. Supngase
que QIO est polarizado a 1, = 12 ti. Supngase tambin que la resistencia de entrada al
seguidor de emisor pueda tomarse aproximadamente PR, siendo p la ganancia de corriente del
transistor compuesto, y R, la resistencia efectiva.
14-l& (ui Calcular la ganancia en lazo abierto del Amp-Op.
(b) Determinar (aproximadamente) el polo dominante de las etapas diferencial y de ganancia.
(c) Determinar C, para una frecuencia de cruce de ganancia de 1 MHz.
14-11. (a) Hallar la resistencia de salida del circuito de la Fig. 14.14~.
(h) Empleare1 resultado de (a) para hallar la resistencia de salida de la Fig. 14.14b.
14-12. Para el Amp-Op de dos etapas del Prob. 14-5.
(a) Determinar la ranancia en lazo abierto, la resistencia de salida y la resistencia diferencia] de
entrada del AI&Op.
(h) Compensar el Amp-Op para que d una frecuencia de cruce de ganancia de 1 MHr.
14-U. (a) Trazar las caractersticas de transferencia Z,, en funcin de vDe I,, en funcin de vn del circutto
representado.
(b) Empleando el transistor del Prob. 14-5, y siendoR, = 100 R, determinar las corrientes en los
transistores. Tmese l,, = 1 m4.


Pr,>.14.L3 1; /

14-1-I. Determinar L 2 V, de la red de desplazamiento de nivel representada.


14-15. Demostrar que en el circuito representado, V, =(V, + V,,) (1 + R,/R,).
14-16. (0) Demostrar que en la fuente de corriente representada:

Prescndase de las corrientes de base


(h) Si U,I < IJI, < 10, iqu error se comete si se toma I,ll, = K, IR,? Tmese I,R, = 1 V.
(c) ;,Cmo queda afectada la respuesta a (h) si se aumenta R,I,?

14-17. En el Amp-Op simple reprarntado, todos los dispositivos ,,,nr tienen p, = 2W, y todos 1~5,n,,~~
p, = 50. Las fuentes de colriente representadns estn formadas por fuentes dc corriente pn,~
Comprobar que cuando /, = 1: = 0, i,, = 0.
14-18. Las tres fuentes de corriente se alimentan de la misma corriente de referencia /, = 300 ti. Disear
el circuito.
14-19. Tomando p, = 250 para los dispositivos ~yn y p, = 50 para los ,nrp. determinar las corrientes de
polarizacin en las etapas diferencial y dc ganancia del Amp-Op tipo 741 de la Fig, 14.19.
14-20. El circuito representado es un modelo de una etapa de Amp-Op en el que se indican la corriente y
la tensin offset.
(0) Detem~inar la componente de seal de I,,en funcin de la seal diferencial 11, I?.
(h) Determinar la componente de I,, motivada por 1,,/2.
((1 Repetir(h) para V,,.
jd) Siendo i, = t? determinar la tensin offset total a la salida.
(e) Calcular la tensin offset de salida V,,, = 6 niV. I,,, = 0.2 OLA 1, = 05 MA, R, = SO kil y
R1 = 500 kR.
Problemas 925

14-21. (u) Mediante el anlisis de la realimentacion dercrmirrar la componente de wial de la salida


(b) ,Cul es la componente offset de la salida?

(0) La tensin de salida V,,? debida a la comente de polarizacin I,,? es


RRR,A,
- CR, + R,NR + R) + RR - A,RR,

ch) La rcns~r~dc salida V,,, debida a la corriente de polarizacin I,, eh


R,R,(R + R)A..
IL+
= (R + Rl(R, + R,) A,RR, + RR

14.23. Ene1 amplificadorrepl-asentado, V, y V2 son tensiones indeseadas. Demosmuque si K = P, R = 0,


l tiempo que A, ,<O y A,,<O.

V,, = A,,[A,,(V V,J V>l donde V = V,,&

Lkrrw.\eu tambin que si A,, A,, R /(R + R) >> 1, entonces

v,, = (t + S)(, + 2)

14-24. 1.a erapa dkrewxil JkET reprexx~talla se emplea en Amp-Op hbridos. Es decrr, que la erapa de
entrada JFET se construye a base de componentes discretos y las BJT y restantes son monolticos.
El JFET tiene I,,,, = 3 mA y V, = 3 V. El BJT tiene p, = p,, = 200 y V,! = lo0 V. Determinar I
Relacin de Rechazo del Modo Comn (CMRR) y A,,, de la etapa. Tmese h = 0.0 I Vg .
926 Microelectrnica moderna

14-25. La etapa diferencial BIMOS representadaemplea MOSFETs KI VT = O,5 V, Y kW/L = 400 WV.
LOSBJTS tienen p,, = 200 y VA = 100 V. Determinar& empleando el concepto de semi-circuito.

fCC
P
t I ,

14-26. El circutro representado se refiere aun amplificador diferencial de corriente que se usa frecuente-
mente en amplificadores operacionales de transconductancia.
(a) Comprobar que ic,es proporcional a i, - i2.
Problemas 927

(h) Determinar la resistencia de salida de pcquefia seal de la etapa


+VC<

!
Q:

14-27. Un Amp-Vp t~~c una ganancia a lazo abierto

10
A
O - (1 + s/w,)(l + s/wJ
(0) El amplificador se usa corno un compensador de ganancia unidad. Determinar w, para un
margen de fase de 45 dado y2 = 10 rad/s.
(h) iCul es el margen de fase SI el amplificador tiene una ganancia a lazo cerrado de 5, y est
compensado como en (h)?
(c) iQuvalorde o, senecesitasielamplificadordebe tenerunmargendefasede45conA,, = 5?
14-28. Repetir el Prob. anterior para una etapa de Amp-Op inversora.
14-29. La aproximacin de dos polos de A, es
2 x 10
A
OL = (1 + s/w,)(l + sh,)
(0, Rzpettr el Prob. 14-27(u) fara wz = IO, rad/s.
(b) iCul es el margen de fase si A,, = 2 y w, viene dado corno se ha determinado en (u)?
(c) iQu valor de w, se precisa si A,, = 2 y el margen de fase requerido es de 60?
14-30. Algunos Amp-Op estn compensados parcialmente, es decir, se emplea compensacin interna para
alcanzar un margen de fase de 45 para A,, = 5. En el Amp-Op del Prob. 14-29, w, = 10 rad/s. y
1
w = R., (C, + C,)
donde C, 25 el condensador interno y C,cel exterior de compensacin.
(a) Siendo Cr = 0 y C, = 2 pF, determmar Re<.
(b) El amphftcador debe usarse como compensador de ganancia unidad y tener 0, = 90. Hallar
L,.
14-31. El amplificador del Prob. 14-30 se emplea como inversor. Determinar C, admitiendo que
1A,, / = 1 y que el margen de fase requerido es de 60.
928 Microelectrnica moderna

14-32. La ganancia en lazo abierto del Amp-Op de la Fig. 14-32~1puede tomarse aproximadamente como
A,,, /Cl + so!) (1 + s/wJ.
(a) Determmar T(s) del circuito.
(b) Qu relacin debe existir si hay que usar la cancelacin polo-cero:
(c) Dado A,,, = lOs, w, = 10 radis, y w2 = 10 rad/s., disear el circuito para tenu 0, = 45 con
A,,= 1.
14-33. Repetir el Prob. anterior pan el circuito de la Fig. 14-366.
14-34. La figura corresponde a un modelo idealizado de un Amp-Op de tres etapas con ganancia unidad
en lazo cerrado.
(a) iCul debe ser w, si 0M = 45?
(b) Se aade una va de realimentacin con funcin de transferencia TS/(1 + TTS) como se ve en (b).
Con la w, obtenida en (a), iqu campo de valores de ? incrementan 0,?

14.35. Reptar el Prob. 14-34 para el recorrido de la seal representado.


14-36. (a) Un Amp-Op tiene un ritmo de variacin de 0,s V/ p. ,Cul es la frecuencia mxima de UN
senoide de salida de 5V de pico antes de que aparezca distorsin en el ritmo de variacin?
(h) Repetir(a) para una seal de 15 V.
14.37. Repetir el Pr& 14-36 para un Amp-Op con ritmo de variacin de lOV/p.\.
14-38. Repetir el Prob. 14-36 para un Amp-Op con ritmo de variacin de SOV/ps.
14.39. (u) Determinar T(s) para el amplificador representado.
(h) iPuede emplearse esta disposicin para compensar el amplificador? Expl~quesc.
14-40. fara el circuito de la Figura 14-39 expresarA,, y la CMRR en funcin de R,, R2, R,, Y R,
14.41. En el circuito de la Fig. 14-39 se cambia R, a Il0 kL2
(a) Calcular la CMRR.
(h) Determinar V,, para V, = 5 V, y V? = 5.001 V.
14-43. Los transistores de la Fig. 14.42 tienen p,, = 1%.
(a) Con R,. = 1 kQ y V,,, = l, deteminx R, para tener una ganancia 100. Tmese \ ~, - iii =
ISV.

14.15. t;u el circuito de la Fis. 11-46, R, = 5 iCl. R, = 30 Kl. i,>, = l nzU. C,, = 5 pF y C;,, = 2 pF.
(u) Con C, = 0 detemlinar la frecuencia del polo dommante.
(h) ;,Cul es la frecuencia del cero de la funcin de transf?rencia?
(c.1 Determinar C, para tener una frecuencia del polo dominante de I kHz.
(ii) Dado C, obtenido en (<,) ;,cul scria la frecuencia del cero si se eliminara el separador?
11.16. Comprbar qur en el amplificador de instrumentacin representado

acin

-
930 Microelectrnica modqa

(h) Dado CMRR y A, dekamplificador. expresar V,, en funcin de A,, CMRR, V, y 6.


(c) SeaR = R A = 10 y VR= 12 V. iCul debe ser CMRR si la componente diferenciat ae salida
ha de ier dz lt% veces la componente de salida de modo comn para 6 =l? Este valor i,es
realista?

CAPTULO 15

15-l. Comprobar la Ec. (15-J).


15-Z. (a) Emplense las tcnicas de la realimentacin para demostrar que la impedancia de entrada para
la red de la Fig. 15.Za es:

(b) Calcular Z, ala frecuencia de oscilacin.


15-3. Determinar la ganancia requerida y la frecuencia de oscilacin del circuito de la Fig. 15-2~
incluyendo el efecto de carga de la etapa JFET.
1.5-4. En el oscilador de desplazamiento de fase representado, R, y R, tienen un efecto despreciable y C
es suficientemente elevado para actuar como de paso perfecto.
(a) Determinar T(s).
(b) Demostrar que las condiciones de oscilacin dan

~3. 2 4k + T + 23

sxndo k = RJR.
(c) Demostrar que el mmmo valor de p,, requerido supera el 44,5

Prub.lS-4 f

15-S. DNXW un oscilador de desplazamiento de fase para trabajar a una frecuencia de 8 kHz. Emplese
un MOSFET con p = 59 y rd = 10 ka. La red de desplazamiento no debe cargar el amplificador.
(a) Hallar el valor mnimo de la resistencia R, del circuito de drenaje para que el circuito oscile.
Problemas 931

(h) Hallar el producto KC.


(c) Elegir un valor razonable de K y hatear L.
15-6. (0) Hallar VlV, para el oscilador FET representado.
(h) Hallar la frecuencia de oscilacin.
(c) Determinar la ganancia mnima del seguidor de fuente necesaria para que o~c~lt.

M-7. El CI~CUM de la Fig. 15.20 utiliza un JFET con parmetros g, = 5 nrU y ;, = SO kiL. LOS
condensadores C,, y CS, influyen despreciablemente a la frecuencia de trabajo. Determinar, para
R = 100 kR, los valores de R, y de C necesarios para mantener la oscilacin a 10 kHz.
15-8. ((I) Comprobar la Ec. (15.6).
(h) Determinar la frecuencia de oscilacin y la ganancia necesaria para el puente oscilador de
Wien de la Fig. 10-7.
15-9. En el diseo de un determinado puente oscilador de Wien los valores de R, y Cz se ajustan de
acuerdo con las relaciones O,l<R, /R,<lO, y O,l<C,/C, < 10.
(a) Hallar los valores mnimos y mximos de la frecuencia de oscilacin para R2 = 10 kQ y
c, = 0.1 pF.
(h) ,CuI debe ser el mnimo producto ganancia-ancho de banda del amplificador de tensin si la
respuesta del amplificador de frecuencia no debe afectar sensiblemente al funcionamiento del
oscilador?
15-10. (rr) Disear el circuito de la Fig. 15.33 para que oscile con 2 kHz. Elegir R, = RI y C, = C? siendo
la menor resistencia disponible igual a I kR.
(h) Si la tecnologa empleada para fabricar el circuito permite ajustar las resistencias y los
condensadoresdentrodel l%desuvalornominal Culeselcampoabarcadoporlafrecuencia
de oscilacin?
15-11. Se disea un oscilador Hartley con L, = 2 mH y L, = 20 w, y una capacidad variable.
(a) Determinar el campo de valores de la capacidad para el caso en que la frecuencia de oscilacin
vare entre 950 y 2050 kHz.
(h) Disear una etapa de Amp-Op apropiada para conseguir A,.
15-U. Se disea un oscilador Colpitts con C, = 100 pF y C, = 7.500 pF. La inductancia es variable.
(u) Determinare1 campo de valores de la inductancia si la frecuencia de oscilacin varia entre 950
y 2050 kHz.
(h) Disear una etapa de Amp-Op apropiada para conseguir A
15-U. L figura corresponde a una variante de oscilador Hartley en eI que Z, =jwL, , Z = joL yZ =
-J/W C. El amplificador de tensin tiene una resistencia de entrada infinita y uia de salida IR,,.
Amphficador de corriente

mantenerla.
15.13. En este problema \e examinan los efecto\ de IOI elemento\ prcticw cn el comportamiento de un
oscilador. Consideremos el circuito Colpitts de la Fig. 15-5 cn el que las capacidadch son 500 pF
y 0.05 ,uF y la inductancia de 20 II{ tiene una rc\istencia en serie K, = 4 R. Determinar I
frecuencia de oscilacin y cl valor de A, nece\ario para mantener la oscilacin.
15.16. Hay que analizar cl efecto de la resistencia en icrie avxiada las inductancia\ prctica\ sobre el
funcionamiento del circuito Hartley de la Fig. 15-S. Hallar la frecuencia de oscilacin y el valor
deA, necesarioparamanlcnerla,siendoC = IOOpF, L, = 99plfen seriecon IOohmiosy L, = I pI/
en serie con 1 ohmio.
15.17. (0) Demostrar que en la red representada
1
L
V., 3 i j(wRC IioRC)

(hj Esta red se emplea con un Amp-Op para formar un oscilador. Dcmowar que la frecuencia de
oscilacin es f= 2nRC y que la ganancia debe XT superior a 3.
(~1 Dibujar el circuito del oscilador
15-18. En la topologa del puente de Wien de la Fig. 15-3, Z, estri formada de R. C y L en serie. y ZI er
una resistencia R, Hallar la frecuencia de oscilacin y la relacibn mnima K,/KI.
15-19. Hallar la frecuencia de oscilacin y el mnimo valor de R para cl oxilador rcprescntado.
IOC,m
/ 1
Problemas 933

danlente de I + 0,5c/L
((1 Si C = 0.023 pFy C = 1,L.i p F <,211qw plctmta,e la ftccucIlcla rc>llillte cn paralelo 25 mayo,
que la 211wie?
1521. (0) Las puertas SOK de la Kg. 15-13 slo pueden tomar ceder 5 nfil. Siendo ;,,, =5 vy v,=
2,s V, determinar la duracin mxima de impulso, dad C = 1000 pF.
(h) El diodo de fijacin tiene V.,= 0,5 V, y R, si el diodo es de 2OQ, CuI es el valor ,n,-&, dr
i,,?
15-22. Las puertas NR de la Fig. 1S- 13 estn fabricadas con tecnologa NMOS. La tensin de sun,inistr
es de 5 V. y los niveles lgicos son V(O) = O,2 V y V( 1) = 5 V. Tomemos V = 2,s V.
(0) Deducirlaecuacinparaladuracin del impulso. Supngaseque se em~leandiodosde fijacin
que tienen C: = 0,h V, y R = 2011.
(b) Esbozar las !rmas de ia de Y,,, \,,?y 13,.
15-23. Si k, del problema 15-22 puede variar en un 10% de una unidad a otra, cujl es el campo que be
puede abarcar en la duracin de los impulsos?
15-M. La puerta NOK de la Fig. 15-13 tiene unos parmetros que varan cun la fabricacin.
(01 Supongamos que V. del MOSFET vara un 20% y V,,,, ,,// en un 5%. R .Y C oueden
. variar, cada
uno de ellos, & 204.
(h) ;,Cul es, en el peor cas, el ancho del impulso si el valor nominal de R es de 10 kQ, y C = 200
pFY
15-25. El multivibrador atable de la Fig. 15-15 est disead conR = 50 kQ y C = 0.01 wF. Las penas
CMOS NOR tienen C; = 2,s V, y diodos de fijacin con V,, = 0.5 1. El circuito esti alimentado por
1. = 5 v.
(a) Determinar el periodo de la onda de salida.
(h) ;,Cul es la corriente instantnea mxima que la puerta CMOS tiene que tomar o ceder?
15-26. El circuito astable del Prob. 15-25 se alimenta ahora con V,,, = 6 V.
(u) Determinar el periodo de la da cuadrada de salida.
(h) Esbozar las ondas de L,, < I:,~, I, y i(. en funcin del tiempo. Identificar cuidadosamente Iab
constantes de tiempo.
15-27. Ahora el multivibrador astable del Prob. 15.25 se alimenta con V = 3.5 V. Repetir el Prob. 15-25.
15-28. El circuito de la Fig. 15-17 est diseado con C = 0,Ol pF. Las &,as CMOS tienen V = 2,5 V,
y se alimentan de una fuente de 5 V. Los diodos DI y LI2 se consideran ideales. Determinar R, y
R1 para obtener una onda cuadrada de SOp.~ en la que un impulso sea de 10 p.
15-29. Repetir el Prob. 15.28 para diodos cn V = 0.5 V.
15-30. Hay que disear, con las puertas NO?d,l Prob. 15.28 una onda cuadrada asimtrica CoI1 una
du,racin de impulso de 20 F.Yy periodo 20 ms. Suponiendo que la mayor resistencia que se puede
utkzues de 1 MR determinar R,, RZ y C. El valor de C i,es realista?
15-31. (u) Disefiar el circuito del Prob. 15-30 con la condicin de que los valores de r&sten& est&
comprendidos entre 10 kR y 22MQ minimizando la capasidad. Elegir valores de resistencia
y de capacidad normales del 5%.
(h) Ql es, en el peor de los casos, el error porcentual en cl periodo?
(c) iCul es el valor mnimo del impulso ms estrecho?
15-32. El circuito representad es una forma de multivibrador monoestable de componente disuct BJT,
Cuand conducen, los transistores estn saturados.
(0) Esbozar las tensiones de colector y de base V, ,, Vc.l, VB, y V,?,desde t = 0; justamente antes
de aplicar el dispar, hasta f = r, justamente despus de que el circuito haya retornad a su
estado estable.
(hj Deducir una expresin para 7, el ancho del impulso
15.33. Est representad un circuito JFET atable.
(u) Repetir el Prob. 15-32, parte (0).
(h) Deducir la relaun entre penodo y duracin de los impulsos de salida.
15.34. (a) Truar lacaractersticade transferenciadel circuito comparadorrepresentado, ~pun~~~doqur
la ganancia del Amp-Op es infinita y que C,, = Vil = 5 V. Raznese.
(h) Repetir la parte (a) si la ganancia de gran serial es 10.000.
(c,) Repetir la parte(a) si se aplica una tensin de 2 Ventre el terminal negativo y tierra.
15-35. (a) Con dos comparadores y una puerta 4%~ dibujar un sistema cuya salida sea lgica I si, y \lo
si, la entrada est entre V,, y V,?. Explquese el funcionamiento.
(h) Se desea determinar la altura de un impulso que puede variar entre 0 y 5 1. con una fluctuacin
de 50 nrl. Modificar el sistema del apartado (0) para obtener este analizador de altura de
impulso.
15.36. En el comparador regenerarivo de la Fig. 15-22 se desea que la tensin umbral V, sea igual a la de
referencia 11, y que se obtenga una histresis de 0, I L. Para A, = 100.000, la ganancia del lazo es
de 2.000. y RI = O,5 iiR. Hallar \y#. V, y R,.
15-37. (0) El disparador Schmitt de la Fig. 15-22 emplea diodos Zenerde 6 I, con l)> = 0,7 I:. Suponiendo
que la tensin umbral V sea nula, y la histresis V,, = 0.2, calcular R,/R1 y V,
(h) Este comparador convierte una onda senoidal de 4 kHz cuyo valor de pico a pico es de 2 1.
en una onda cuadrada. Calcular el tiempo de duracin de las porciones negativa y positiva de
la onda de salida.
15-38. (<i) En el disparador Schmitt de I Fig. 15.22, , = 4 V, 1, = 2 V, Y V. = 1.5 1. HallarR, /R? y C;,
(h) i,Cmo hay que elegir V, para que c? sa negativo?
(c ) ;,Cmo hay que elegir 1, si I, = -1??
15.39. (u) Hallar, para el comparador representado. unas expresiones para las tensiones umbral L, y V
en funcin de R,. R,, y el valor limitado del Amp-Op. (de magnitud L,,). (Explicar lo~clilculos.)
(h) Dibujar la caract&stica de transferencia (similar a la Fig. 15.22~1).
it,) El pico de salida es de 20 V, y R1 = 5R,. Dibujar la onda de salida si se aplica una senoide dc
8 V de pico. Emplese la misma esca)\k de tiempos que para la entrada.

"'-1
Problemas 935

15-40. (0) Co*khmx el generador de onda cuadrada de la Flg. 1j-25 en el que se usan los diodos de
avalancha no idnticos V,, y V,. Suponiendo que la salida es bien + \, o I/ , siendo V
= z , + v, Y v(,: = vz 2 + v,, comprobar que la duracin de la seccin positiva vi& dada pii
1 + PVAV,.,
T, = RC In
1-P
ii Co*Probar ue T, (duracin de la Seccin negativa) viene dada por la misma ecuaciri
intercambiando Vo; y V,?.
(c) Si V,, V,,, T, iser mayor o menor que Tz? Explquese.
15-G. El generador d,e onda triangular de la Fig. 15-27 tiene una tensin de control bimtrica lis afiadtda
al terminal no mve~sor del integrador.
(0) Comprobar que la velocidad de barrido para la rampa positiva es (v, + V,)/Rc.
(b) Hallar T,, Tz yf
(c) Comprobar que el ciclo de servicio viene dado por la Ec. (15.34).
15-AL Comprobar la Ec. (15-37) para el ancho T del impulso del multi monoestable de la Fig. 15.29.
15-43. (u) Consideremos el generador de impulsos representado. Hallar ,?, 11~~ y 1,eo el punto de repbo
(antes de apltcar un dispar).
(hJ En el instante f = 0 se aplica un impulso de disparo estrecho y positivo I cuya magnitud sopera
V,. Hab l,, Y V, en el instante f = 0 (recurdese que la tensin a trabs de un condensador
npuedecambiarinstantneamente).Trararlasondasv,, y 13,enfuncindel tiempo. Demostrar
que el ClrcUito xta corno un multivibrador monoestable con un ancho de impulso T.
(<J Hallar 1,,Y 1, en f = T+ y prolongar las ondas hasta alcanzar el estado permanente. Qu e\
la constante de tiempo de recuperacin? (;,el diodo. est en ON o en OFF?)
(d) Comprobar que T viene dado por

7 = RC , -
c,
ti

J-L i I.

7 T P.Qb. 15.43

F+44. kl ~~~~~~1~ dc Ia Fig. 15-24 se diseria con los siguiente, valores de I\ parmetrs: v = 5 t,,
R~=3,9k~~,R,=2,6kRyR,=I kD.L os t ranAores Ql y Q2 son idnticos con p, = io0 en la
regi* activa y p,,,,,,,,,,= 50 en saturacin.
(u) Determinar los valores alto y bajo de la tensin de $alida.
(h) Calcular la tensin de histresis.
S45. E*elcircuitodelaFig. l5-248 = 73 kQ,R, = 5.1 kR, y R = 2kn. Los transistores son idntico\
Y conducen cn v,, = 037 V. Esbozar la caracterstica d trqnsferencia v en funcin de v,,,,
senaland cutdadosxnente 1% tensiones umbral y niveles de salida. Identificar la tensin de
histresis en el esbozo.
15-46. Comprobar las Ecs. (15.42) y (15.43).
15-47. (u) Emplear el temporizador 555 para disear un circuito monoestable con 20 p.s dt: duracin del
impulso. Los parmetros son V,,. = SV, V(0) = 0 y R = 91 kR.
(h) Con los valores anteriores ,Cul es la duracin del impulso si V(0) = 0.2 V?
15-48. Hay que disear una onda cuadrada de periodo 100 ps de forma que los impulsos positlvob y
negativos guarden la relacin 3: 1. Dado C = 0,001 FF, determinar R, y R,.
15-49. (u) Determinar la duracin del impulso para el CMOS monoestable representado.
(h) Esbozar v<>,, v(>>, v, y v( en funcin del tiempo.

S-50. El circuito representado se emplea como circuito astable que puede servir para medir el retardu de
propagacin de una puerta.
io) Dando por supuesto que cada puerta tenga un retardo de propagacin de 10 ns, esbozar Ia
tensiones I,,,, 1,,>, \,,, y I(,~ en funcin del tiempo. Cada puerta se suministra de una fuente de
5 V, teniendo un umbral de 2,5 V.
(h) Esta tcnica i.puede extenderse pare tener cuatro puertas en el Iu de realimentacin?
Explquese.
IL) Repetir (h) para cinco puertas.
15.51. ((I) Comprobarla Ec. (15-46) parael errore, dc la velocidadde barrido dc un bal-I-idoexP~l,cnc~al.
(h) En el circuito de la Fig. 15-370 se pone cn paralelo con C, una resistencia R,. Demostrar que
e, queda multiplicada por (R, + R,)/R?
15-52. Supngase que en el Amp-Op generador de barrido de la Fig. 15-35, R, es finito, A, tambin finito.
y R,, no nulo.
(u) Dibujarcl modelo deamplificadorcon R,en laentrada y A, en serie con K,,a trav\ de la sdida.
(h) Aplicar el teorema de Miller a la impedancia formada por C, en serie con R,,.
(c) Haciendo aproximaciones razonables en cuanto al orden de magnitud. demostrar que la
expre\in del error en I pendiente es
V, R, + R,
r=-K. AC

15-53. h el barrldu Mdler de la Eig. 15-35, V = 10 V, R, = I MQ, y I &minc~a del Amp-Op e\ 10.000.
La amplitud del barrido de salida es de 10 V. El barrido ms largo es de I s y el ms corto de I II,\.
(u) Hallar C, para T, = I s.
(h) Calcular el error P, de la velocidad de barrido.
(<,) Repetir la\ partes (u) y (h) para T, = I PS.
(d) ;,Cmo se puede conseguir un barrido de I p.1 con un valor mas raronable de C, que cl halladu
en CC,),es decir, como unas 100 KCS mayoi!
(P) ;,Cul cs el mximo valor de T, que se puede medir por chte sistema!
15-54. (u) Para el sistema de barrido abootstrap mostrado en la parte (u) de la figura, el condensador C,
puede tomarse arbitrariamente grande. La cada a travs del diodo ideal D puede despreciarse
durantelaconduccin,ysepuedeadmitirquecualquiertensinnegativ~cortaaD.El Amp-Op.
Problemas 937

es ideal (R, = -, R, = 0, y A = m). Estando cerrado S icul es la tensin a travs de C1 y de R!


Estando S abierto y C cargado a I ;cul es la tensin travs de C, y de R? Demostrar que
se obtiene un barrido exactamente lineal y que 1 = Vr/RC.
tbJ DeI Sistema representado en la parte (h) de la fi& hay que obtener un barrido lineal con un
par de salidas simtricas (I,~, = I;>& Hallar los valores de R/R y R/R.
t I

/ 15-55. (aI Consideremos el modulador cortador de la Fig. 15-41 con S, gobernado por + 1 rn lugar de
por 1(>.Esbozar los cinco primeros impulsos de la onda moduladora I~,,de lakg. 15.41b.
L~~IVSIOS U esta onda I+.Alineado en tiempo con 1 dibujar la salida 1 del recortador cuando
S, est gobernado por -I<)(en la Fig. 15. 4 1h, ,I = I:>
(b) Indicar cmo combinar v+ y v con Amp-ps para tener la seal AM sealada en la Fig.
15.40<.

CAPTULO 16

16-I. La grfica corresponde a la tensin de gobierno de la puerta aplicada al circuito de la Fig. 16-7h.
Esbozar la tensin de salida para cada una de las ondas de la Fig. 16.6.

t
16-3. Repetir el Prob. 16-l para la onda de entrada representada

16-4. ((1) El mrerruptor S del c~cuto representado esr abteno durante medio periodo y cerrado duranre
la otra mitad. Determinar la ganancia en cada semi-periodo.
(h) El circuito representado se emplea para generar la seal de entrada al circuito de toma y
retencin de la Fig. 16.7h. El intermptorii acta a un ritmo de 8 kHz, y la tensin de gobierno
es la dada en el Prob. 16-l. Determinar la salida del sistema, suponiendo que la tensin de
entrada es la senoide de 3,5 kHz de la Fig. 16.6.
16-S. iu) En el mdulo de toma y retencin de la Fig. 16-7h el terminal de entrada negativo de A I se
separa de su salida y se conecta a la salida vg del segundo Amp-Op. Este sistema ifuncionar
correctamente? Explquese.
(h) Se conecta una resistencia R2 ,desde la salida en serie con R, a tierra. Ahora se conecta el
terminal inversor de A, ala umon entre R, y R,. Demostrar que esta configuracin opera como
un sistema de toma y retencin no inversor con ganancia. iCul es la expresin de la ganancia?
(L.) Modificar las conexiones para tener un sistema de toma y retencin inversor con ganancia
Calcular la ganancia.
16-6. (a) En el convertidor de la Fig. 16-13 el tercer bit ms significativo (MSB) N-3 es 1 y todos los
dems bit son cero. Hallar las tensiones en los nudos N-3, N-2, N- 1 y en la salida Vo en funcin
de V, y de las resistencias.
(h) Para un convertidor de 8 bit con el bit menos significativo (LSB) igual auno y todos los dems
iguales a cero, hallar las tensiones en todos los nudos, 1.2, y en la salida.
16.7. En el convertidor representado los intermptores van conectados directamente a la entrada del
Amp-Op
Problemas 939

(u) Demostrar que la corriente / emanada de V, es una constante independiente de la informacin


digital. Explicar por qu con este sistema se eliminan los transitorios del tiempo de retardo de
propagacin.
(b) CuI es la corriente del intermptor y Vo si el bit ms significativo (MSB) es 1 y todos los
dems son cero?
(c) Repetir(b) suponiendo que el siguiente MSB es uno y todos los dems son 0.
(d) Calcular Vo para el LSB en el convertidor Digital/Analgico de 4.bit siendo cero todos los
dems bit.
16.8. Los interruptores del convertidor representado en la Fig. 16-l 1 estn dispuestos como se ve en la
Fig. 16.l2b. El Amp-Op se alimenta de una fuente de IOV, en continua. La tensin de salida
analgica ha de ser como mximo de IOV, y la mayor resistencia utilizada es de aproximadamente
32 kC2(32x2R)
(a) Especificar los valores de los elementos para un convertidor digital-analgico de g-bit.
(h) ,Cul es la corriente mxima en la resistencia de realimentacin del Amp-Op?
(c) iCul es la tensin mnima que se puede convertirS
16-Y. (a) Dibujar el diagrama del circuito de un DAC en escalera R-2R invertido de 6 bit.
(h) Siendo V( 1)=5 V, jcul es la tensin mxima de salida?
(c) i,Cul es la tensin mnima que se puede converfir?
16-W. Describir cmo se pueden utilizar bloques constructivos A/D (Analgico/digital) y/o D/A en UII
voltmetro digital. Esbozar un diagrama esquemtico en forma de bloque.
16.11. El integrador de la Fig. 16-19 se ha diseado con R=lO kQ, C = 1000 pF y un Amp-Op cuya
ganancia en lazo abierto y ancho de banda son 106 dB y 5 Hz, respectivamente.
(a) Esbozar el diagrama asinttico de Bode e indicar el campo de frecuencias sobre el que el
circuito funciona como integrador.
(h) Esbozar la onda de tensin de salida de una tensin de entrada en escaln, Indicar el campo
de tiempos en el que la salida es la integral. de la entrada.
16-12. Obtener la funcin de transferencia de la red representada. Comprobar que Vo = (l/RC) Ibs,dt de
manera que se forma una integracin no inversora.

16-13. Drrno>trar que la red representada es un integrador no inversor con li(, = (2/RC) ii,, (t)dt.
16-14. Comprobar que el sistema representado, que emplea slo un Amp- Op, es un integrador doble. En
otras palabras, demostrar que la ganancia de transferencia es

V I
2 = ~~
V. (/KS)

Su,~twnr iu: Calcular 1, e li independientemente, y luego hacer 1, = II ,por qu?


7 P,.ab.16.14
16.15. COIII~~O~W la Ec. (16-Y).
16-16. Hay que disear un filtro paso-bajo que tenga un ancho de banda de 3 dB, de 4 kHL, y una
atenuacin de por lo menos 30 dB a 6 kHz.
(a) ;,Qu orden de filtro Butterworth se necesita!
(h) Repetir (LI) para un filtro Chebyshev de 0,5 dB.
(c) ,Cul es la funcin paso-banda correspondiente a (u) si la frecuencia central es de 40 kHL?
16-17. Hay que disear un filtro paso-bajo que tenga un ancho de banda de 3 dB, de 200 Hz, y una
atenuacin de 50 dB a 400 HL.
(a) Determinar el orden del filtro Butterworth nectxrio.
(h) Repetir(u) para un filtro Chebyshev de I dB.
(c) Convertir la funcin de (h) a su equivalente paso-alto con un ancho de banda de 200 Hz.
16-1X. Comprobar la Ec. (16.22).
16-19. Disear un filtro paso bajo Butterworth de cuarto orden cuyo ancho de banda sea de 1 kHL.
Seleccionar todos los condensadores iguales a IOOOpF. Si se pueden elegir arbitrariamente alguno\
valores de resistencias, i,cules son stas?
16.20. Comprobar la Ec. ( 16.23).
16-21. Comprobar la Ec. (16.24).
16.22. (u) Una alternativa al diseo dad en las Ecs. (16.26) y (16-27) es hacer Ax = 2 en toda5 lar
secciones. Disear un filtro paso-bajo Ckbyshev de 0,s dB, de sexto orden, con frecuencia
de corte de 2 kHz. Seleccionar todos los valores de los componentes. Obsrvese que cl valor
de algunos componentes es arbitrario; sealar cules se encuentran en este caso, per emplear
valores rasonables.
(h) ;Cul es la frecuencia de 3 dB del filtro?
16.23. Comprobar la Ec. (16.28).
16-24. Repetir el Prob. 16-19 empleando el cwxito de la Fig. 16.3llh.
16-25. Repetir el Prob. 16-22 para un filtro paso-alto.
16.26. Disear un circuito paso-banda con frecuencia central de X kHz y ancho de banda de 1.5 kHL. IA
atenuacin una octava mas all del paso-banda debe ser de 30 dB.
(u) Disear el circuito, supucst que no se tolera ninguna fluctuacin del paso-banda. Para los
elementos que se puedan elegir arbitrariamente emplear valores razonables. Indicar cules son
estos elementos.
(h) ;,Cul es la atenuacin dc Y kHz?
16.27. Repetir el Prob. 16-26 suponiendo que se admite u,, fluctuacin pa\o-banda de 0,S dB.
16.28. Comprobar la Ec. (16-30).
16-29. Comprobar la Ec. (1 h-3 1).
16-30. Emplear la seccin bicuadrada de la FIS. I h-34/1 para diwiar cl c,rcuIt dcxrito en el Prob. I h-26.
16.31. Comprobar la Ec. (16-32).
16.32. Un filtro paso-bajo ideal cuya frecuencia de corte e> de 5 kHz x conecta en cascada con un filtro
paso-alto ideal conf; = 4.8 kHz.
Problemas 941

(u) Esbozar la rc\p~ta en ii~uxnc~a de la caxada.


(h) Supongamos que la frecuencia de corte de cada uno de los t11t1o~ de la cxa& he puede regulan
dentro de un + 1%. Ehbozir la respuesta en frecuencia correspondiente a las condiciones ms
deslavorabIa Comentar la eficacia de esta realizacin para los filtros prcticos.
16.33. D~rear una red de dentado teniendo Q = 10 a 6, = 8 kHz. Tomar C = 500 pF. Elegir los valore
de Ia> resistencias de forma que la relacin entre la mayor y la menor de ellas no sea mayor de 10
16-3-i. Comprobar la Ec. (16.34).
16-35. (a) Determinar la funcin de transferencia del circuito de la Fig. 16-39. Supngase Y = 0.
(11) Comprobar la Ec. (16-B).
16.36. (II) Repetir el Prob. 16-35 parte (u) para Y#O.
(h) Demostrar que Y = l/R, da un filtro dentado paso-bajo.
(c) Demostrar que Y = SC da un filtro dentado paso-alto.
16-37. Demostrar que el circuito de la Fig. 16.42 tiene la respuesta dada por la Ix \ 1 b-36).
16.38. (u) El circuito representado se denomina convertidor de impedancia generalizado (GICI. Ucrricl
trar uue si los Amp-Ops son ideales:

y, = $ _ y,Y, y,
YzY*
(h) Ehte circuito se emplea frecuentemente para simular una inductancia en un chip. Dernu~tnl~
que si Y, = SC, y todos los dcm& componentes son resistivos y, es inductivo.
(c ) Suponiendo que cualquier resistencia puede estar comprcndlda entre 0.1 y 10 KL y que
lO<C<SOO pF. i,cUl es el amp de los valores de inductancia posibles?
942 Microelectrnica moderna

16-11. 1-areprcxr~rac~n corresponde a una forma alternativa del dispositivo anterior. Demu~rrar que 1 /I,
es inductivo. Supbngase que los Amp-Ops son ideales.
16-42. (u) Comprobar que la impedancia de entrad l,/i = Z. A ese circuito se le denomina convertidor
de impedancia negativo (NIC).
(h) Determinar ll/\;,.
16.43. El NIC del circuito represntado tiene Ia\ sigulenta propiedades 2, = Z, y 1: = 1 ,. La cargd
empleada es R, en paralelo con C, con la restriccin de que R,C, = 1.
(u) Determinar Vil,.
(h) Seleccionar R, de hma que el circuito sza una zccin de paso-bajo.
(<,) Repetir(h) para obtener una seccin paso-banda.

16-.iJ. (<i, Cumprobr I bc. (1 b-37).


(h) Comprobar la Tabla 16-5.
16.45. Comprobar las Ea. (16-38) y (16-39).
16.46. Disekr el filtro del Prob. 16-19 usando la seccin bicuadrtlca de la Fig. 16-G. Elegir K2 = K i =
R, = R, = 10 KL.
16-47. Repetir el Prob. 16.26 usando la seccin de filtro de la Fig. 16-44. Los valores de lo? . ,mponenteh
seleccionados se dan en el Prob. 16.46.
Problemas 943

16.48. Repetir el Prob. 16-27 usando la seccin de filtro de la Fig 16.44. Los valores de los componentes
seleccionados se da en el Prob. 16-46.
16-49. Mostrar el equivalente del circuito de la Fig. 16.22 de un condensador de conmutacin.
16-50. Mostrar un condensador-conmutador equivalente del circuito de la Fig. 16.44.
16-51. Demostrar que si la tensin de referencia 1),,de la Fig. 14-42 es variable en el tiempo. el
amplificador de transconductancia operacional tunciona como multiplicador.
16-52. Emplear uno o ms multiplicadores para engendrar una onda tenoidal de frecuencia 3f,, a partir de
una senoide de frecuenciaf,.
16-53. (u) Emplear el multiplicador para generar una seal l,,(r) proporcional a I,.
(h) Repetir (0) para I<)proporcional a I,,.
16-54. Las seales de entrada al circuito multiplicador representado son: una seal I,,, = V(r) cos w, 1, y la
serial portadora V,cos w<.
(n) Demostrar que se puede usar V, para obtener la informacin V(r)
(h) Si !,(r> = V,,,cos w,i. siendo w,<<w,. ;cul sera la frecuencia de corte del filtro?

Portadora modulada

16-55. E~hwal ua omia senoidal de valor de pico \,,, que sea le entrada a un rectificador de media unda
Directamente debajo dibujar la onda de salida e indicar sus valores de pico positivo y negativo si
el sistema es: (a) el dado en la Fig. 16.600; (h) el mismo sistema con los diodos invertidos: (<,) el
sistema obtenido de la Fig. 16-600 con el lazo izquierdo de R puesto a tierra y I, fijado al terminal
0 inversor; (d) el sistema de la parte (c) con los diodos invertidos.
16.56. (a) Comprobar que el circuito representado da una rectificacin de onda completa supuesto que
R1 = KR,. Hallar K.
(h) ;,Cul es el valor de pico de la salida rectificada?
(c) Dibujar cuidadosamente las ondas I, = 10 se wr = f, l,. y l<,si R, = RI

16-57. Ua w~d ttenc un pico positivo de rnagmtud b, y uno negativo de magnitud II. DibuJar un c~rcuto
empleando dos detectores de pico cuya salida sca igual al valor pico-a-pico V, V2
16-58. (u) El amplificador exponencial de la Fig. 16.54 est en cascada con el amplificador logartmico
de la Fig. 16-53. Si V, es la entrada al amplificador logartmico y V, la salida exponencial.
;,cmo se puede demostrar que I,<>= V, Y
(h) Supongamos que las resistencias R,,. R,, R, y R, de la Fig. 16.53 no son idnticas a las
correspondientes resistencias de la Fig. 16.54. Designar las constantes de la Ec. (16. 60) po,
K,#K, y K?#K- Dcmostrarque con la disposicin en cascada de (a). V,, a la ri-sima potencia
de V,, siendo ri= K, /K,.
944 Microelectrnica moderna

(c) Supongamos que R, del amplificador exponencial es ajustable, pero los valores de toda& 1~1s
dems resistencias son los indicados en las Figs. 16.53 y 16.54. Calcular R, para que a = 3.
Repetir para n = 1/3.

CAPTULO 17

17-1. Un diodo cuya resistehcia interna es de 200, debe suministrar potencia a una carga de 200R, desde
una fuente de 110 V de tensin eficaz. Calcular, (a) el pico de corriente de carga; (b) la corriente
de carga en continua; (c) la corriente de carga en alterna; (d) la tensin en continua del diodo; (e) la
potencia total de entrada al circuito; (f) el porcentaje de regulacin desde descargado hasta la
carga dada.
17-Z. Comprobarlas Ecs (17-15) y (17.16).
17-3. Demostrar que la mxima potencia de salida en continua P,, = V,cl,c en un circuito de media onda
y una fase se tiene cuando la resistencia de carga se iguala la del diodo R
17-4. El rendimiento de la rectificacin 11, se define como la relacin entre i-a potencia de salida en
continua P, = Vdcld, y la potencia de entrada
Pi = (112~) J u,i da.

(LI) Demostrar que para el circuito rectificador de media onda


40.5
% = %
1 + R,IR,
(b) Demostrar que en el rectificador de onda completa TJ,vale el doble de lo hallado en (u).
17-5. Demostrar que la regulacin tanto del rectificador de media onda como la del de onda completa
viene dada por: % regulacin = (RJR,) x 100%.
17-6. En un puente de una fase y onda completa ipueden intercambiarse el transformador y la carga!
Explquese detalladamente.
17-7. El sistema de puente rectificador de la Fig. 17-8 se emplea para construir un voltmetro de alterna.
La resistencia directa de los diodos es de 500, la resistencia R es de 25R, y la resistencia del
ampermetro es despreciable. La tensin de seal es Y, = 200 sen WT.
(a) Esbozar la onda de corriente i, a travs del ampermetro. Calcular el valor instantneo mximo.
(b) Escribir una integral cuyo valor d la lectura del ampermetro de continua. Calcular esta
expresin y hallar 1,.
(c) Esbozar realsticamente la onda de tensin a travs del diodo Dl, Indicar los valores instant-
neos mximos. Calcular la tensin media del diodo.
(d) Escribir una integral cuyo valor nos d la lectura de un voltmetro de tensin eficaz situado a
travs de D 1. (Este voltmetro no debe tener un condensador de bloqueo en serie.) Hallar el
valor de esta tensin eficaz del diodo.
17-8. Unmedidordecontinua5 mA cuyaresistenciaes de40 ohmios se calibraparaque indique tensiones
eficaces cuando se emplea en un circuito puente con diodos semiconductores. La resistencia
efectiva de cada elemento puede considerarse nula en sentido directo e infinita en el inverso. La
tensin sumida1 de entrada se aplica en serie con una resistencia de 20 kR. Cul es la lectura a
fondo de escala de este aparato?
17-9. (a) Consideremos el circuito puente doblador de tensin de la Fig. 17-9 con R, infinita. Demostrar
que en estado permanente cada condensador se carga a la tensin de pico del transformador
Vmy que por tanto, v,,= 2Vm. Considrense diodos ideales.
(b) iCul es la tensin inversa de pico a travs de cada diodo?
Problemas 945

17-10. El circuito representado es un doblador de tensin de media onda. Analizar el funcionamiento de


este circuito esbozando como funcin del tiempo las ondas v, vc,, vo,, vgI y v</ Supngase que en
el instante f = 0 los condensadores estn descargados. Calcular (u) la tensin mxima posible a
travs de cada condensador, y (b) la tensin inversa de pico de cada diodo, Comprese este circuito
con el puente doblador de tensin de la Fig. 17-9. En este circuito la tensin de salida es negativa
respecto a tierra. Demostrar que si se intercambian las conexiones al ctodo y al nodo de cada
diodo, la tensin de salida ser positiva respecto atierra.

T l+h. 17.1
17-11. El c~rcutto del Prob. 17-10 puede ampliarse de doblador a cuadriplicador aadiendo dos diodos y
dos condensadores como queda representado. Las partes (a) y (b) de la figura son formas
alternativas de dibujar el mismo circuito.
(a) Analizar el funcionamiento de este circuito.
(h) Responder a las mismas cuestiones del Prob. 17-10 partes (a) y (h).
(c) Generalizar el circuito de ste y del Prob. 17.10 para multiplicar por n cualquier nmero par.
En particular, esbozar el circuito para multiplicar por seis,
Cd>Demostrar que tambin se puede multiplicar por n impar si se elige la salida adecuadamente.

Entrada II/

3
Y
t+b. ,7-l, (0) Cb)

17-12. (u) Conblderemos el filtro capacitivo &z la Fig. 17.10. Demostrar que durante et Intervalo en que
el diodo conduce, la corriente del diodo viene dada por i = In, sen(wr + \y), siendo

1, = v + oC2 y @ = arctan wCRL

(h) Hallar el ngulo de corte uf, de la Fig. 17-12.


17-13. (a) Determinar la funcin de transferencia VJV, del circuito rectificador y el filtro capacitivo de
entrada representado.
(b) lendo R = 250, R, = 2OOR, C = 200@, y L = 20 H. determinar la tensin de salida supo-
946 Microelecrrnica moderna

niendo que la entrada se puede representar por los dos primaos trminos de su serie Fourier
como
1lOti
u,(t) = ~ ?i (1 - ; cm 754r + ,)

(c) El factor de nzado se define como la relacin entre la componente en alterna (valor eficu) de
la tensin de salida y el valor medio de dicha tensin de salida, Calcular el factor de rizado en
las condiciones de (b)

[TFi! I;-tii:

- -
PlOb.17.13 thb. 17.14
17.14. Repetir el Prob. 17-13 para el circuito rectificador y filtro de entrada inductivo representado. Loa
valores de los elementos son: RT = 2551, R = 50R, R, = 500R, C = 100 @, y L = 1OH. La tensin
de entrada se puede representar
22oxh
u,(t) = --y (1 ; cos 1007rl + ,)

17-15. Un rectificador de una fase y onda completa emplea un diodo semiconductor. La tensin del
transformador es de 40 V (valor eficaz) a la toma central. La carga consiste en un condensador de
25 pF en paralelo con una resistencia de 6OOn. Las resistencias de diodo y de transformador as
como la reactancia de dispersin pueden despreciarse. La frecuencia es de 60 Hz.
(a) Calcular el ngulo de corte.
(b) Trazar a escala la tensin de salida y la corriente del diodo. Determinar grficamente de este
trazado, el punto de conexin y hallar la corriente de pico del diodo correspondiente a este
punto.
(c) Repetir(a) y (b) empleando un condensador de 75 p en lugar del de 25 pF.
17-M. Repetir el Prob. anterior siendo la frecuencia de 50 Hz.
17-17. En la seccin 17-6 se dan los coeficientes tpicos de estabilizacin para un regulador monoltico.
La tensin continua no regulada vara en ti,5 V, debido a fluctuaciones en la lnea. La corriente
de carga puede variar en f2 A. El pico de variacin de temperatura respecto al ambiente de 30 C,
es de k50 C. Calcular la excursin mxima total de la tensin de salida respecto a la que hay a
30 C.
17-18. En la Fig. 17-17 A, = lo , R, = R,, V, = 6 V, y el desplazamiento de la tensin offset de entrada
del Amp-Op es de 10 pV/C.
(a) Cul es aproximadamente la tensin de salida?
(b) <Cul es S, debido al desplazamiento de la tensin offset del Amp-Op?
(c) Cul es S, motivado por la variacin de temperatura base-emisor de Q l? Supngase que en
(b) S, = 0.
17-N La tensin de salida V,<,*del regulador monoltico de la Fig. 17-16 se puede ajustar a un valor ms
alto de Vo mediante los circuitos representados. Hallar expresiones de V, en funcin de V,e,ve I,,
definido en la Fig. 17-16. iQu ventaja tiene (b) sobre (a)?
17-20- Con el circuito representado, el regulador de tres terminales y tensin fijada se convierte en un
regulador de corriente. Si la tensin de salida del regulador es de 5 V, si R = 5Q, y si I, = 10 mA.
iCul es la corriente de salida l,.? Obsrvese que 1,. es independiente de la carga. i,Cmo puede
Problemas 941

id, In, ROb. 17.19

hacerse 1 mdeper~dw~e dz I ? (Sugerencia: vase el circuito (b) en el Prob. 11.19.)


17-21. Si la ten& de salida V, d% un regulador de conmutacin es negativa, se emplea el circuito
desplazador de nivel representado, en la entrada del amplificador de error de la Fig. 17-22.
(a) iCul es la tensin de realimentacin efectiva?
(b) Comprobar que

Obsrvese que para V, < 0, RJR, > 1.


(c) Demostrar que para unos valores dados de V, y de V,,debe elegirse la relacin R,/R, de forma
que
R3
z=,-?!c
R, V,,,

lo que slgmfica que para una Vo negativa R,/R,>l.


VKkl

P,Ob.
17-L?*
1 17 .22. (rr, La disuun no lineal provoca la generacin de frecuencias en la salida que no existen en la
entrada. Admitiendo que lacurvadinmicapuede representarse con la Ec. (17.35), y si la seal
de entrada viene dada por
ib = I, cos w,, + 12cos w2t
demostrar que la salida contendr un trmino en continua y otros trminos senoidales de
frecuencias w,, o,, 204,204, W, + W2,y W, - 0,.
.-__
-
948 Microelectrnica moderna

(b) eneral~ar lus multados de(a) demostrando que si la curva dinamica ha de estar repre~ntada
por trminos de orden superior en i, la salida contendr frecuencias de intemlodulacin dadas
porlasuma yladiferenciademltiplosenterosdew, y w,,comoporejemplo 20,+20,,2w,*~~,
3W,kW,, etc.
17.23. Un transistor suministra 2 W a una carga de 4kR. La corriente continua de colector de seal cero
es de 35 mA, y la corriente continua de colector con seal es de 39 mA. Determinar en porcentaje
la distorsin de segundo armnico.
17.24. La excitacin de entrada de un amplificador es i, = i,,, sen WI. Demostrar que la corriente de salida
se puede representar por una serie de Fourier que contenga slo componentes senoidales impares
y componentes cosenoidales pares.
17-25. (u) Consideremos un transistor ideal sin distorsin aun cuando se lleve desde corte al extremo de
saturacin, siendo v( = V,,r,,,.Comprobar que el rendimiento de conversin viene dado por

mV<, V,,)
v= x 100%
VCC
(h) ;,Cul es el mximo rendimiento posible y en qu circunstancras se logra?
17.26. Demostrar que en un amplificador ideal de clase B a contrafase la disipacin de colector P, es nula
sin seal (Vn, = 0), va aumentando al crecer V, pasando por un mximo dado por la Ec. (17. 58)
cuando V = ~V,/TL
17-27. La simeth especular requiere que la parte inferior de la onda, al desplazarse 180 a lo largo del
eje de tiempos resulte ser la imagen especular de la parte superior. Esta condicion de simetra est
representada matemticamente por la ecuacin

(u) Emplundo la Ec. (17-64) demostrar que un sistema a contrafase de clase B posee simetra
~SpXUlZU.
(h) Demostrar, sin recurrir a la serie de Fourier, que un sistema a contrafase de clase B posee
simetra especular.
17.28. En el amplificador ideal a contrafase de clase B de la Fig. 17.29, V,cc= 15 V, y R, = 8Q. La entrada
es senoidal. Determinar (a) la mxima potencia de la seal de salda; (h) la diswcin de colector
en cada transistor, a la potencia de salida; (c,) el rendimiento de conversin; (d) jcul es la mxima
disipacin de cada transistor, y cul es el rendimiento en estas condiciones?
17.29. El amplificador ideal a contrafase de clase B de la Fig. 17.29 est operando a la amplitud senoidal
en la que la disipacin es mxima. Comprobar que el rendimiento de la conversin es del 50%.

+pCC
Problemas 949

17-30. En el circuito representado se puede admitir que la tensin base-emisor se mantiene constante al
valor de conexin VTpara todos los valores de polarizacin directa. La tensin de polarizacin est
idealmente representada por dos bateras de tensin kV7 siendo 044 1, Supongamos que v, = V,sen
Wf.
(a) Siendo V, = O,6 V, y V,,= I V, esbozar la salida Y(, en funcin del tiempo para k = 0, 0.5 y 1.
Calcular el ngulo de aerre para cada valor de k.
(h) iQu sucede con la dispersin al aumentar V, 7
(c) LQu sucede si k supera la unidad?
(d) Si se aade una resistencia R entre los dos emisores, iqu suceder si k CSmayor que unu!
(e) En (a) y en (4 el funcionamiento en contrafase, es en clase A, B, AB, o C?
hY!mmE E
Soluciones
de una seleccin
de problemas
L I

CAPITULO 1 1-z 62.5 V.


1-4 43 mV.

1-6 (u,%l.4 kV/cm

1-8 10.0 cm?/V.\.

I-10 IU) p = n = 1.45 n 1 cm ; lh) la muema e> intrnsem


l-12 (u) I> = 6.5X x 10 cm , n = 3.20 x 10 cm ; Ch) n = 2.fX x 11, cn, +,
p = 1.01 x IOcm 1.
l-14 0.x33 x 10 ,

I-16 Cc.) 173 mV.

I-20 IY6 mV.


I-22 594 mV.

CAPITULO 2 2-3 IuJ 150 mV: h) 54.6, Cc) 220 WA, 1.63 mA. ll.0 mA.

2-5 (uJ 6.82 mA. th) 1.42 nA; CC.) 46.X mA

2-7 100 v.

2-Y (CI) SI mA, 0.51 V; (h) IX.!9 12.


2-11 la) Y.38 V. 2.YX mW: fhl 2 2 mA. C<I 9 7 mi\
2-13 410 mw.

2-15 2.84 mA.

2-17 (aJ V, = 0.51 V. K, = 3.33 (1: (hl 1.. = 49.4 mA v,, : I IM v


2.19 (ci) 150 0: Ch) SO (1.
2-31 lo) Al,, = lr,JCr,~ + KJI Al: (hl 5.65 mA.
2-33 (4 0.0483 sen wf; (b) 6.70 + 0.0483 sen wt.
2-35 th) AV. = *AV..

2-39 C(I) 4 ll; Ch) 6 W.


2-41 (UI -0.229 pF: (hl 0.276 pp.
2-43 (u) X.37 V; (hl 3.87 V; Cc) X.37 V; Cd) 4.07 V
952 Microelectrnica moderna

CAPITULO 3 3-1 (c) 9.5 mV.


3-5 (6) 0.10 nA, -0.1 nA
3-8 (al RB = 188 kan, R, = 0.47 kCL2;(b) 250.
3-10 20.8 mA, 4.33 V.
3-12 (a) 1.75 mA, 0.732 V.
3-13 (u) 1.99 mA, -4.09 V; (b) -0.926 mA, -7 19 V
3-14 (a) 2.05 mA, 4.42 V; (b) 2.57 mA, 3.03 V
3-15 (u) R,- = 1.52 kCL R, = 85.1 ka; (b) 3.65 mA, 7.05 V.
3-17 (u) v,,, = lo.1 v, v,,, = -5 04 v; (b) 1.52 ka; (c) 1.51 kll
3-21 6.69 V, 0.33 mA.
3-22 (CL)500 FA, 7 V; (b) 527 /LA, 6.73 V
3-24 (u) 14.3 V; (b) 87.8 V.
3-35 Ca) 20 mn, 7.5 kn; (b) 100 $1; (c) 3X.
3.37 R, = r,, + rh + (p,, + l)R,.
3-44 (b) R,. = 457 kfLn. R, = 31.5 ll; (c) 0.99.

CAPITULO 4 4.4 2.1lV.


4-6 (a) 550 Il: (b) 18.6 k62.
4-8 R, = 5 k0, R, = 0.4 kbl.
4-10 6.32 V, 2.92 mA.
4-12 R, = 10.6 MiI, R, = 100 kbl, R, = 3.8 kiL.
4.14 (a) 2 mA, 4 V; (b) 2.15 kCL.
4.16 (a) 20 /LLA, 3 V; (b) 3 V.
4-18 Vo,?, = 4 V, V,,, = 2 v.
4-20 V,,, = 2.5 V, V,,, = 3.5 V.
4.23 20 PA, 5.8 V
4-25 80 /LA, 5.2 V
4.31 (b) 26.3 ka.
4-33 (a) Rs Il CR, + r,)/(l + /L); (b) 461 n; (c) 425 fl
4-41 (b) Vo = PI~d2-vi~[~dIu- IL21 + rl*(l + I*dl.

5-4 37.X Cli cuadrado


5-5 (al 2.5 mm; (b) 1 pm
S-7 307 pF.

6-2 11011110, 100101110, 1111110000.


6-3 177, 550, 2052.
Soluciones de una seleccin de problemas 953

b-4 DE, IZE, bF0.


b-b 1.2 - R 5 5.56 k0
b-8 (01 Ro,, 2 120 kll; (61 K,, 5 208 12.
b-13 (6) Y = ABC
6-15 (h) Y = AH?
6.24 22
6-25 (u) 52.6 kfl.
6-45 (u) Y = (A + Bi.
6-54 (01 4.39; (b) 7. I mw.
6-56 (01 I .7 V; (b) 27.
b-62 0.3 v, ia = 51.7 fiLA. ,. = Ii, 3 *LA.
6-64 Ch) 50; Cc) 9.63 mW
6-67 Cc) V(O) = 0.2 V. V(I) = 2.746 V: (d, 88.
6-69 (aI 0: (hl 43.5 mA.

CAPITULO 7 7-17 ((Ij 145; (h) 145.


1 7-25
7-18
7-22 S,X,,=X,=~.X,=X,=D.X~=X,=,,X,~X,-
(h)
(a) 80;
Y. (h) 60.

1 7-26 ChI X, = I X, = X, = X, = D. all other X = .


7-28 (4 i-res transistores; CB y Ql tienen dos emisores cada uno, (~2 rre,,e dos em,>orcs,
7-33 y, = W6 + w, + W?W,W, + w,w,w,.
7-36 (ci) 10: (h) 7.
7-39 (U) YT = DCBA + DCBA + DCBA + DCBA + DCBA + DCBA + DCBA
7-41 (n, 6; (hj 4.
7-42 Cal 7: (hl 3; (c) 6. 4; (4 1024.

CAPITULO 8 8-5 0.3 V, 2.7 V, 533 mv


S-20 (b) Un impulso, N= 5.
8.21 (h) 2.
8-29 ,<i, N = 4.
8-36 I .9X \.

CAPITULO 9 9-12 CI!) 1X35: (h) 3606: i<, 101000101000


9-16 (0) 0.397 mil: (h) 0.4Y2.

CAPITULO 10 10-l io) IX.3 kil: lh) 42.3C.


10.2 (CI) ().X5 mA: ChI p ,,,.,\ = 1145. fi ,,,,I, = yl
954 Microelectrnica moderna

~0-3 (<I) 14. I kiI; Ch) 0.192%.


10-4 (1, L,,. = 0.459 mA. U, L = 0.462 m*.
LO-5 (<I)I<? = l,? = 0.248 mA; Ch) 21 .O kbL.
10-6 (<,) 781 ktl; (h) - 1.95 V.
10.7 1.12 k0.
LO-8 (O) 0.0541 mA. th) 0.554%
10.11 1.31 kfi.
10-n 1.31 kfl.
,0-E (<O lo.6 kS1; thi .7%
IU-16 (01 10.6 kiL: (h) 0.08%.
IU-17 (<Il [pxp; + 40, + 211 i\V<< LV,,,.)IKJ, ib) 44.13 kbL; tci 3YC
10-18 (,,) 1, = 1.21 mA. I,, = h.oh mA. V,, = 9.3X V; (b) Ic = 1.07 m*. Vct.
9.59 v.
10-19 (<,) 1, = 1.51 F,IA. I,, = 0.012 mA. V,, = 6.83 V: (h) 1, = 1.57 mA. 11,
0.00629 mA. V,, = 6.51 V.
IU-21 (0) I <c, - 5 .755
. mA, V,,, = 3.9 V; (b, 9.08 kCI: (c.) 6.36 V 5 V, J 5 8.4 b
10.23 54 k$L.
10.24 1, , = 1, I = 0.271 mA. I, / = o.!l287 mA.
,0-z (<II 21.3 kfl: (h) 152 PA: Cc) 2 PA.
lo-26 17 kfl.
10.27 4 kfl.
10.28 lo PA.
10.29 ((1) 1.85 v; (b) 15.95 v; (CI -2 v.
10.30 IL,v = 2.098 mA, V,,, = 7.55 V, V,.W = -0.491 V.
10.31 2.083 5 I,~ c 2.113 mA. 7.18 5 V,w 5 7.93 V
~0.32 R, = 1.4, MIL, R, = 108 ka, R,, = 2.17 k0, R, = 1.43 kik.
10.35 A, = -43.78. R, = 3.425 k0.
LO-36 (n) 6 k<L: (bl Il 1.4: Cc) 8.
lo-40 (CI) 1.42 k0; Ch) 0.924.
10.41 (LI) 3.96 kSL: (b) AL = 0.988. R,, = 52 ii, R:, = 51 11.
10.43 (n)A\ = -20.7, R, = 15.7 k0: (b) -21.2 5 Av - -18.7.
10.44 (01 A, = -20.9. R, = 33.9 kbl, -21.4 - A, - -19.2; (b) k,. = =, R:, =
5 k0.
10.53 (0) -8.8; (b) R,, = 92.84 kbl. R:, = 13.65 kil.
10.54 (CI) 0.550: (b) R,, = 789 n, R: = M1 Cl.
10.57 (n) -6.58; Ch) -6.97.
10.58 (LI) 36.2 ka; (b) R,, = 976 12, R:, = 95 (1.
lo-61 A,, = -40.3. A,? = -34.7, A, = 1398.
10.62 ,u) ~38,; (b) -48,500; (cI -44.2.
10.65 (aI 25.3 kfI; (b) 126.8 V
Solucrones de una seleccin de problemas 955

lo-67 (oI A,, = -49.75. A,, = -0.985; (h) 49.0.


lo-71 h) A,, = - 9.8X. A,, = ~- 20, A,, = 0.816; Ch) -1, = 161.2, CL.,K,, = 976 0,
R: = 817 (11.
lo-74 R, = 125 k0. R, = 1.25 Mn.
lo-75 (a) 5000 sen (Zn X 10))1+ 0.75 sen 120nr mV; (b) -5000 hen (2~ x 10J)r+
0.75 sen 120r~r mV.
lo-78 (a) c.., = A,,,(V.12)(1 + IICMRR), ti.,> = -A,>,(V,/2)(1 - IICMRR); (h) u,,, =
Am,(V.iZ)( - l + IICMRR), ve: = A,,,.,,(V,R)(I + IICMRR).
IU-82 A,,, = - 18.75, A,,,, = -0.361, CMRR = 34.3 dB.
10.84 (<JJ - 2 v; (hJ - 1.875 v.
10.85 (U) - 1.25 v; (h) 1X.75 v.

CAPITULO 11 ll-2 N(R, ll rNC(I + g,K) + RiCl, siendo R, =RL, R,


ll-5 l/(R,; + R,lC,, siendoR;=R,, ![~~+(l+k)R&
ll-7 fo = 2.5 MHz, 1; = 400 MHr.
ll-13 -43.X. 77.0 Mradls.
ll-15 -97.1, 2.17 MHr.
ll-17 -333, 90.4 MHr.
ll-18 0.82, 10.1 MHz.
1l-20 -7.04, 3.77 MHz.
ll-26 fu) <II = (RN + R& + ,I AKL,. u> = K,R,C,C,, (bi IIRC, IIRC;
Ic) .$ = (IRRC)(I 2 jV?).
ll-30 (0) 2X60, 3.38 MHr: ch) IX.0 MHz.
ll-32 h) 2X70, 3.60 MHr: (~1 311 MHz.
ll-35 1340. 32. I kHz.
11-3X ,<,, 320. 342 kHz; ch) 5.31 MHr
ll-42 ((11 417. 439 kH/: fh) 2.21 MHr
ll-45 (h) 2 MHr.
ll-47 (u) Ab, = 50. A,, = -5. A,, = 3. /;,, = 20 kHz, /,,? = Ib7 kHL,, Il<
333 kHz: (<.J 20 kHz; (dj 16.9s kHz.
Il-49 (n) 1331; (b) 455 kHz (diagrama dc Bode), 303 kHz (polo dominante).
ll-% (0) 2 mA. 0 Vi (hl 0.594 I.LF; ((,) 66 PF: Cd) c,g = 0.6 PF, C, = 6.6 wF
ll-54 IU) 2.6s Hz; Ih) 4.16%; (<., 416 Hz.

CAPITULO 12 12-4 (u) Amplificador ae corncnte; (b) R, = 10 62, R,, = _, A, = 75


12-6 Io) IX, = 200(1:, 0,); ChI 120.
12-9 (CIJ 240 mV: (h) 150 mV.
12.19 1.02 mV.
12-21 ((I) Serie-serie; (c)A,,, = -6.57, T= 6.57 X lW, A, =-lo- 0; (d) R,. =
1.3X MfL. R,,, = 4X0 MfL; (<aI 10 71.
956 Microelectrnica moderna

12.24 -93.6, 219 R.


12.29 (a) 7 = 0.925, Am = 20.6, A, = 10.7, (b) 2.70 kfl.
12-31 (a) 7 = 7.12, Am = 181, A, = 22.3; (b) 5.03 n.
12.32 (a) Serie-serie; (c) A,= 0.295 0, T= 18.2; (d) 2830.

CAPITULO 13 13.1 (a) 300 kHz, 167 Hz; (b) sin realimentacin 24.5 MHz, con realimentacin 25 MHz.
13.4 (LI) Inestable; (b) -20 dB, -45.
13-7 (a) 71.6 dB; (b) 61.6 dB; (c) 30 dB.
13.10 ((1) 2 kradis; (b) el resultado difiere en media octava
13.11 (<I) 25 radis; (b) w, = 1.58 kradis, z, = IO5 radis.
13-14 Aproximadamente 45%.
13-17 43.1. 59.2, 65.5. 69.y. 76.3.
13-22 (n) 0.858 Mradis, 3.16 Mradis; (b) (-2.01 x IO% j3.62) radh; (CI 25.3.
13-27 (0) 1.56 Mradis, 37.0 Mradis; (b) (- 19.3 x 106)(1 z jO.504) radis; (c) = 55
13-37 (u) R, = 5.43 MR, R,, = 333 ka, u,,/i, = -3200 m02; (c) 1/21r(12.66 + 393OC,)
MH: ,& 4.05 pF.

CAPITULO 14 14-l Cu) 143 kf2; (b) 500 kfl; (<-1 No.
14-4 (b) 5: 1.
14-7 (CI) 1620; (b) R, = 9.3 Mfl, R,, = 2.7 MR.
14.8 (0) Am = - 1600, CMRR = 75.5 dB, R,, = 3.33 MS.
14-l@ (u) 99 dB; fc) 13 pF.
14.16 (b) 5.98%.
14.25 - 1200.
14.27 (al 100 radis; (b) 66.5; (<,) 500 radi:
14-30 ((11 632 Mil; (b) 98 pF.
14.34 ((I) 18.5 radis; (h) r > 10 %s.
14.48 (c) 126 dB.

CAPITULO 15 15-5 (LI) 6.13 kR; (b) 13.0 PS; (c) 30 kfL, 430 pF.
15.7 6.56 kR, 65 pF.
15.9 (a) 100 radis, IO radis; (b) 1.2 x 10 rad/s.
15-11 (a) 3.0 pF, 13.9 pF; (bl A, = 100.
15-13 w,, = l/u(L, + LJC, Av = LJL,.
15.16 w,, = 9.14 Mradis, A, = 119.
15.18 RIR,.
15.19 15.9 kHz. R 1,111,
= 476 0
15.24 0.70 PS, 2.44 /LLS.
15.26 (<i) 539 ns.
Soluciones de una seleccin de problemas 951

LS-28 1.44 ka, 5.77 kfl.


15.36 5 V.4.3 V, 99 kfl
15.38 (0) 15, 3.73 v;(b) v, < K,V,,iK,.

15-41 (h) 7 = (2R~RCIR,)[V,.I(V,, + V,)l.f = IK,I~R~RC,[I (v,;~,,)].


15-44 (01 1.9X V, 5 V; (h) 1.6 V.
15-53 (0) 9 pF; (b) 1. I x 10 ~o/c; ,<., 9 pF. , 1 x 1 %
15.54 (b) 2, 1.

CAPITULO 16 16-4 (a) A, = -1 con 21 m~~ruptor cerrado, A, = tl con el mterrupror abien.


16-6 (Ll) v, / = iv, 2 = iv, 1 = v,/12.
16-7 Ch) V,,IZR. V,: (c) V,I4R. V,/2: (d) V,i8.
16-X (a) R= 128.5 R. Las resistencias binarias crecientes son 215 62, 2l Q, 23 ~2, 2 Q,
2 Q, 2 R, 29 R, y 2 Q correspondientes para los LSB,..., MSB; (h) 77.8 m.4:
(c) 39.2 mV.

16-16 (u) 9; (b) 6


16-17 (d 9; (h) 6.
16-38 Ch) L = R,CJR,R,R,, (t) I fH 5 L 5 5 pH.
16-43 (b) C = C,; (c) R = R,.
16-55 (01 RVJR, 0; (b) 0, -RV,,,IR; (c.) (R + K,V ,,,,R. v.,., (dJ V,,,. W +
RI VJR.
16-58 (4 1.55 krl, -8.

CAPITULO 17 17-7 (n) 1.6 A; (bJ 1.02 A; (<J 80 V, 0, (d) 56.6 v.


17-11 (b) V,, 2 V,, 2 V,, y 2V, son las mximas tens~ona a travs de C,, C>, C,, y Cd,
respectivamente, donde V, es el valor pico de la tensin aplicada. El pico de la ten
sin inversa para cada diodo es 2 V,.
17-14 (b) 85.1 + 4.X5 x 10 cos(lOO?it - 269) V; (b) 3.98 x 10 5
17-15 (u) 100; (b) 44. 0.452 A: (c) 93.4. 62. 0.835 A.
17-17 112 mV.
17-M (a) 12 V; ch) 20 ~V/C: ,<,, -0.05 Psi<
17-19 (~1 Vo = f,R, + V,,, (1 + RJR,).
17-23 12.65.

17-28 (n) 28.1 W; Ch) 3 X4 W; (c) 78.6%; (d) 5.70 W, jo%


17.30 (n) 37, 17.5. 0.
HISPANO EUROPEA

S-ar putea să vă placă și