Sunteți pe pagina 1din 33

Photolysis, Radiolysis, and CVD

Dr. P.Gopinath Ph.D.


Assistant Professor
Centre of Nanotechnology
Indian Institute of Technology Roorkee

1
Introduction
One of the simplest methods to prepare nanoparticles is the decomposition of organometallic
precursors. This decomposition may be driven by heat (thermolysis), light (photolysis),
radiation (radiolysis) or sound (sonolysis).
Advantages of using organometallic compounds are that precursors can be decomposed at
relatively low temperatures to form the final product.
In many cases, polymers, organic capping agents, or structural hosts are used to limit the size
of the nanoparticle growth.
If these restraints interact with the particle surface, then the magnetic properties may be
altered.
Polymers and capping agents are generally used to stearically protect the particles so that they
do not coalesce.
The biggest disadvantage of this approach is that most of the reactions involve air-sensitive
reactants as well as the final precursor. Because of the air sensitive nature of some of
reactants, greater care must also be taken in preparation of solvents and the choice of
atmospheres used.
The particles synthesized are often agglomerated and have a large size distribution (<15%),
and if they are stabilized with surfactants or other organics to prevent agglomeration, they can
interact with the surface, changing the magnetic properties. It has been shown that the
magnetic properties of nickel are effected by the presence of surface moieties.

2
Photolysis

Photolysis : A chemical reaction in which the reaction is driven by light.


The photolysis of metal complexes and salts is an effective and clean
method for the generation of colloidal metals.
Short pulses of high-energy photons are applied to metal ions in solution.
This allows the reduction process in the whole reaction medium to be
turned on instantaneously.
The process of nucleation and growth of the metal particles in solution can
be monitored by spectroscopic methods.

3
Photolysis
An ultraviolet (UV) photolysis (253.7 nm wavelength) method was used to
prepare PVP-protected Pd and Ag nanoclusters in a mixture of 2-propanol
and acetone.
The photochemical reductions of AuCl4 for preparing Au nanoclusters
protected by PVP have been conducted in formamide in the presence of
PVP.
It was found that the diameters of prepared Au nanoparticles depended on
the concentration of AuCl4 .
Narrowly distributed Au colloids with average sizes from 6 to 18 nm have
successfully prepared by this process.
The effective photoreduction of AuCl4 in the nonaqueous system is
attributed to the higher degree of dissociation of AuCl4 in formamide.
In a comparative study of hydrogen reduction vs photoreduction of
chlorplatinic acid in the presence of surfactants it was found that
photoreduction produced smaller platinum particles with a narrower size
distribution. 4
Radiolysis
The -irradiation technique has some special advantages such as processing at
room temperature and ambient pressure, high yield, as well as easy control of
particle size and size distribution.
Therefore, the -irradiation technique has been more and more widely used to
prepare nanocrystalline metals, alloys, metal oxides, metal sulfides, and
nanocomposites since it can provide high energy and an evenly distributed
irradiation field.
A solution containing metal salts of appropriate concentration (102103 M)
was irradiated in a 60Co source at appropriate dose for a long time to
precipitate powders, then filtered out and washed.
Salt concentration, irradiation time, and dose can be adjusted.
Appropriate surfactant should be added to stabilize the formed small clusters
and colloids.

5
Radiolysis
In the experimental process, noble metal clusters were found to exist for more than one
month in -irradiated concentrated salt solution in air.
Shape of the nanoparticles produced by -irradiation depended on the surfactant used.
For example, when sodium dodecyl sulfate is used as a surfactant, the silver particles
are uniform quasispherical (Fig. 1a).
Under appropriate conditions, some silver dendrites can be obtained (Fig. 1b).
However, when poly(vinyl alcohol) is used, the silver particles have various shapes.
-irradiation technique is an excellent method to prepare nanoscale noble metals with
as-desired nanostructures, combined with additional methods such as surfactant-assisted
process.

6
Radiolysis
During irradiation, the formation of hydrated electrons can be shown as follows.

Then, the radiation reduction of metal ions by hydrated electrons leads to the formation of
metal nanoparticles:
Where n is a number of aggregations of a few units, Mn is cluster colloid, and Magg is the
aggregate in the final stable state

Appropriate (CH3)2CHOH as scavenger to eliminate hydroxyl radicals needs to be added to


the reaction system.

7
Advantages and Disadvantages
Advantages:
-irradiation applications for syntheses of nanomaterials is that this technique with relatively
high yield of product and low cost, can process under normal pressure at room temperature.
It can be conveniently combined with other methods, such as hydrothermal, hard/soft
template, and sonochemical methods, or other chemistry branches, such as coordination
chemistry, surface and colloidal chemistry, and polymer science.
An advantage of radiolytic methods of colloidal metal synthesis lies in the fact that a large
number of metal nuclei are produced homogeneously and instantaneously, a condition
favorable for the formation of very highly dispersed particles.
In addition, the reducing agents are formed in situ, and thus chemical compatibility of added
reducing agents (BH4, Et3BH, etc.) with other components of the colloid system is not an
issue.
Disadvantages:
Shortcoming of the -irradiation technique is obvious in that its energy is too dispersive.
Therefore, it is unsuitable for the preparation of materials which need high temperature and
energy.
Products prepared by -irradiation are usually crystalline-poor. Thus, sometimes the
combination of the -irradiation technique with the hydrothermal method is necessary.

8
Chemical Vapor Deposition (CVD)

9
Introduction

Organic thin films have received a great interest due to their


extensive application in the fields of mechanics, electronics
and optics

Two ways for polymer thin film preparation

--- wet processes like spreading , dipping, or solvent casting

--- dry processing like physical vapour deposition and

chemical vapour deposition(CVD)

10
What is CVD?

Chemical vapor deposition


(CVD) is a widely used
method for depositing thin
films of a large variety of
materials.
Applications of CVD range
from the fabrication of
microelectronic devices to the
deposition of protective
Typical gas flow in CVD systems coatings.

http://www.sandia.gov/1100/CVDwww/cvdinfo.htm
11
What is CVD?

CVD is widely used in the semiconductor industry, as


part of the semiconductor device fabrication process,
to deposit various films including: polycrystalline,
amorphous, and epitaxial silicon, silicon dioxide,
silicon germanium, tungsten, silicon nitride, silicon
oxynitride, titanium nitride, and various high-k
dielectrics.
CVD processes and systems are based on four major
factors: temperature, time, pressure, and surface
specificity.

12
What is CVD?

CVD depends on the availability of a volatile


chemical which can be converted by some reaction
into the desired solid film.
In every CVD process, there is some reaction path
that converts the vapors to a solid.
Reactors and processes are designed in order to limit
the reaction to a particular place and time within the
chamber (typically on the substrate), and not
everywhere else.

13
What is CVD?

In a typical CVD process, the substrate is exposed to


one or more volatile precursors, which react and/or
decompose on the substrate surface to produce the
desired deposit. Frequently, volatile byproducts are
also produced, which are removed by gas flow
through the reaction chamber.
These byproducts or particles can fall onto the
substrates, coat the chamber walls, and/or clog
exhaust openings.

14
CVD Process

Fluid precursor undergoes a


chemical change and leaves a
solid layer on the substrate.
A chemical reaction which
transforms gaseous molecules
called precursor, into a solid
material on the substrate .

15
Chemical vapor deposition (CVD): reaction
mechanisms
Mass transport of the reactant in CVD: Diffusive-convective transport of
depositing species to a substrate
the bulk
with many intermolecular
Gas-phase reactions collisions-driven by a concentration
(homogeneous) gradient
Mass transport to the surface
SiH
SiH4
Adsorption on the surface 4

Surface reactions
(heterogeneous)
Surface migration
Incorporation of film
constituents, island formation
Desorption of by-products Si
Mass transport of by-produccts
in bulk
16
Types of CVD

Atmospheric pressure Laser chemical vapor


chemical vapor deposition deposition (LCVD)
(APCVD) Metal-organic chemical vapor
Low pressure chemical deposition (MOCVD)
vapor deposition (LPCVD) Molecular beam epitaxy
Plasma enhanced chemical (MBE)
vapor deposition (PECVD) Chemical vapor
Photochemical vapor infiltration(CVI)
deposition (PCVD)

17
Atmospheric pressure chemical vapor
deposition (APCVD)
First reactors used in the microelectronics industry
CVD processes at atmospheric pressure
Low-temperature (below 600C) production reactors
for atmospheric pressure CVD (APCVD) are used
primarily for depositing oxides and binary and
ternary silicate glass coatings for solid-state devices.

18
Low pressure chemical vapor deposition
(LPCVD)
Most modern CVD process are LPCVD.
CVD processes at subatmospheric pressures. Reduced
pressures tend to reduce unwanted gas phase reactions
and improve film uniformity across the wafer.
In LPCVD, no carrier gases are required, particle
contamination is reduced and film uniformity and
conformality are better than in conventional APCVD
reactor systems.
LPCVD systems are used for depositing films of
insulators, amorphous and polycrystalline silicon,
refractory metals, and silicides.

19
Plasma enhanced chemical vapor
deposition (PECVD)
CVD processes that utilize an RF-induced
glow discharge plasma to enhance chemical
reaction rates of the precursors.
PECVD processing allows deposition at lower
temperatures, which is often critical in the
manufacturing of semiconductors.
Allows deposition onto substrates with
relatively low thermal stability.

20
Photochemical vapor deposition (PCVD)
PCVD is based on activation of the reactants in the vapor
phase by electromagnetic radiation.
Selective absorption of photonic energy by the reactant
molecules or atoms initiates the process by forming reactive
free-radical species that then interact to form a desired film
product.
Mercury vapor is usually added to the reactant gas mixture as a
photosensitizer that can be activated with the radiation from a
high-intensity quartz mercury resonance lamp.
The excited mercury atoms transfer their energy kinetically by
collision with the reactants to generate free radicals.

21
Laser chemical vapor deposition (LCVD)
LCVD utilizes a laser beam for highly localized heating of the
substrate.
Laser (or electron radiation) may also be used to activate
gaseous reactant atoms or molecules by their absorption of the
specific wavelength of the photonic energy supplied. The
resulting chemical gas phase reactions are very specific,
leading to highly pure film deposits.
However, the activation matching of the spectral properties
with the reactant species limits the choice of reactions and
therefore the film deposits that can be obtained.
LCVD is still in its early development stages but promises
many interesting and useful applications in the future.

22
Metal-organic chemical vapor deposition
(MOCVD)
CVD processes based on metal-organic
precursors, such as:
Tantalum Ethoxide to create Tantalum Oxide
Tetra Dimethylamino Titanium (or TDMAT)
to create Titanium Nitride
MOCVD is mainly used for growing epitaxial
films in the fabrication of optoelectronic
devices.

23
Metal-organic chemical vapor deposition
(MOCVD)
Metal organic chemical vapor deposition is a technique used to deposit layers of
materials by vapor deposition process.

MOCVD system contains:


1. the gas handling system to
meter and mix reagents
2. the reactor
3. the pressure control system
4. the exhaust facilities

24
Metal-organic chemical vapor deposition
(MOCVD)
The basic chemistry equation of this reaction is as follows:

(CH3 )3 Ga AsH3 GaAs(solid ) 3CH 4 (methane gas)


Arsine Gallium arsenide (GaAs)

Group III sources are trimetilgallium (TMGa), TMAl, TMIn.


Group V sources are typically hydride gases such as arsine,
phosphine.
Growth rate and composition is controlled by partial pressures of
the species and by substrate temperature

25
Molecular beam epitaxy (MBE)
Epitaxy refers to the method of depositing a monocrystalline film on a
monocrystalline substrate. The deposited film is called an epitaxial film or
epitaxial layer. The term epitaxy comes from the Greek roots epi, meaning
"above", and taxis, meaning "in ordered manner". It can be translated "to
arrange upon".
Physical deposition process (basically evaporation) carried out in ultra-high
vacuum (below 10e-8 torr) and at substrate temperature typically not
exceeding 800 C
Due to the unobstructed molecular flow of species to be deposited, and
chemical cleanliness of the substrate surface, highly controlled growth of
ultra-thin epitaxial layers is possible.
The highest precision deposition method used in semiconductor processing.

26
Chemical vapor infiltration(CVI)

A chemical vapor deposition type process used for the


preparation of ceramic matrix composites such as:
alumina-alumina - in which a chemical vapor
consisting of AlCl3-H2-CO2 is deposited onto
porous alumina fibers or preforms.
Allows high temperature phases to be produced at
temperatures well below their melting point with
minimal stress.
Used to form ceramic and carbon composite materials

27
Advantages/Disadvantages

Advantages Disadvantages
-High deposition rate -Increased gas flow
-Good uniformity rates
APCVD -Low temperature -Requires frequent
cleaning
-Excellent uniformity -High temperature/ low
-Large load size deposition rates
LPCVD -Able to handle larger -Often involves the use
amounts of wafers of toxic, corrosive or
flammable gases
-Lower temperature/ high -Limited capacity
deposition rates -Individual wafer
PECVD loading
-Good adhesion
-Easily contaminated 28
PECVD Advantages

thermal plasma-
film precursors
deposition enhanced

silicon nitride SiH4 or SiH2Cl2 and NH3 750 C 200-500 C

silicon dioxide SiH4 and O2 [or often 350-550 C 200-400 C


N2O]

TEOS and O2 700-900 C 300-500 C

amorphous SiH4 550-650 C 200-400 C


silicon

http://www.batnet.com/enigmatics/semiconductor_processing/CVD_Fundamentals/plasmas/plasma_deposition.html 29
PECVD Advantages
Typical pressure range:
2-5 Torr
Typical temperature range:
300-400 C
Lower temperature at substrate surface
Higher deposition rate
Good adhesion
Although the reactor is contaminated easily, it
is also cleaned relatively easily with the aid of
fluorine containing gases and a plasma in order
to remove silicon, silicon nitride, silicon
dioxide, etc. 30
PECVD Systems

Plasmatherm 790 ELECTROTECH ND6200


PECVD system PECVD System
http://whttp://www.semicore.com/Plasmatherm_790.html
31
ww.used-line.com/c4815566s10002-ELECTROTECH_ND6200.htm
Conclusions

There are many types of CVD systems


available for micro/nano-fabrication.
Different systems are better for producing
desired results of certain applications.
PECVD allows the deposition of various
materials to substrates with minimal surface
damage due to substrate heating at moderate
pressures.

32
Silicon Carbide Nanotree

Credit: Ghim Wei Ho, Cambridge University. 33


http://nanotechweb.org/cws/article/tech/19739

S-ar putea să vă placă și