Sunteți pe pagina 1din 15

Downloaded from http://iranpaper.

ir
This article has been accepted for inclusion in a future issue of this journal. Contenthttp://www.itrans24.com/landing1.html
is final as presented, with the exception of pagination.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES 1

A 60-GHz SiGe BiCMOS Monostatic Transceiver


for FMCW Radar Applications
Efe ztrk , Dieter Genschow, Uroschanit Yodprasit, Berk Yilmaz, Dietmar Kissinger, Senior Member, IEEE,
Wojciech Debski, and Wolfgang Winkler

Abstract In this paper, a high-range 60-GHz monostatic only just a high-performance chip but also high performance
transceiver system suitable for frequency-modulated continuous- antennas, a suitable packaging technology [6][11], a very
wave (FMCW) applications is presented. The RF integrated precise wirebonding process [10][13]especially if some
circuit is fabricated using a 0.13-m SiGe BiCMOS technology
with fT / fmax of 250/340 GHz and occupies a very compact area off-chip high-frequency elements existand a well operating
of 1.42 0.72 mm2 . All of the internal blocks are designed baseband system [14]. Therefore, there are many complexities
fully differential with an in-phase/quadrature receiver (RX) to be handled and optimized before the production phase.
conversion gain of 14.8 dB and 18.2 dBm of input-referred 1-dB The popularity of SiGe technology has been boosted with
compression point and a transmitter (TX) with 6.4 dBm of output the continuous process developments and increase in the
power. The 60-GHz voltage-controlled oscillator is of a pushpush
type Colpitts oscillator integrated into a frequency divider with number of reliable industrial products thanks to low-cost
an output frequency between 910 MHz and 1 GHz with the help and highly integrated monolithic microwave integrated circuit
of 3-bit frequency tuning mechanism for external phase-locked solutions [15][18]. The ISM bands, on the other hand, have
loop operations. Between the TX and RX channels, a tunable directed researchers to create such high-performance systems
coupler is placed to guarantee a high isolation between channels based on SiGe technology, which the literature and industry are
which could withstand any fabrication failures and provide a
single differential antenna output. On the TX side, two power already quite populated, and yet still to be further advanced.
detectors are placed in order to monitor the transmitted and The technology has already proven itself in high ISM fre-
reflected powers on the TX channel by passing through a branch- quency products such as 24-GHz radars [19], [20], 60-GHz
line coupler for built-in-self-test purposes. The total current radar [21][25] and 5G communication links [26][28], and
consumption of this transceiver is 156 mA at 3.3 V of single 122-GHz radars [9][11], [14], [29], [30], which 240 GHz
supply. Considering the successful real-time radar measurements,
which the radar is able to detect the objects in more than is among the next research focuses for radar [31] and com-
90-m range, it proves the suitability of this monostatic chip in munication, as well as the nonISM band 77-GHz automotive
high-range FMCW radar systems. radars [2], [32], [33] and 94 and 140-GHz passive/active
Index Terms Fully differential IC, in-phase/quadrature (I/Q) imaging systems [34].
receiver (RX), millimeter wave, monostatic frequency-modulated Among these high-frequency applications, target range
continuous-wave (FMCW) radar, single antenna, 60-GHz trans- detection has of great importance specifically for automo-
ceiver TRX, transmitter (TX), 0.13-m SiGe BiCMOS. tive safety in anticollision/parking/traffic sensors, speed and
I. I NTRODUCTION distance control for robotics, monitoring through unmanned
aerial vehicles, tank level gauging systems [23], vibra-

T HERE is a huge industrial need for designing better trans-


ceivers for the use in radar, imaging and communication
applications such as avionics, robotics, military, and automo-
tion measurement systems, door openers, and life sign
monitoring [22], [25]. The frequency-modulated continuous-
wave (FMCW) principles are of great use in such systems eval-
tive. For all of these big industries, the designers are required uating range and velocity information of targets by measuring
to develop high range [1], [2], high resolution [3], [4], and the phase and frequency change between the transmitted and
high data-rate systems built using low-cost technologies [5]. received signals with the correct type of linearly varying chirp
In terms of a high-performance complete product that can be modulation. To maintain high accuracy [8], [30], [35], [36]
fabricated in high volumes, the whole process requires not in below millimeter ranges for very precise and long range
Manuscript received July 1, 2017; revised September 11, 2017; accepted measurements, many compromises should be carried out on
October 18, 2017. This paper is an expanded version from the IEEE MTT-S overall system cost, range resolution, transmitted power, and
International Microwave Symposium Conference, Honolulu, HI, USA, power consumption.
June 49, 2017. (Corresponding author: Efe ztrk.)
E. ztrk, D. Genschow, U. Yodprasit, B. Yilmaz, W. Debski, In some radar applications, it is required for the system
and W. Winkler are with Silicon Radar GmbH, 15236 Frankfurt Oder, to be able to measure high ranges with certain accuracy and
Germany (e-mail: oeztuerk@siliconradar.com; winkler@siliconradar.com). resolution [1], [2], [5]. The ISM band around 60 GHz is
D. Kissinger is with IHP, 15236 Frankfurt Oder, Germany, and also
with the Department of High-Frequency and Semiconductor System Tech- quite suitable for such high-resolution applications thanks to
nologies, Technische Universitat Berlin, 10623 Berlin, Germany (e-mail: its high-allowed bandwidth which is 7 GHz starting from
dkissinger@ihp-microelectronics.com). 57 GHz and extending up to 64 GHz. Moreover, together
Color versions of one or more of the figures in this paper are available
online at http://ieeexplore.ieee.org. with a monostatic approach where there exists only one
Digital Object Identifier 10.1109/TMTT.2017.2769049 antenna for transmitter (TX) and receiver (RX) channels to
0018-9480 2017 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission.
See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.
Downloaded from http://iranpaper.ir
This article has been accepted for inclusion in a future issue of this journal. Contenthttp://www.itrans24.com/landing1.html
is final as presented, with the exception of pagination.

2 IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 1. Block diagram of the fabricated 60-GHz TRXMS.

transfer signals, it will be possible to measure and detect circuit blocks are fully differential structures and tried to be
much farther distances and objects. Because the TX-to-RX designed as symmetrical as possible to preserve the differential
coupling through the antenna is now eliminated and there is signal characteristics. The TX channel is composed of a
only a single and direct focal point compared to classical power amplifier, a 3-bit pushpush type voltage-controlled
two-antenna concepts, i.e., bistatic radars, although a direct oscillator (VCO) with an integrated 1/32 frequency divider and
leakage path is introduced into the system at the same time a branch-line coupler with power detectors for built-in-self-
by integrating a channel combining structure, which could test (BIST) functionality. The VCO core with a fundamental
degrade the system performance if not optimized well. During frequency of 30 GHz is internally multiplied through the
the real-time measurements of bistatic radars, it is observed structure to achieve 60-GHz differential output signal. On the
that, at much farther distances, it becomes not possible for the RX section, an LNA is integrated to an LC-type Wilkin-
transmitted signal to be reflected from the object and captured son power divider, two Gilbert-cell-based mixers and an in-
by the RX channel with the same angle owing to different focal phase/quadrature (I/Q) signal generator, which the differential
points of TX and RX channels, which in the end reduces the in-phase and quadrature IF outputs are directly processed out-
maximum detection range of radar by creating blind-spots. The side on-board through regular baseband components for further
beam crossing issue further reveals its effect in case of a lens FMCW operations. Furthermore, the TX and RX channels
integration to direct the antenna pattern which is quite usual for are combined in a tunable high isolation coupler (HIC) in
higher range measurements as also stated in [30]. Furthermore, front to provide the single antenna output for RX and TX
this will also allow to either fabricate smaller chips due to channels to share. The full electromagnetic (EM) simulations
single antenna integration on-chip, which would surely reduce are performed using Agilent ADS Momentum software and
the fabrication costs, or have much improved antenna gain the final chip is fabricated in a 0.13-m SiGe BiCMOS
in the same amount of area by integrating more patches. technology with f T / f max of 250/340 GHz.
Finally, this monostatic approach also benefits especially the From the system point of view, there are some challenges to
multichannel transceiver structures to simultaneously perform be evaluated first which would guide the final design in real-
transmit and receive functions instead of the classical switched izing an FMCW radar transceiver. The minimum detectable
channel operation which are realized in half clock cycle, hence signal on the RX side is determined by the noise floor. Here
allowing for more system measurements. the overall system bandwidth is limited at the IF output
Considering the advantages of this topology, the work by the bandwidth of a single fast Fourier transform (FFT)
described in this paper focuses on the design of low-cost SiGe bin which is maximum around 1.5 kHz with variable ADC
BiCMOS transceiver adopting a monostatic approach for the sampling rate (having a maximum frequency of 5 MHz) and
use in FMCW radar applications as shortly described in [21]. certain number of FFT points, even though the front-end
utilizes almost the full ISM band. In addition, considering
a system noise figure of 12 dB, the noise floor stays below
II. S YSTEM C ONCEPT AND IC D ESIGNS
125 dBm. For clear detection of the return signal, a minimum
The block diagram of the fabricated 60-GHz monostatic SNR of around 10 dB should be presented, which brings the
transceiver (TRXMS) is highlighted in Fig. 1. All of the lowest signal level to 115 dBm. Such low signal should be
Downloaded from http://iranpaper.ir
This article has been accepted for inclusion in a future issue of this journal. Contenthttp://www.itrans24.com/landing1.html
is final as presented, with the exception of pagination.

ZTRK et al.: 60-GHz SiGe BiCMOS TRXMS 3

recovered with quite high gain extracted from the antenna after
a medium TX output power due to the monostatic nature of
transceiver employing a front coupler. Because the path loss at
such high frequency of 60 GHz is already maximum around
115 dBm to be able to detect targets beyond 100 m without
even taking the additional losses into account such as target
reflection loss and environment conditions. The calculation of
total losses is nontrivial, since the measurement environment
includes many objects requiring the radar cross section (RCS)
information of each. With regular detectable targets, the RCS
could vary between 1 and 200 m2 , which translate into a total
attenuation of more than 140 dB to be compensated. Using
the generic Friis transmission equation with a maximum TX
output of 5 dBm, the antenna specifications could be very
demanding, which necessitates either a huge antenna array
design or a use of lens to increase the directivity. Here in the Fig. 2. HIC including fine tuning variable capacitors to adjust the isolation
proposed radar, a 12-dBi gain antenna is integrated to a 20-dB resonance frequency and level.
plastic Lens for observing a considerable IF output level.
With regards to such limitations, the transceiver design
in Fig. 1 is based on many optimizations of the individual
IC blocks that must be functional as an overall system after
integration. In order to achieve a safe simultaneous operation
of RX and TX channels, the linearity of RX should withstand
the excessive power of TX coupled through the HIC. For
example, with around 9 dBm of simulated output power
from 60-GHz VCO and a power divider having insertion loss
of 4 dB, the RX and TX channels are fed with 5 dBm of
local oscillator (LO) power which is saturated on the TX
path through a PA with maximum output power of 15 dBm
at 60 GHz, while 1 dBm of LO power is sent to the RX
mixer after the passive I/Q signal generator having an expected
insertion loss of 4 dB.
Having a reduced PA output power of 13 dBm after the
Fig. 3. 3-D model of the HIC placed at the input pads.
branch-line coupler with almost 2 dB of insertion loss (for
the purpose of integrating power detector) and considering a
35 dB of targeted coupling loss/isolation on HIC, the RX
channel should be operational with an input-referred 1-dB Here an LC-type Wilkinson power combiner is utilized to
compression point (IP1dB ) of around 22 dBm without any combine the TX and RX channels so that simultaneous opera-
IF I/Q output amplitude and phase distortion due to increased tion of TX and RX becomes possible as opposed to switched
level of dc offset and harmonics (a few dB higher than channel architecture. The circuit schematic and corresponding
IP1dB is yet acceptable for such safe operation). However, 3-D model are shown in Figs. 2 and 3, respectively. Since the
the expected output power of PA might decrease after the LC-type structure is chosen, it is quite compact in size, which
fabrication process either due to other circuit blocks prior to is about 70 m 170 m excluding the input pads, together
PA (VCO or power divider between RX and TX) or the PA with the spiral inductors and process specific metal-insulator-
itself. Therefore, the linearity requirement for RX could further metal capacitors.
relax by couple-dB. Within the RX channel, since the power It is possible that frequency shifts in the characteristics of
divider and I/Q signal generator have fixed insertion losses of some IC blocks might be observed after the fabrication process
around 4 dB as well, the LNA and mixer should be optimized due to many reasons such as wrong library modeling of
to conform to such linearity requirement for the overall RX transistors, unconsidered EM effects in simulation or process
channel while satisfying certain gain and noise figure metrics. imperfections, which could lead to some problems in the end.
In this transceiver, for instance, the coupler has to provide
enough isolation at the correct frequency band in order to
III. R ECEIVER C HANNEL D ESIGN
minimize the leakage from TX channel to RX channel. In case
Various approaches to achieve a monostatic version exist in of a frequency shift, the isolation peaking frequency and its
the literature, however, mainly populated by the hybrid/rat-race level might change dramatically, so that a very weak isolation
coupler topology [1], [7], [20], [24], [35] and the switched is attained instead of the expected high isolation levels, which
channel topology [37], [38], as well as other techniques would ruin the whole system performance. As a result, some
described in [39]. tuning mechanism is integrated through variable capacitors at
Downloaded from http://iranpaper.ir
This article has been accepted for inclusion in a future issue of this journal. Contenthttp://www.itrans24.com/landing1.html
is final as presented, with the exception of pagination.

4 IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 4. Isolation and Insertion loss of the tunable HIC with respect to swept Fig. 6. Simulated LNA S-parameters and noise figure.
control voltages (03.3 V).

Fig. 5. Schematic of the fabricated LNA (bias circuitry not shown).

the differential RF input pads. By adjusting the voltage of these


Fig. 7. Simulated LNA linearity and gain at 60 GHz.
capacitors to the point where the minimum possible leakage
is achieved, the isolation level and frequency band can be
controlled. Then, the tuning mechanism could be conducted this distortion for all the different tuning options and for the
in measurements and fixed either on-board or chip level. case where PA is switched ON and OFF, rather than minor
The simulation results are shown in Fig. 4. As the tuning improvements toward the upper region of applied voltages.
voltage is adjusted between 0 and 3.3 V, the isolation reso- This implies a frequency shift around 5 GHz in the direction
nance frequency and its level could be set, and better than of 65 GHz of center isolation peaking frequency compared
30-dB isolation throughout the whole ISM band would be to simulations (see Fig. 4). Furthermore, in the FMCW tests,
achieved. However, the disadvantage is that the insertion loss the radar board with an additional HIC tuning control pin is
of this coupler is altered, which is found to be around 5.8 dB. adjusted by a separate supply before it is fixed at the desired
Thus, a variable noise mechanism at the input for RX section voltage level by simple voltage divider and the same results
is introduced and the output power for TX section is reduced are achieved, where the blind spot region caused by dc offset
at the same time. Nevertheless, each possibility is considered is improved by around 2 dB, yet not affecting the output radar
in the design procedure and its effects on the final system. performance visibly. However, having observed the clean IF
Moreover, the input and output are well matched to differential outputs, it could be deducted that the channel isolation level
100 . Since the circuit is a single transceiver which is already is already quite high.
not quite hard to combine the antenna output with wirebonds On the other hand, the LNA is designed adopting two stage
to on-board antennas and it contains HIC in front, balun is not cascode topology allowing high gain and low noise figure with
integrated not to further degrade the conversion gain, output generic design steps, as shown in Fig. 5. The performance is
power, and noise performance. further increased with differential architecture which enables
During the IC probe measurements on the complete trans- common mode noise reduction, parasitic removal, even-order
ceiver, the effect of tuning mechanism is tested by using an harmonic cancellation, and wirebond robustness considering a
oscilloscope to view if the IF I/Q differential outputs have complex FMCW system. In order to eliminate the substrate
phase and amplitude distortions due to the leakage from TX influences, a ground shield placed at the bottommost metal
channel. While the TX was operating at its highest output layer is utilized. For higher current density, the inductors are
power mode, no significant observations are made regarding drawn in the topmost thickest aluminum layer. Simple LC-type
Downloaded from http://iranpaper.ir
This article has been accepted for inclusion in a future issue of this journal. Contenthttp://www.itrans24.com/landing1.html
is final as presented, with the exception of pagination.

ZTRK et al.: 60-GHz SiGe BiCMOS TRXMS 5

Fig. 8. Schematic of the fabricated Gilbert-cell-based mixers showing I/Q signal generator (bias circuitry not shown).

matching networks are utilized at input, interstage, and output


sections, and the circuit is biased through current mirrors
which are optimized separately for the lowest possible noise
figure at the first stage and for higher gain at the second stage.
The amplifier input and output are matched to differential 100
 and it is quite compact thanks to densely bended inductors
and careful layout work (200 m200 m). From the simula-
tion results (see Figs. 6 and 7), 23.5 dB of gain and 4.9 dB of
noise figure could be achieved at 60 GHz. The 3-dB bandwidth
is around 10 GHz between 55 and 65 GHz, covering the
full ISM band. IP1 dB is found to be 20 dBm with an
output power of 2 dBm at this point around 60 GHz. The
total current consumption of this block is 14.1 mA at 3.3-V
supply.
For the RX channel, I/Q demodulation is preferred thanks
Fig. 9. I/Q signal generator 3-D layout.
to its crucial properties such as image cancellation, allow-
ing to gather phase information and boosting output gain,
which the baseband operation could benefit. Therefore, after
the LNA, the signal is divided into two by passing through an
LC-type Wilkinson power divider again in order to feed the
differential mixers, as shown in Fig. 8 for I/Q operation. With
this compact passive topology, quite the same as HIC, yet
different matching elements are employed, insertion loss is set
to 4 dB and the architecture is well optimized for the input
and output return losses. The I and Q signals are generated
by two parallel quarter wavelength transmission line-based
couplers as shown in Fig. 8 (top right) with its 3-D model
in Fig. 9 and are directly fed into the switching transistors
of mixers. It shows an insertion loss of 4 dB with negligible
phase imbalance of 2.5 and a well matching in the frequency
band of interest (see Fig. 10).
For the mixer topology selection, the most important metrics Fig. 10. Simulated I/Q signal generator insertion phase and three-port
to be considered is its effect on the overall system linearity and S-parameter results highlighting insertion loss, isolation, and inputoutput
return losses.
noise figure while keeping the conversion gain as maximum
as possible, since off-the-shelf baseband components to be
utilized on-board would be the main source of total gain. gain by the passive power divider could already support the
As a result, the integrated RX channel conversion gain is only noisy nature of mixer which facilitates the mixer topology
important to keep the noise figure as low as possible. Having selection.
already taking the system requirements for RX channel into Therefore, instead of a passive structure to further boost
account, the total 19.5 dB of gain attained after reducing LNA the overall channel linearity, an active topology based on
Downloaded from http://iranpaper.ir
This article has been accepted for inclusion in a future issue of this journal. Contenthttp://www.itrans24.com/landing1.html
is final as presented, with the exception of pagination.

6 IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 11. Simulated conversion gain and noise figure of the fabricated Fig. 13. Simulated conversion gain and noise figure of the fabricated
I/Q mixer including power divider, mixers, and I/Q signal generator. RX channel including LNA, power divider, mixers, and I/Q signal generator.

Fig. 12. Simulated linearity (using conversion gain) of the fabricated Fig. 14. Simulated linearity (using conversion gain) of the fabricated
I/Q mixer including power divider, mixers, and I/Q signal generator at 60 GHz RX channel including LNA, power divider, mixers, and I/Q signal generator
with 0-dBm applied LO power. at 5764 GHz with 0-dBm applied LO power and 100-kHz IF. Each curve
belongs to different frequency gain states in ISM band with 1-GHz intervals.

a Gilbert-cell mixer structure having increased gain while of single supply. According to full EM simulation results
compromising on the linearity to some extent is selected. This (combining LNA, power divider, mixers and I/Q signal gener-
double-balanced configuration allows not only high conversion ator) in Figs. 13 and 14, which the mismatches and crosstalk
gain while providing high LO-RF and LO-IF isolation, but also between blocks are also included, conversion gain is found
improves the linearity and suppression of spurious products as 20.6 dB with SSB noise figure of 7.8 dB and IP1 dB
and necessitates a small chip area with moderate LO power of 24 dBm at 60 GHz and 0-dBm LO applied. With the
on the switching transistors. integration of HIC in front, the linearity will improve to
The mixer transistors are optimized to obtain a decent gain 18.2 dBm and the overall channel gain will reduce to 14.8 dB
with high enough linearity in transconductance stage and min- with SSB noise figure of 13.6 dB and full ISM band coverage.
imize the LO loading in switching stages by reduced emitter
lengths. The operation point providing the optimum gain and
IV. T RANSMITTER C HANNEL D ESIGN
linearity is set with the help of the same current mirror-based
bias circuitry and resistive load integrated to a low-pass filter The design methodology of the PA is the same as the LNAs
at the IF output. In simulations, the mixer together with I/Q and its schematic can be viewed in Fig. 15. The only difference
signal generator and power divider achieves almost 0.7 dB of comes from the successively increased transistor sizes for the
gain and single-sideband (SSB) noise figure of 12.1 dB with PA to be able to derive more current and have much higher
IP1dB of 3.5 dBm at 60 GHz and a current consumption power handling capability. Due to higher current consumption,
of 5.4 mA from 3.3-V supply (see Figs. 11 and 12). The the topmost metal layer having a current density of 16 mA/m
differential I and Q IF outputs are then amplified and filtered with larger width (5 m) is used. After many optimizations,
directly on-board. the maximum gain is 25.5 dB at 63.5 GHz with 8.5 GHz
Finally, the compact RX channel occupies an area of 3-dB bandwidth between 59 and 67.5 GHz and 23.6-dB
of 0.43 0.20 mm2 and consumes 63 mW at 3.3 V gain at 60 GHz (see Fig. 16). The saturated output power (Psat )
Downloaded from http://iranpaper.ir
This article has been accepted for inclusion in a future issue of this journal. Contenthttp://www.itrans24.com/landing1.html
is final as presented, with the exception of pagination.

ZTRK et al.: 60-GHz SiGe BiCMOS TRXMS 7

Fig. 15. Schematic of the fabricated PA (bias circuitry not shown).

Fig. 18. Measured TX output power versus LO frequency.

Fig. 16. Simulated PA S-parameters.

Fig. 19. Measured TX output power and PA current at 60-GHz LO operation


versus TX gain control voltage.

in Fig. 18. According to the results, the TRXMS IC provides


6.4-dBm output power at 3.3 V, which fits quite well to the
simulated performance.
Furthermore, the PA has also a gain control mechanism
through its voltage supply pad that can be adjusted by setting
the analogue control voltage between 1.2 and 3.3 V (or much
higher voltages). As a result, the TX gain and circuit current
consumption could be controlled in case if nonpower-hungry
measurements are requested. Depending on the application and
after some measurements, this voltage could easily be fixed on-
board or on-chip. In Figs. 19 and 20, the effect of gain control
Fig. 17. Simulated PA linearity and gain at 60 GHz. mechanism on the PA current and TX output power and power
detector output scale could be observed. As can be deducted
from the results, the TX output power reaches to 6.4 dBm
is around 15.5 dBm with IP1 dB /OP1 dB of 10/12.6 dBm at at 3.3-V bias voltage with a very clear output power tuning
60 GHz (see Fig. 17). The current consumption of this block from the off-state providing no power up to the maximum
itself is 80 mA at 3.3 V and has a power-added-efficiency state with 7-8 dBm power at 3.9-V operation in case of
of 9.5% maximum. After adding the average insertion loss of higher power requirement at the expense of additional 20-mA
HIC and branch-line coupler for BIST circuitry in simulations, current consumption. The corresponding current consumption
the output power reduces to 6.5 with 0-dBm power applied as and power detector output voltage relations are also quite
an input to the PA at 60 GHz. Between the PA and LNA, explicit from the measurements.
a via-wall extending between the bottommost and topmost After the PA, as BIST functionality, a branch-line coupler is
metal layers is placed to reduce the coupling further between designed so that the PA output is directly coupled to a power
channels. The TX-measured output power can be viewed detector to be able to monitor the transmitted-output power in
Downloaded from http://iranpaper.ir
This article has been accepted for inclusion in a future issue of this journal. Contenthttp://www.itrans24.com/landing1.html
is final as presented, with the exception of pagination.

8 IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 22. Schematic of the fabricated VCO (bias circuitry not shown).

Fig. 20. Measured TX output power and power detector output voltage
at 60-GHz LO operation versus TX gain control voltage.

Fig. 23. Measured TX output frequency with respect to different 3-bit tuning
operations observed from spectrum analyzer.
Fig. 21. Simulated power detector monitor range.

different sizes for fine and coarse tuning options. The tuning
a voltage scale at the end of PA. The insertion and coupling is realized by sweeping the voltage at vt0, vt1, and vt2 pins
losses of this passive structure are 1.7 and 5.5 dB in the ISM (see Fig. 22) between 0 and 3.3 V, where the sweep operation
band. In Fig. 21, the measured response of power detector is of each of them could be either independent for better phase
shown, and if the coupling loss of 5.5 dB is added to the curve, noise performance or simultaneous by combining these pins
then a monitor range between 15 and +15 dBm could be for a simplified system solution. In Fig. 23, the measured TX
observed. output frequency with respect to different tuning schemes is
Thanks to its great frequency stability enabling excellent highlighted, which is found to be starting from 58.31 GHz and
phase noise performance with low temperature sensitivity, could be varied up to 63.91 GHz. There is almost 1.3 GHz
pushpush type oscillators are well suited for high-frequency of uncovered ISM band at the starting frequencies; however,
applications compared to its counterparts. The working prin- it could easily be covered in the next generation of chips
ciple is based on the operation of second harmonic of the through adjustment of the variable capacitor sizes and some
generated fundamental frequency in the core circuit where the minor inductor transformer optimizations. The VCO core
fundamental and all odd-harmonics are cancelled out through generating the 30-GHz differential signal is integrated to a
the virtual ground on the symmetry plane. The robustness frequency divider with a ratio of 32 so that, at the divider
against load pulling by introducing this virtual ground on the output, a differential signal at 911.1- to 998.6-MHz frequency
fundamental frequency is another appealing factor, in which with almost 5-dBm output power is achieved (see Fig. 24).
only the second harmonic is directly affected. Therefore in Since the pushpush architecture is utilized, where the main
this transceiver, the VCO is of a pushpush type Colpitts operation frequency is just the half of the core frequency,
oscillator with a fundamental frequency of 30 GHz, which is one divider stage could be skipped and save additional room
then multiplied internally to get a differential 60-GHz output for phase noise which is counted to be another advantage.
signal, as shown in Fig. 22. For the external phase-locked In simulations, the VCO provides an output power over
loop (PLL) operations, there exists a 3-bit frequency tuning around 9 dBm in whole ISM band which is divided into two
mechanism guaranteed by parallel variable capacitors with to feed the TX and RX channels through another LC-type
Downloaded from http://iranpaper.ir
This article has been accepted for inclusion in a future issue of this journal. Contenthttp://www.itrans24.com/landing1.html
is final as presented, with the exception of pagination.

ZTRK et al.: 60-GHz SiGe BiCMOS TRXMS 9

Fig. 24. Measured frequency divider output frequency with respect to


3-bit tuning operations (all bits are controlled at the same time) observed Fig. 26. Fabricated four element differential patch antenna on
from spectrum analyzer. 250-m RO3003 material including wirebond compensation network.

Fig. 27. RF pads wirebonding scheme of the 60-GHz TRXMS.

Fig. 25. Photograph of the fabricated 60-GHz SiGe BiCMOS TRXMS adjustment of required radiation pattern for different radar
IC (1.42 0.72 mm2 ). applications. The antenna size is increased to achieve relatively
narrow beam and high antenna gain of about 12.4 dBi at
60 GHz in simulations. The radiation efficiency is found as
Wilkinson power divider having the same loss and matching 75% with total beamwidth of 26 (13 and a 3-dB gain
characteristic. This high output power is due to an integrated bandwidth of 13 GHz between 53.5 and 66.5 GHz. Due to
single stage differential cascode PA at the VCO output before additional inductance which can easily deteriorate the system
the signal division. Together with the VCO core, single stage performance with certain frequency shifts after fabrication and
PA and 1/32 frequency divider, the whole block consumes sharper frequency responses as well, a wirebond compensation
60-mA current at 3.3 V. The free-running VCO phase noise network having an open-stub matching is included, which can
is also measured at the divider output and found as 137 and tolerate inductance values around 450 pH. In order to keep the
147 dBc/Hz, respectively, at 1 and 10 MHz. As a result, wirebonds shorter, the chip is placed as close to the antenna
the VCO core is expected to have a phase noise of 107 and on-board as possible. The total distance from pad center to
117 dBc/Hz of phase noise at these frequencies. antenna is around 300 m, considering the additional 30-m
bonding space on antenna track, the very standard and low
V. S YSTEM AND A NTENNA D ESIGNS cost 150-m-track/width-PCB production (which naturally
In Fig. 25, the photograph of the fabricated TRXMS chip determines the minimum distance between chip and antenna
occupying a die area of only 1.42 0.72 mm2 is shown. edge) and additional 120-m distance from the die edge to pad
The chip is wirebonded on a board including the antenna for center, as shown in Fig. 27. Furthermore adding the 150 m
FMCW radar functionality test. of substrate thickness, wirebond height, and the required bend
For this purpose, a standard 250-m-thick RO3003 material into equation gives a rough estimation of almost 500 m of
is used to design the four-element differential patch antenna total wirebond length and translates into a lumped inductor
with wirebond compensation network (see Fig. 26). The model of 500 pH as a rule of thumb.
advantage is that instead of having the antenna on-chip which The wirebonds together with the antenna are carefully
would enormously increase the chip area, low cost on-board modelled and EM simulated so that the required open-stub
antennas can be fabricated instead. The flexibility of such matching on antenna is optimized. In Fig. 28, the effect
on-board antenna also helps the system in terms of easy of wirebond on antenna input return loss including the
Downloaded from http://iranpaper.ir
This article has been accepted for inclusion in a future issue of this journal. Contenthttp://www.itrans24.com/landing1.html
is final as presented, with the exception of pagination.

10 IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 28. Effect of RF wirebond length on overall antenna matching including


the compensation network. Each curve belongs to the S11 of a certain
matching networks with different wirebond lengths. Fig. 30. FMCW measurement system showing RF board including antenna,
chip and a mountable plastic lens, and baseband boards.

modulation, synthesizer, and IF output processing are illus-


trated using the block diagram in Fig. 31. The baseband system
is designed in such a way that other transceiver circuits at
different frequencies could also be tested. Therefore, the IF
outputs after dc blocking capacitors are followed by one
attenuator stage and two fixed-gain amplification stages (each
preceded by simple low-pass-filters) in order to prevent the
saturation and best fit to certain transceiver IF levels with the
help of different gain options offered by these blocks before
the digitization. Hence the total baseband gain could reach
up to 60 dB in four gain states. Furthermore, the outputs of
both amplification stages could be sampled depending on the
gain and linearity requirements and the second stage could
be omitted if required. Specifically for the evaluation of this
60-GHz TRXMS, the sampling is done from the output of
first stage for linearity measures. Although the ramp sweep
Fig. 29. Simulation results of the antenna radiation pattern showing
12.4-dBi gain and a beamwidth of 26 (13) at 60 GHz. time could be adjusted, it is set to standard 1 ms for better
measurement capability. Within this sweep time, the full IC
bandwidth of 5.6 GHz is covered for high-target resolution
compensation network is highlighted. Starting from 600 m requirements. The measurement range could be improved by
of wirebond length, it becomes quite hard for designing decreasing this ramp slope (increasing the sweep time and
a suitable compensation network, which the matching and decreasing the transmitted signal bandwidth) at the expense
antenna performance could easily degrade even with PCB of resolution due to the ADC sampling rate limit. After
production tolerances due to quite narrowband in return loss, having the FFT data, targets could be detected including
which necessitates a very precise thin film technology for PCB the constant-false-alarm-rate (CFAR) algorithms. The CFAR
so that the required multistage matching networks could be operator applied on the threshold curve is adaptively updated
fabricated. per measurement cycle with regards to the noise level.
The overall antenna performance can be seen from the
simulation results in Fig. 29. On the same high-frequency VI. R EAL -T IME FMCW R ADAR M EASUREMENTS
board, a small HDPE plastic lens having a focal length Table I highlights a comparison list of the demonstrated
of 15 mm with an opening angle of 6 and 20 dB of gain could transceivers for FMCW radar sensors. Depending on the appli-
be screwed in order to increase the directivity and maximum cation purpose such as achieving high accuracy, range, or res-
detection range of radar. The board is then stacked on a olution, the radar bandwidth and accuracy are adjusted. Many
1-mm-thick FR4 board for mechanical stability and dc routing high-accuracy radars have already been proposed taking either
purposes. extended bandwidths or shorter measurement ranges into
Beside the antenna board, a radar board is also designed account. Here, in this paper, some real-time FMCW radar
to process the I/Q data and perform the obstacle distance measurements of the fabricated IC are conducted during the
detection measurements, as shown in Fig. 30. The chirp test phase for range detection.
Downloaded from http://iranpaper.ir
This article has been accepted for inclusion in a future issue of this journal. Contenthttp://www.itrans24.com/landing1.html
is final as presented, with the exception of pagination.

ZTRK et al.: 60-GHz SiGe BiCMOS TRXMS 11

Fig. 31. Block diagram of the radar system including the fabricated chip, PLL section, and IF output processing unit.

TABLE I
C OMPARISON OF THE D EMONSTRATED R ADAR T RANSCEIVERS IN THE L ITERATURE

Considering the simple indoor measurement environment the fake targets created due to leakage, reflections, or noise
shown in Fig. 32, two objects very close to radar including coming from the environment or the radar components them-
the Lens are clearly detected. A threshold curve [Fig. 32 (white selves. If the targets stay below this curve, it might be neces-
curve)] also helps to differentiate the recognized targets form sary to set the threshold curve characteristic in such a way that
Downloaded from http://iranpaper.ir
This article has been accepted for inclusion in a future issue of this journal. Contenthttp://www.itrans24.com/landing1.html
is final as presented, with the exception of pagination.

12 IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 32. Simple indoor measurement. Measurement environment with fabricated FMCW radar including the lens and the resulting measurement spectrum
(IF output level vs. detected object distance).

Fig. 33. Complex indoor measurement. Measurement environment with fabricated FMCW radar including the lens and the resulting measurement spectrum
(IF output level vs. detected object distance). The farthest available target is measured at 31 m.

the radar could also recognize them as real targets. However, the system without Lens. As a result, the target recognition
it is quite application specific and needs further baseband with the defined threshold level is up to 26-m range, where the
processing. On the same radar data, the blind spot or dead object at 31-m still visible in the spectrum as seen in Fig. 34,
zone resulted from the leakage is visible as well. Basically, yet not recognized as a real target by the system due to
this region is targeted to be minimized with the tuning option reduced antenna directivity with wider beamwidth. However,
in HIC. the curve level could be adjusted if required. The noise floor is
As seen from Fig. 33, another indoor measurement with much lower and clutter regions are eliminated due to reduced
higher number of detectable objects is conducted as well. reflections.
According to results using the GUI, the metal table at the end Finally, outdoor measurements using the environment
of corridor with a maximum distance of 31 m is detectable in Fig. 35 are also realized to be able to see much farther
with all the other objects. The noise level is a bit higher due objects. The system is able to detect the car at around 90 m
to increased amount of reflections, as a result creating some with all the other objects clearly highlighted in the spectrum.
fake targets at twice the distance, and there exist regions with Having still such high signal level and SNR at 90 m, it is
some clutters which prevents the detection of real targets by possible to detect objects at much farther distances. Increasing
putting them under the threshold curve. On the other hand, the Lens size will also help improved maximum detection
the same measurement environment is employed to measure range.
Downloaded from http://iranpaper.ir
This article has been accepted for inclusion in a future issue of this journal. Contenthttp://www.itrans24.com/landing1.html
is final as presented, with the exception of pagination.

ZTRK et al.: 60-GHz SiGe BiCMOS TRXMS 13

Fig. 34. Complex indoor measurement. Measurement environment with fabricated FMCW radar excluding the lens and the resulting measurement spectrum
(IF output level vs. detected object distance). The farthest available target is measured at 31 m (possible after threshold adjustment).

Fig. 35. Outdoor measurement. Measurement environment with fabricated FMCW radar including the lens and the resulting measurement spectrum
(IF output level vs. detected object distance). The farthest available target (car) is measured at 90 m (target #6 as labeled).

The same monostatic approach is adopted in 120-GHz Having observed the successful FMCW radar measurement
TRXMS chips to be able to prove the system concept for data, obstacles above 90-m range are detectable with this
higher detection range. As a results of these measurements, configuration, which monostatic approach greatly advances
even though the output power at these frequencies are much the maximum detection range. The current IC configuration
lower, object detection exceeding 110-m range is realized significantly facilitates the antenna specifications, eliminates
successfully. the necessity of on-chip antennas, and creates additional room
in package/board to increase the antenna gain further by
VII. C ONCLUSION allowing flexibility in antenna and packaging concepts.
This paper presented the design steps, simulation, and ACKNOWLEDGMENT
measurement results of a low-cost fully differential 60-GHz
TRXMS IC and system built using 0.13-m SiGe BiCMOS The authors would like to thank IHP staff for their support
technology with f T / f max of 250/340 GHz. The fabricated in measurement opportunities.
compact chip is wirebonded on a low-cost flexible on-board R EFERENCES
four-element differential antenna using a very standard high-
[1] M. Jahn, R. Feger, C. Wagner, Z. Tong, and A. Stelzer, A four-channel
frequency material with a small 3 cm 3 cm plastic lens 94-GHz SiGe-based digital beamforming FMCW radar, IEEE Trans.
screwed on top to increase the directivity and detection range. Microw. Theory Techn., vol. 60, no. 3, pp. 861869, Mar. 2012.
Downloaded from http://iranpaper.ir
This article has been accepted for inclusion in a future issue of this journal. Contenthttp://www.itrans24.com/landing1.html
is final as presented, with the exception of pagination.

14 IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

[2] C. Cui, S.-K. Kim, R. Song, J.-H. Song, S. Nam, and B.-S. Kim, [25] T.-Y. J. Kao, Y. Yan, T.-M. Shen, A. Y.-K. Chen, and J. Lin, Design and
A 77-GHz FMCW radar system using on-chip waveguide feeders analysis of a 60-GHz CMOS Doppler micro-radar system-in-package for
in 65-nm CMOS, IEEE Trans. Microw. Theory Techn., vol. 63, no. 11, vital-sign and vibration detection, IEEE Trans. Microw. Theory Techn.,
pp. 37363746, Nov. 2015. vol. 61, no. 4, pp. 16491659, Apr. 2013.
[3] R. Feger, C. Wagner, S. Schuster, S. Scheiblhofer, H. Jager, and [26] A. Tomkins et al., A 60 GHz, 802.11ad/WiGig-compliant transceiver
A. Stelzer, A 77-GHz FMCW MIMO radar based on an SiGe single- for infrastructure and mobile applications in 130 nm SiGe BiCMOS,
chip transceiver, IEEE Trans. Microw. Theory Techn., vol. 57, no. 5, IEEE J. Solid-State Circuits, vol. 50, no. 10, pp. 22392255, Oct. 2015.
pp. 10201035, May 2009. [27] S. Zihir and G. M. Rebeiz, A 60 GHz 64-element phased-array beam-
[4] S. Yuan, A. Trasser, and H. Schumacher, 56 GHz bandwidth FMCW pointing communication system for 5G 100 meter links up to 2 Gbps,
radar sensor with on-chip antennas in SiGe BiCMOS, in IEEE MTT-S in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2016, pp. 13.
Int. Microw. Symp. Dig., Jun. 2014, pp. 14. [28] M. Tabesh et al., A 65 nm CMOS 4-element sub-34 mW/element
[5] F. Starzer, M. Ortner, H. P. Forstner, R. Feger, and A. Stelzer, 60 GHz phased-array transceiver, IEEE J. Solid-State Circuits, vol. 46,
A fully integrated 60-GHz radar sensor with partly integrated phase- no. 12, pp. 30183032, Dec. 2011.
locked loop, in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2011, [29] M. Jahn, A. Hamidipour, Z. Tong, and A. Stelzer, A 120-GHz FMCW
pp. 14. radar frontend demonstrator based on a SiGe chipset, in Proc. Microw.
[6] M. Furqan, F. Ahmed, R. Feger, K. Aufinger, and A. Stelzer, Conf. (EuMC), Oct. 2011, pp. 519522.
A 120-GHz wideband FMCW radar demonstrator based on a fully- [30] S. Scherr et al., Miniaturized 122 GHz ISM band FMCW radar with
integrated SiGe transceiver with antenna-in-package, in Proc. IEEE Int. micrometer accuracy, in Proc. Eur. Radar Conf. (EuRAD), Sep. 2015,
Conf. Microw. Intell. Mobility (ICMIM), May 2016, pp. 14. pp. 277280.
[7] I. Sarkas, J. Hasch, A. Balteanu, and S. P. Voinigescu, A funda- [31] T. Jaeschke, C. Bredendiek, and N. Pohl, A 240 GHz ultra-wideband
mental frequency 120-GHz SiGe BiCMOS distance sensor with inte- FMCW radar system with on-chip antennas for high resolution radar
grated antenna, IEEE Trans. Microw. Theory Techn., vol. 60, no. 3, imaging, in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2013, pp. 14.
pp. 795812, Mar. 2012. [32] J. Lee, Y.-A. Li, M.-H. Hung, and S.-J. Huang, A fully-integrated
[8] M. Pauli et al., Miniaturized millimeter-wave radar sensor for high- 77-GHz FMCW radar transceiver in 65-nm CMOS technology, IEEE
accuracy applications, IEEE Trans. Microw. Theory Techn., vol. 65, J. Solid-State Circuits, vol. 45, no. 12, pp. 27462756, Dec. 2010.
no. 5, pp. 17071715, May 2017. [33] H. P. Forstner et al., A 77 GHz 4-channel automotive radar transceiver
[9] S. Beer et al., Flip-chip package with integrated antenna on a polyimide in SiGe, in Proc. IEEE Radio Freq. Integr. Circuits Symp., Jun. 2008,
substrate for a 122-GHz bistatic radar IC, in Proc. 7th Eur. Conf. pp. 233236.
Antennas Propag. (EuCAP), Apr. 2013, pp. 121125. [34] S. T. Nicolson, P. Chevalier, B. Sautreuil, and S. P. Voinigescu, Single-
[10] A. Bhutan, B. Goettel, T. Streitz, S. Scherr, W. Winkler, and T. Zwick, chip W-band SiGe HBT transceivers and receivers for doppler radar and
Low-cost antenna-in-package solution for 122 GHz radar module, in millimeter-wave imaging, IEEE J. Solid-State Circuits, vol. 43, no. 10,
Proc. Eur. Microw. Conf. (EuMC), Oct. 2016, pp. 14691472. pp. 22062217, Oct. 2008.
[11] M. G. Girma et al., Miniaturized 122 GHz system-in-package (SiP) [35] T. Jaeschke, C. Bredendiek, S. Kppers, and N. Pohl, High-precision
short range radar sensor, in Proc. Eur. Radar Conf. (EuRAD), Oct. 2013, D-band FMCW-radar sensor based on a wideband SiGe-transceiver
pp. 4952. MMIC, IEEE Trans. Microw. Theory Techn., vol. 62, no. 12,
pp. 35823597, Dec. 2014.
[12] S. Beer et al., An integrated 122-GHz antenna array with wire bond
[36] T. Mitomo, N. Ono, H. Hoshino, Y. Yoshihara, O. Watanabe, and I. Seto,
compensation for SMT radar sensors, IEEE Trans. Antennas Propag.,
A 77 GHz 90 nm CMOS transceiver for FMCW radar applications,
vol. 61, no. 12, pp. 59765983, Dec. 2013.
IEEE J. Solid-State Circuits, vol. 45, no. 4, pp. 928937, Apr. 2010.
[13] V. Valenta et al., High performance transmit/receive modules
[37] T. Kijsanayotin, J. Li, and J. F. Buckwalter, A 70-GHz LO phase-
in 0.13 m SiGe:C BiCMOS for short range F-band MIMO radars,
shifting bidirectional frontend using linear coupled oscillators, IEEE
in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2014, pp. 13.
Trans. Microw. Theory Techn., vol. 65, no. 3, pp. 892904, Mar. 2017.
[14] Y. Sun et al., A low-cost miniature 120 GHz SiP FMCW/CW radar
[38] P.-Y. Wu, T. Kijsanayotin, and J. F. Buckwalter, A 7186-GHz switch-
sensor with software linearization, in IEEE Int. Solid-State Circuits
less asymmetric bidirectional transceiver in a 90-nm SiGe BiCMOS,
Conf. (ISSCC) Dig. Tech. Papers, Feb. 2013, pp. 148150.
IEEE Trans. Microw. Theory Techn., vol. 64, no. 12, pp. 42624273,
[15] G. G. Fischer, B. Heinemann, M. Kaynak, and H. Rcker, High- Dec. 2016.
speed SiGe BiCMOS technologies for applications beyond 100 GHz, [39] D. Guermandi et al., A 79 GHz binary phase-modulated continuous-
in Proc. 8th Eur. Microw. Integr. Circuits Conf. (EuMIC), Oct. 2013, wave radar transceiver with TX-to-RX spillover cancellation in 28 nm
pp. 172175. CMOS, in IEEE Int. Solid-State Circuits Conf. (ISSCC) Dig.
[16] P. Chevalier et al., Towards THz SiGe HBTs, in Proc. IEEE Bipo- Tech. Papers, Feb. 2015, pp. 354356.
lar/BiCMOS Circuits Technol. Meeting, Oct. 2011, pp. 5765.
[17] H. Rcker, B. Heinemann, and A. Fox, Half-terahertz SiGe BiCMOS
technology, in Proc. IEEE 12th Topical Meeting Silicon Monolithic
Integr. Circuits RF Syst. (SiRF), Jan. 2012, pp. 133136.
[18] H. Rcker et al., A 0.13 m SiGe BiCMOS technology featuring
f T / f max of 240/330 GHz and gate delays below 3 ps, IEEE J. Solid-
State Circuits, vol. 45, no. 9, pp. 16781686, Sep. 2010.
[19] W. Winkler, W. Debski, D. Genschow, and R. Kraemer, 24 GHz
transceiver front-end with two RX-channels, in Proc. 7th German
Microw. Conf. (GeMiC), Mar. 2012, pp. 14.
[20] C. Bredendiek, N. Pohl, T. Jaeschke, S. Thomas, K. Aufinger, and
A. Bilgic, A 24 GHz wideband single-channel SiGe bipolar transceiver
chip for monostatic FMCW radar systems, in Proc. Microw. Integr.
Circuits Conf. (EuMIC), Oct. 2012, pp. 309312. Efe ztrk received the B.Sc. and M.Sc. degrees
[21] E. ztrk et al., A 60 GHz SiGe BiCMOS monostatic transceiver for in electronics engineering from Sabanci Univer-
radar applications, in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2017, sity (SU), Istanbul, Turkey, in 2012 and 2014,
pp. 14081411. respectively. He is currently pursuing the Ph.D.
[22] H.-C. Kuo et al., A fully integrated 60-GHz CMOS direct-conversion degree in cooperation with IHP, Frankfurt (Oder),
doppler radar RF sensor with clutter canceller for single-antenna noncon- Germany.
tact human vital-signs detection, IEEE Trans. Microw. Theory Techn., From 2012 to 2014, he was a Research Assistant
vol. 64, no. 4, pp. 10181028, Apr. 2016. with the Antenna and RF Laboratory, SU, where
[23] F. Kuroki, K. Yamaoka, Y. Murata, and T. Yoneyama, NRD guide pulse he was responsible for the development of RF cir-
radar front-end for level sensor applications at 60 GHz, in Proc. Eur. cuit design for 77-GHz phased array automotive
Microw. Conf. (EuMC), Oct. 2005, pp. 18471850. radars. In 2014, he joined Silicon Radar GmbH,
[24] C. Beck et al., Industrial mmWave radar sensor in embedded wafer- Frankfurt (Oder), as an RFIC Designer. His current research interests include
level BGA packaging technology, IEEE Sensors J., vol. 16, no. 17, millimeter-wave circuit and antenna design for radar, communication, and
pp. 65666578, Sep. 2016. imaging applications.
Downloaded from http://iranpaper.ir
This article has been accepted for inclusion in a future issue of this journal. Contenthttp://www.itrans24.com/landing1.html
is final as presented, with the exception of pagination.

ZTRK et al.: 60-GHz SiGe BiCMOS TRXMS 15

Dieter Genschow received the M.Sc. degree from Dietmar Kissinger (S08M11SM14) received
Reading University, Reading, U.K., and the Diplom the Dipl.-Ing., Dr.-Ing., and habilitation degrees
Ingeniur (FH) degree from the University of Applied in electrical engineering from FAU Erlangen
Sciences, Berlin, Germany, in 2006. Nrnberg, Erlangen, Germany, in 2007, 2011,
He was a Design Engineer for capacitive sensing and 2014, respectively.
technologies with a German Tier 1 company for From 2007 to 2010, he was with Danube Inte-
the automotive industry. In 2009, he joined IHP, grated Circuit Engineering, Linz, Austria, where
Frankfurt (Oder), Germany, as a Research Associate, he was a System and Application Engineer with the
where he focused on radar system design for six Automotive Radar Group. From 2010 to 2014, he
years. In 2015, he joined Silicon Radar GmbH, was a Lecturer and the Head of the Radio Frequency
Frankfurt (Oder), and is currently responsible for Integrated Sensors Group, Institute for Electronics
embedded radar system design. Engineering, Erlangen. Since 2015, he has been a Full Professor with
Technische Universitt Berlin, Berlin, Germany, and the Head of the Circuit
Design Department, IHP, Frankfurt (Oder), Germany. He is the Co-Director of
the Joint Laboratory for Radio Frequency Integrated Systems, FAU Erlangen
Nrnberg. He has authored or co-authored over 200 technical papers and holds
several patents. His current research interests include silicon high-frequency
and high-speed as well as low-power integrated systems for communication
and automotive, industrial, and security and biomedical sensing applications.
Dr. Kissinger was a recipient of the 2017 IEEE MTT-S Outstanding Young
Engineer Award and a co-recipient of several Best Paper Awards. He is a
Uroschanit Yodprasit was born in Bangkok, member of the European Microwave Association, the German Information
Thailand, in 1974. He received the M.Sc. degree Technology Society, and the Society of Microelectronics, Microsystems, and
in microelectronics from the Mahanakorn University Precision Engineering (VDE/VDI GMM). He also serves as the Chair of
of Technology, Bangkok, in 1999, and the Ph.D. the IEEE Microwave Theory and Techniques Society (MTT-S) Technical
degree in electrical engineering from the cole Poly- Committee Microwave and Millimeter-Wave Integrated Circuits (MTT-6) and
technique Fdrale (EPFL), Lausanne, Switzerland, as a member of Biological Effects and Medical Applications (MTT-10). He is
in 2006. an Executive Committee member of the IEEE Topical Meeting on Silicon
From 2007 to 2009, he was a Research Asso- Monolithic Integrated Circuits in RF Systems and a Steering Committee
ciate with the Electronics and Signal Processing Member of the IEEE Radio Wireless Symposium. He was a two-time Chair
Laboratory, EPFL, where he was involved in ultra- of the IEEE Topical Conference on Wireless Sensors and Sensor Networks
wideband circuit designs for medical applications. and the IEEE Topical Conference on Biomedical Wireless Technologies,
From 2009 to 2010, he was a Post-Doctoral Fellow with the Graduate School Networks and Sensing Systems and a member of the 2013 and 2017 European
of Advanced Sciences of Matter, Hiroshima University, Hiroshima, Japan, Microwave Week Organizing Committee. He was a five-time Guest Editor
where he was involved in W-band and D-band transceiver circuit designs of IEEE Microwave Magazine and served as an Associate Editor for the
utilizing 65-nm and 40-nm CMOS technologies. From 2011 to 2014, he was IEEE T RANSACTIONS ON M ICROWAVE T HEORY AND T ECHNIQUES . He is
a Research Associate with the Chair for Circuit Design and Network Theory, an elected member of the IEEE MTT-S Administrative Committee.
Technische Universitt Dresden, Dresden, Germany, where he was involved in
a 60-GHz transceiver circuit design and 250-GHz circuits for high-speed data
communication applications using SiGe BiCMOS technologies. From 2011 to
2014, he was also a Visiting Designer with IBM, Zurich, Switzerland, involved Wojciech Debski was born in Krakow, Poland,
in oscillator designs utilizing a 14-nm FinFET technology. Since 2014, he has in 1977. He received the M.S. degree in electronics
been with Silicon Radar GmbH, Frankfurt (Oder), Germany, as an RF Circuit engineering from the University of Science and
Designer for radar applications. Technology, Krakow, in 2002, and the Ph.D. degree
in electrical engineering from the Brandenburg Uni-
versity of Technology, Cottbus, Germany, in 2007.
He joined Silicon Radar GmbH, Frankfurt (Oder),
Germany, in 2007, where he is involved in the
development of radar components and systems.

Berk Yilmaz received the B.Sc. degree in elec- Wolfgang Winkler received the M.S. and
tronics and communication engineering from the Ph.D. degrees in electrical engineering from
Izmir Institute of Technology, Izmir, Turkey, in 2012, Technical University Ilmenau, Ilmenau, Germany,
and the M.Sc. degree in sensor systems technology in 1979 and 1984, respectively.
from the Karlsruhe University of Applied Sciences, He has developed integrated circuits for pulse
Karlsruhe, Germany, in 2015. radar systems and FMCW radars, and designed
In 2014, he joined the Endress and Hauser Group building blocks for wireless transceivers at 60 GHz
for his master thesis focused on system design and for radar circuits at different frequencies from
for radar level measurements. He is currently with 10 to 140 GHz. In addition, he developed RFESD
Silicon Radar GmbH, Frankfurt (Oder), Germany, protection devices and designed benchmarking
as a System Designer mainly focusing on hardware circuits for technology characterization and
for radar distance and velocity measurements. His current research interests model verification. He is currently the CTO of Silicon Radar GmbH,
include MIMO and phased array sensors for angular target information, as well Frankfurt (Oder), Germany. His current research interests include circuits for
as PLLs for radar frequency synthesis. radar and wireless communication in silicon-based technologies.

S-ar putea să vă placă și