Sunteți pe pagina 1din 470

Get Mobile Friendly PDF of Solved Paper by Whatsapp.

Send Your College


Name, Branch and BTech year to 9414243489 by whatsapp.

GATE SOLVED PAPER


ELECTRONICS AND COMMUNICATION

1992-2016

previous years solved paper

WWW.NODIA.CO.IN

2017
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp

content

CHPATER 1. Engineering Mathematics 1-38

CHPATER 2. Networks 39-93

CHPATER 3. Signals and Systems 94-138

CHPATER 4. Electronic Devices 139-173

CHPATER 5. Analog Circuits 174-243

CHPATER 6. Digital Electronics 244-298

CHPATER 7. Control Systems 299-344

CHPATER 8. Communications 345-395

CHPATER 9. Electromagnetics 396-437

CHPATER 10. General Aptitude 438-462


This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 2 Engineering Mathematics Page 3

CHAPTER 2
ENGINEERING MATHEMATICS

GATE 2016 EC01 ONE MARK a graph of F (x)?

Q1 Let M 4 = I (where I denotes the identity matrix)


and M ! I , M2 ! I and M3 ! I . Then, for any natural
number k , M-1 equals:
(A) M 4k + 1 (B) M 4k + 2
(C) M 4k + 3 (D) M 4k

Q2 The second moment of a Poisson-distributed random


variable is 2. The mean of the random variable is _____.

Q3 Given the following statements about a function


f : R " R , select the right option:
P : If f (x) is continuous at x = x 0 , then it is also
differentiable at x = x 0
Q : If f (x) is continuous at x = x 0 , then it may not be
differentiable at x = x 0
R : If f (x) is differentiable at x = x 0 , then it is also
continuous at x = x 0
(A) P is true, Q is false, R is false
(B) P is false, Q is true, R is true
(C) P is false, Q is true, R is false
(D) P is true, Q is false, R is true

Q4 Which one of the following is a property of the


solutions to the Laplace equation : d2 f = 0 ?
(A) The solutions have neither maxima nor minima
anywhere except at the boundaries
(B) The solutions are not separable in the coordinates
(C) The solutions are not continuous GATE 2016 EC02 ONE MARK
(D) The solutions are not dependent on the boundary
conditions Q6 The value of x for which the matrix
R V
S3 2 4 W
Q5 Consider the plot of f (x) versus x as shown below. A =S 9 7 13 W
SS- 6 - 4 - 9 + x WW
T X
has zero as an eigen value is _____

Q7 Consider the complex valued function


3 3
f (z) = 2z + b | z | where z is a complex variable. The
value of b for which the function f (z) is analytic is _____
x
Suppose F (x) = #
-5
f (y) dy . Which one of the following is Q8 As x varies from –1 to 3, which of the following
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 4 Engineering Mathematics Chapter 2

describes the behaviour of the function f (x) = x3 - 3x + 1 yl = y + 2x - x2 ,


(A) f (x) increases monotonically y ^0 h = 1, ^0 < x < 3h
(B) f (x) increases, then decreases and increases again with exact solution y ^x h = x2 + ex . For x = 0.1 the
percentage difference between the exact solution and the
(C) f (x) decreases, then increases and decreases again
solution obtained using a single iteration of the second-
(D) f (x) increases and then decreases order Runge-Kutta method with step-size h = 0.1 is
______
Q9 How many distinct values of x satisfy the equation
sin (x) = x/2 , where x is in radians? GATE 2016 EC01 TWO MARKS
(A) 1 (B) 2
(C) 3 (D) 4 or more
Q16 The integral 1 # # (x + y + 10) dxdy , where D
2p
D
Q10 Consider the time-varying vector
denotes the disc: x2 + y2 # 4 , evaluates to _____.
I = xt15 cos (wt) + ytsin (wt) in Cartesian coordinates, where
w > 0 is a constant. When the vector magnitude | I | is at
its minimum value, the angle q that I makes with the x Q17 A sequence x [n] is specified as
axis (in degree, such that 0 # q # 180 ) is _____ n
x [n] 1 1 1
>x [n - 1]H = >1 0H >0H, for n $ 2
GATE 2016 EC03 ONE MARK The initial conditions are x [0] = 1, x [1] = 1 and x [n] = 0
for n < 0 . The value of x [12] is _____
Q11 Consider a 2 # 2 square matrix
s x
A =>
w sH
Q18 In the following integral, the contour C encloses
the points 2pj and - 2pj . The value of the integral
Where x is unknown. If the eigen values of the matrix A - 1 sin z dz is _____.
are ^s + jwh and ^s - jwh, then x is equal to
#
2p (z - 2pj) 3
C
(A) + jw (B) - jw
Q19 The region specified by
(C) + w (D) - w p p
%(r, f, Z): 3 # r # 5, 8 # f # 4 , 3 # z # 4.5/

sin ^z h in cylindrical coordinates has volume of _____.


Q12For f ^z h = , the residue of the pole at z = 0 is
z2
______
GATE 2016 EC02 TWO MARKS
Get More Study Material
Q20 The ordinary differential equation dx dt =- 3x + 2
by Whatsapp. Send , with x (0) = 1 is to be solved using the forward Euler
method. The largest time step that can be used to solve
Your College Pin Code, the equation without making the numerical solution
unstable is _____
Branch and BTech year to Q21 Suppose C is the closed curve defined as the circle
9414243489 by whatsapp x + y2 = 1 with C oriented anti-clockwise. The value of
2

#(xy2 dx + x2 ydy) over the curve C equals _____


Q13 The probability of getting a “head” in a single toss
of a biased coin is 0.3. The coin is tossed repeatedly till a Q22 Two random variables X and Y are distributed
“head” is obtained. If the tosses are independent, then the according to
probability of getting “head” for the first time in the fifth (x + y), 0 # x # 1, 0 # x # 1
fX,Y (x, y) = *
toss is ______ 0, otherwise
The probability P (X + Y # 1) is _____
1
dx
Q14 The integral #
0 ^1 - x h
is equal to ______

Q15 Consider the first order initial value problem


This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 2 Engineering Mathematics Page 5

R V
Sa 0 3 7W (B) P ^ BA h = P (A)
S2 5 1 3W (C) P (A , B) = P (A) + P (B)
Q23 The matrix A = S W had det ^Ah = 100 and
trace ^Ah = 14 . S0 0 2 4W (D) P (A + B ) = P (A) P (B )
S0 0 0 b W
T X
The value of a - b is ______ Q29 Consider a system of linear equations :
x - 2y + 3z =- 1
x - 3y + 4z = 1
GATE 2016 EC03 TWO MARKS - 2x + 4y - 6z = k
The value of k for which the system has infinitely many
Q24 The particular solution of the initial value problem solutions is_____.
given below is
d2 y dy
+ 12 + 36y = 0 with y ^0 h = 3 and Q30 Let z = x + iy be a complex variable. Consider that
dx2 dx contour integration is performed along the unit circle
dy in anticlockwise direction. Which one of the following
=- 36
dx x = 0 statements is NOT TRUE?
(A) The residue of z -z 1 at z = 1 is 12
(A) ^3 - 18x h e-6x (B) ^3 + 25x h e-6x
2

(C) ^3 + 20x h e-6x (D) ^3 - 12x h e-6x (B) # z dz = 0


C
2

Q25 If the vectors e1 = ^1, 0, 2h, e2 = ^0, 1, 0h and


(C) 1
2pi #
C
1
z dz = 1

e 3 = ^- 2, 0, 1h form an orthogonal basis of the three (D) z (complex conjugate of z ) is an analytical function
dimensional real space R3 , then the vector u = ^4, 3, - 3h eR3
can be expressed as R1V
(A) u =- 2 e1 - 3e2 - 11 e 3 Q31 The value of p such that the vector S2W is an
S W
5 5 R4 1 2V SS3WW
S W
(B) u =- 2 e1 - 3e2 + 11 e 3 eigenvector of the matrix S p 2 1 W is ______ T X
5 5
SS14 - 4 10WW
(C) u =- 2 e1 + 3e2 + 11 e 3 T X
5 5 2 3
Q32 A function f (x) = 1 - x + x is defined in the closed
(D) u =- 2 e1 + 3e2 - 11 e 3 interval [- 1, 1]. The value of x , in the open interval (- 1,
5 5 1) for which the mean value theorem is satisfied, is
Q26 A triangle in the xy -plane is bounded by the straight (A) - 1 (B) - 1
2 3
lines 2x = 3y , y = 0 and x = 3 . The volume above the
triangle and under the plane x + y + z = 6 is ______ (C) 1 (D) 1
3 2

Q27 # z
The values of the integral 21pj z -e 2 dz along a closed GATE 2015 EC02 ONE MARK
contour c in anti-clockwise directionc for

(i) the point z 0 = 2 inside the contour c , and Q33 The value of x for which all the eigen-values of the
(ii) the point z 0 = 2 outside the contour c , respectively, matrix given below are real is
R10 5 + j 4 V
are S W
(A) (i) 2.72 (ii) 0 (B) (i) 7.39 (ii) 0 S x 20 2 W
SS 4 2 - 10WW
(C) (i) 0 (ii) 2.72 (D) (i) 0 (ii) 7.39
T X
(A) 5 + j (B) 5 - j
GATE 2015 EC01 ONE MARK (C) 1 - 5j (D) 1 + 5j

Q28 Suppose A and B are two independent events with Q34 The general solution of the differential equation
probabilities P (A) ! 0 and P (B) ! 0 . Let A and B be dy 1 + cos 2y
their complements. Which one of the following statements = is
dx 1 - cos 2x
is FALSE? (A) tan y - cot x = c (c is a constant)
(A) P (A + B) = P (A) P (B)
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 6 Engineering Mathematics Chapter 2

(B) tan x - cot y = c (c is a constant) then the relationship between the sequences [p, q , r ] and
(C) tan y + cot x = c (c is a constant) [a , b, c ] is
(A) [p, q , r ] = [b, a , c ] (B) [p, q , r ] = [b, c , a ]
(D) tan x + cot y = c (c is a constant)
(C) [p, q , r ] = [c , a , b] (D) [p, q , r ] = [c , b, a ]
az + b
Q35 Let f (z) = cz + d . If f (z1) = f (z 2) for all z1 ! z 2 , a = 2
, b = 4 and c = 5 , then d should be equal to ______. Q43 The solution of the differential equation
d2 y dy
2 + 2 dt + y = 0 with y (0) = yl (0) = 1 is
dt
GATE 2015 EC03 ONE MARK
(A) (2 - t) et (B) (1 + 2t) e-t
3
(C) (2 + t) e-t (D) (1 - 2t) et
/ nb 12 l
n
Q36 The value of is ______.
n=0

Q37 If C is a circle of radius r with centre z 0 , in the Q44 Which one of the following graphs describes the
complex z -plane and if n is a non-zero integer, then function f (x) = e-x (x2 + x + 1) ?
dz
#C ^z - z 0h
n + 1 equals

(A) 2pnj (B)0


nj
(C) (D) 2pn
2p

1 tan x
For A = = E, the determinant of A A is
T -1
Q38
- tan x 1
(A) sec2 x (B) cos 4x
(C) 1 (D) 0

Q39 Consider the function g (t) = e-t sin (2pt) u (t)


where (t) is the unit step function. The area under g (t)
is______.

Q40 The contour on the x -y plane, where the partial


derivative of x2 + y2 with respect to y is equal to the
GATE 2015 EC02 TWO MARKS
partial derivative of 6y + 4x with respect to x , is
(A) y = 2 (B) x = 2
Q45 Let the random variable X represent the number of
(C) x + y = 4 (D) x - y = 0
times a fair coin needs to be tossed till two consecutive
heads appear for the first time. The expectation of X
GATE 2015 EC01 TWO MARKS is______.

Q41 The maximum area (in square units) of a rectangle Q46 Consider the differential equation dx dt = 10 - 0.2x

whose vertices lie on the ellipse x2 + 4y2 = 1 is _______. with initial condition x (0) = 1. The response x (t) for t > 0
is
(A) 2 - e-0.2t (B) 2 - e0.2t
Q42 Two sequences [a , b, c ] and [A, B , C ] are related as.
RAV R1 1 1 VW RSa VW (C) 50 - 49e-0.2t (D) 50 - 49e0.2t
S W S 2p
SBW = S1 W 3-1 W 3-2W Sb W where W3 = e j 3
SSC WW SS1 W -2 W -4WW SSc WW sin (4pt)
#
3 3 3
T X T XT X Q47 The value of the integral 12 cos (2pt) dt
-3 4p t
If another sequence [p, q , r ] is derived as, is______.
RpV R1 1 1 V R1 0 0 V RA/3V
S W S WS WS W
Sq W = S1 W 31 W 32W S0 W 32 0 W SB/3W Q48 If C denotes the counter clockwise unit circle, the
SSr WW SS1 W 2 W 4WW SS0 0 W 4WW SSC/3WW
3 3 3 value of the contour integral 1 #
Re {z} dz is______.
T X T XT XT X 2p j C
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 2 Engineering Mathematics Page 7

GATE 2015 EC03 TWO MARKS Q58 Let X be a random variable which is uniformly
chosen from the set of positive odd numbers less than 100.
Q49 A fair die with faces (1, 2, 3, 4, 5, 6) is thrown The expectation, E 6X @, is ______.
repeatedly till ‘3’ is observed for the first time. Let X
denote the number of times the die is thrown. The expected Q59 For 0 # t < 3, the maximum value of the function
value of X is______. f ^ t h = e-t - 2e-2t occurs at
(A) t = log e 4 (B) t = log e 2
Q50 The Newton-Raphson method is used to solve the (C) t = 0 (D) t = log e 8
equation f (x) = x3 - 5x2 + 6x - 8 = 0 . Taking the initial
guess as x = 5 , the solution obtained at the end of the
lim b1 + 1 l is
x
first iteration is______. Q60 The value of
x"3 x
(A) ln 2 (B) 1.0
Q51 Consider the differential equation
(C) e (D) 3
d2 x (t) dx (t)
+3 + 2x (t) = 0
dt 2 dt
Given x (0) = 20 and x (1) = 10e , where e = 2.718 , the value Q61 If the characteristic equation of the differential
of x (2) is______. d 2y dy
equation + 2a + y = 0 has two equal roots, then
dx2 dx
the values of a are
GATE 2014 EC01 ONE MARK (A) ! 1 (B) 0, 0
(C) ! j (D) ! 1/2
Q52 For matrices of same dimension M , N and scalar c ,
which one of these properties DOES NOT ALWAYS hold
GATE 2014 EC03 ONE MARK
?
(A) ^M T hT = M (B) ^cM hT = c ^M hT
Q62 The maximum value of the function
(C) ^M + N hT = MT + NT (D) MN = NM
f ^x h = ln ^1 + x h - x (where x > - 1) occurs at x = ____

Q53 In a housing society, half of the families have a single Q63 Which ONE of the following is a linear non-
child per family, while the remaining half have two children homogeneous differential equation, where x and y are the
per family. The probability that a child picked at random, independent and dependent variables respectively ?
has a sibling is ______ dy
(A) dx + xy = e-x dy
(B) dx + xy = 0
dy -y dy
(C) dx + xy = e (D) dx + e-y = 0
Q54 C is a closed path in the z -plane by z = 3 . The
z 2 - z + 4j
value of the integral c# z + 2j m
dz is Q64 Match the application to appropriate numerical
C

(A) - 4p ^1 + j2h (B) 4p ^3 - j2h method.


(C) - 4p ^3 + j2h (D) 4p ^1 - j2h Application Numerical Method
P1 Numerical integration M1 Newton-Raphson
Q55 A real ^4 # 4h matrix A satisfies the equation A = I
2
Method
, where I is the ^4 # 4h identity matrix. The positive P2 Solution to a M2 Runga-Kutta
eigen value of A is ______. transcendental equation Method
P3 Solution to a system of M3 Simpson’s 1/3 rule
Q56 Let X1 , X2 , and X 3 be independent and identically
linear equations
distributed random variables with the uniform distribution
on 60, 1@. The probability P {X1 is the largest} is ______ P4 Solution to a differential M4 Gauss Elimination
equation Method
GATE 2014 EC02 ONE MARK (A) P1-M3, P2-M2, P3-M4, P4-M1
(B) P1-M3, P2-M1, P3-M4, P4-M2
Q57 The determinant of matrix A is 5 and the determinant (C) P1-M4, P2-M1, P3-M3, P4-M2
of matrix B is 40. The determinant of matrix AB is (D) P1-M2, P2-M1, P3-M3, P4-M4
______.
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 8 Engineering Mathematics Chapter 2

Q65 An unbiased coin is tossed an infinite number of j j


(C) - (D)
times. The probability that the fourth head appears at 2 2
the tenth toss is
(A) 0.067 (B) 0.073 Q74 The volume under the surface z ^x, y h = x + y and
(C) 0.082 (D) 0.091 above the triangle in the x -y plane defined by { 0 # y # x
and 0 # x # 12 } is ______.

Q66 If z = xy ln ^xy h, then


Q75 Consider the matrix
R V
(A) x2z + y2z = 0 (B) y2z = x2z S0 0 0 0 0 1W
2x 2y 2x 2y S0 0 0 0 1 0W
S W
0 0 0 1 0 0W
(C) x2z = y2z (D) y2z + x2z = 0 J6 = S S
2x 2y 2x 2y 0 0 1 0 0 0W
S0 1 0 0 0 0W
S W
GATE 2014 EC04 ONE MARK S1 0 0 0 0 0W
Which is obtained T by reversing the Xorder of the columns
Q67 The series S 3 1
n = 0 n! converges to of the identity matrix I 6 .
(A) 2 ln 2 (B) 2 Let P = I 6 + aJ 6 , where a is a non-negative real number.
(C) 2 (D) e The value of a for which det ^P h = 0 is _____.

Q76 A fair coin is tossed repeatedly until a ‘Head’ appears


Q68 The magnitude of the gradient for the function
for the first time. Let L be the number of tosses to get this
f ^x, y, z h = x2 + 3y2 + z3 at the point ^1, 1, 1h is ______.
first ‘Head’. The entropy H ^L h in bits is _____.

Q69 Let X be a zero mean unit variance Gaussian random


variable. E [| X |] is equal to ______. GATE 2014 EC02 TWO MARKS

Q70 If a and b are constants, the most general solution of Q77 The system of linear equations
J2 1 3NJa N J 5 N
the differential equation K OK O K O
d 2 x + 2 dx + x = 0 is K3 0 1OKb O = K- 4O has
dt K1 2 5OKc O K 14 O
dt2 L PL P L P
-t
(A) ae (B) ae-t + bte-t (A) a unique solution
(C) aet + bte-t (D) ae-2t (B) infinitely many solutions
(C) no solution
xy
Q71 The directional derivative of f ^x, y h = ^x + y h at (D) exactly two solutions
^ h
1 , 1 in the direction of the unit vector at an2 angle of p4
with y -axis, is given by______. Q78 The real part of an analytic function f ^z h where
z = x + jy is given by e-y cos ^x h. The imaginary part of
GATE 2014 EC01 TWO MARKS f ^z h is
(A) ey cos ^x h (B) e-y sin ^x h
Q72 The Taylor series expansion of 3 sin x + 2 cos x is (C) - ey sin ^x h (D) - e-y sin ^x h
3 3
(A) 2 + 3x - x2 - x + .... (B) 2 - 3x + x2 - x + ....
2 2 Q79 The maximum value of the determinant among all
3 3
(C) 2 + 3x + x2 + x + .... (D) 2 + 3x + x2 + x + .... 2 # 2 real symmetric matrices with trace 14 is _____.
2 2
Q80 If rv = xatx + yaty + zatz and rv = r , then
Q73 For a function g ^ t h, it is given that
div ^r d ^ln r hh = ______.
2

g ^ t h e-jwt dt = we-2w for any real value w .


+3
#-3
2

# sin c2 ^5t h dt is ____.


3
If y ^ t h = g ^t h dt , then y ^ t h dt is
t +3
Q81 The value of the integral
#
-3
#
-3
-3

(A) 0 (B) - j
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 2 Engineering Mathematics Page 9

GATE 2014 EC03 TWO MARKS transform of g ^ t h = t f ^ t h ?


-^2s + 1h
(A) 2 - s (B)
Q82 The maximum value of f ^x h = 2x - 9x + 12x - 3 in
3 2
^s + s + 1h
2
^s2 + s + 1h
2

the interval 0 # x # 3 is _______.


(C) 2 s (D) 2 2s + 1 2
^s + s + 1h ^s + s + 1h
2

Q83 Which one of the following statements is NOT true


for a square matrix A ? Q89 For a right angled triangle, if the sum of the lengths
(A) If A is upper triangular, the eigenvalues of A are the of the hypotenuse and a side is kept constant, in order to
diagonal elements of it have maximum area of the triangle, the angle between the
hypotenuse and the side is
(B) If A is real symmetric, the eigenvalues of A are always
(A) 12c (B) 36c
real and positive
(C) 60c (D) 45c
(C) If A is real, the eigenvalues of A and AT are always
the same
(D) If all the principal minors of A are positive, all the GATE 2013 ONE MARK
eigenvalues of A are also positive
Q90 The maximum value of q until which the
Q84 A fair coin is tossed repeatedly till both head and approximation sin q . q holds to within 10% error is
tail appear at least once. The average number of tosses (A) 10c (B) 18c
required is______. (C) 50c (D) 90c

Get More Study Material Q91


R3 5
The minimum eigen value of the following matrix is
2VW
by Whatsapp. Send S
S5 12 7W
SS2 7 5WW
Your College Pin Code, T 0
(A) X (B) 1

Branch and BTech year to (C) 2 (D) 3

9414243489 by whatsapp Q92 A polynomial f (x) = a 4 x 4 + a 3 x3 + a2 x2 + a1 x - a 0


with all coefficients positive has
(A) no real roots
Q85 Let X1 , X 2 , and X 3 be independent and identically
(B) no negative real root
distributed random variables with the uniform distribution
on 60, 1@. The probability P "X1 + X2 # X 3, is ______. (C) odd number of real roots
(D) at least one positive and one negative real root
GATE 2014 EC04 TWO MARKS
GATE 2013 TWO MARKS
Q86 With initial values y ^0 h = yl^0 h = 1, the solution of
the differential equation Q93 Let A be an m # n matrix and B an n # m matrix.
d 2y dy It is given that determinant ^Im + AB h = determinant
2 + 4 dx + 4y = 0
dx ^In + BAh, where Ik is the k # k identity matrix. Using
at x = 1 is ______ the above property, the determinant of the matrix given
below is
R V
Q87 Parcels from sender S to receiver R pass sequentially S2 1 1 1W
through two post-offices. Each post-office has a probability S1 2 1 1W
S1 1 2 1W
1/5 of losing an incoming parcel, independently of all other S W
parcels. Given that a parcel is lost, the probability that it S1 1 1 2W
was lost by the second post-office is______. T X
(A) 2 (B) 5
(C) 8 (D) 16
Q88 The unilateral Laplace transform of f ^ t h is s +1s + 1
2

. Which one of the following is the unilateral Laplace


Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 10 Engineering Mathematics Chapter 2

GATE 2012 ONE MARK Q99 A fair coin is tossed till a head appears for the first
time. The probability that the number of required tosses
Q94 With initial condition x (1) = 0.5 , the solution of the is odd, is
differential equation (A) 1/3 (B) 1/2
t dx + x = t , is (C) 2/3 (D) 3/4
dt
(A) x = t - 1 (B) x = t 2 - 1
2 2 Q100 The maximum value of f (x) = x3 - 9x2 + 24x + 5 in
2
t the interval [1, 6] is
(C) x = t (D) x = 2 (A) 21 (B) 25
2
(C) 41 (D) 46
Q95 Given f (z) =1 - 2 .
z+1 z+3
Q101 Given that
If C is a counter clockwise path in the z -plane such that
-5 -3 1 0
A=> H and I = >
z + 1 = 1, the value of 1 # 0 1H
f (z) dz is ,
2p j C 2 0
(A) - 2 (B) - 1 the value of A3 is
(C) 1 (D) 2 (A) 15A + 12I (B) 19A + 30I
(C) 17A + 15I (D) 17A + 21I
Q96 If x = - 1, then the value of xx is
- p/2
(A) e (B) e p/2 GATE 2011 ONE MARK
(C) x (D) 1
Q102 Consider a closed surface S surrounding volume V .
Get More Study Material If r is the position vector of a point inside S , with nt the
v
unit normal on S , the value of the integral ## 5rv $ nt dS is
by Whatsapp. Send
S

(A) 3V (B) 5V

Your College Pin Code, (C) 10V (D) 15V

Branch and BTech year to Q103


dy
The solution of the differential equation
= ky, y (0) = c is
9414243489 by whatsapp dx
(A) x = ce-ky (B) x = kecy
(C) y = cekx (D) y = ce-kx
GATE 2012 TWO MARKS

Q97 Consider the differential equation Q104 The value of the integral # - 2
3z + 4 dz where c
(z + 4z + 5)
d 2 y (t) dy (t) is the circle z = 1 is given by c
+2 + y (t) = d (t) (A) 0 (B) 1/10
dt 2 dt
dy (C) 4/5 (D) 1
with y (t) t = 0 =- 2 and =0
-
dt t = 0 -

dy
The numerical value of is GATE 2011 TWO MARKS
dt t = 0 +

(A) - 2 (B) - 1
Q105 A numerical solution of the equation
(C) 0 (D) 1
f (x) + x - 3 = 0 can be obtained using Newton- Raphson
method. If the starting value is x = 2 for the iteration, the
Q98 The direction of vector A is radially outward from value of x that is to be used in the next step is
the origin, with A = krn . where r2 = x2 + y2 + z2 and k is (A) 0.306 (B) 0.739
a constant. The value of n for which d:A = 0 is (C) 1.694 (D) 2.306
(A) - 2 (B) 2
(C) 1 (D) 0
Q106 The system of equations
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 2 Engineering Mathematics Page 11

x+y+z = 6 (C) n (x) = K 2 exp (- x/L)


x + 4y + 6y = 20 (D) n (x) = K exp (- x/L)
x + 4y + l z = m

has NO solution for values of l and μ given by GATE 2010 TWO MARKS
(A) l = 6, m = 20 (B) l = 6, m =
Y 20
(C) l =
Y 6, m = 20 (D) l =
Y 6, m = 20 Q111 If ey = x1/x , then y has a
(A) maximum at x = e (B) minimum at x = e
-1
(C) maximum at x = e (D) minimum at x = e-1
Q107 A fair dice is tossed two times. The probability that
the second toss results in a value that is higher than the
first toss is Q112 A fair coin is tossed independently four times. The
(A) 2/36 (B) 2/6 probability of the event “the number of time heads shown
(C) 5/12 (D) 1/2 up is more than the number of times tail shown up”
(A) 1/16 (B) 1/3
(C) 1/4 (D) 5/16
GATE 2010 ONE MARKS

Q113 If Av = xyatx + x 2 aty , then # Av $ dlv over the path


Q108 The eigen values of a skew-symmetric matrix are
shown in the figure is C
(A) always zero
(B) always pure imaginary
(C) either zero or pure imaginary
(D) always real

Q109 The trigonometric Fourier series for the waveform


f (t) shown below contains

(A) 0 (B) 2
3
(C) 1 (D) 2 3

Q114 The residues of a complex function


x (z) = 1 - 2z
z (z - 1) (z - 2)
(A) only cosine terms and zero values for the dc components at its poles are
(B) only cosine terms and a positive value for the dc (A) 1 , - 1 and 1 (B) 1 , - 1 and - 1
2 2 2 2
components
(C) only cosine terms and a negative value for the dc (C) 1 , 1 and - 3 (D) 1 , - 1 and 3
2 2 2 2
components
(D) only sine terms and a negative value for the dc dy (x)
Q115 Consider differential equation - y (x) = x ,
components dx
with the initial condition y (0) = 0 . Using Euler’s first
order method with a step size of 0.1, the value of y (0.3) is
Q110 A function n (x) satisfied the differential equation (A) 0.01 (B) 0.031
d 2 n (x) n (x)
- 2 =0 (C) 0.0631 (D) 0.1
dx 2 L
where L is a constant. The boundary conditions are
: n (0) = K and n (3) = 0 . The solution to this equation is Given f (t) = L-1 ; 3 3s + 1
s + 4s2 + (k - 3) s E t " 3
Q116 . If lim f (t) = 1
(A) n (x) = K exp (x/L) , then the value
(B) n (x) = K exp (- x/ L ) of k is
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 12 Engineering Mathematics Chapter 2

(A) 1 (B) 2 Q122 The Eigen values of following matrix are


R V
(C) 3 (D) 4 S- 1 3 5 W
S- 3 - 1 6 W
SS 0 0 3 WW
GATE 2009 ONE MARK T X
(A) 3, 3 + 5j, 6 - j (B) - 6 + 5j, 3 + j, 3 - j
Q117 The order of the differential equation (C) 3 + j, 3 - j, 5 + j (D) 3, - 1 + 3j, - 1 - 3j
d2y dy 3
2 + c dt m + y = e
4 -t
is
dt
GATE 2008 ONE MARKS
(A) 1 (B) 2
(C) 3 (D) 4
p11 p12
All the four entries of the 2 # 2 matrix P = =
p21 p22 G
Q123
Q118 A fair coin is tossed 10 times. What is the probability are nonzero, and one of its eigenvalue is zero. Which of the
that only the first two tosses will yield heads? following statements is true?
(A) c 1 m (B) 10C2 b 1 l
2 2

2 2 (A) p11 p12 - p12 p21 = 1 (B) p11 p22 - p12 p21 =- 1
(C) p11 p22 - p12 p21 = 0 (D) p11 p22 + p12 p21 = 0
(C) c 1 m (D) 10C2 b 1 l
10 10

2 2
Q124 The system of linear equations
4x + 2y = 7
Q119 If f (z) = c 0 + c1 z-1 , then # 1 +zf (z) dz is given 2x + y = 6 has
by unit circle (A) a unique solution
(A) 2pc1 (B) 2p (1 + c0) (B) no solution
(C) 2pjc1 (D) 2p (1 + c0) (C) an infinite number of solutions
(D) exactly two distinct solutions

GATE 2009 TWO MARKS


Q125 The equation sin (z) = 10 has
(A) no real or complex solution
Q120 The Taylor series expansion of sin x at x = p is (B) exactly two distinct complex solutions
x-p
given by (C) a unique solution
(D) an infinite number of complex solutions
(x - p) 2 (x - p) 2
(A) 1 + + ... (B) - 1 - + ...
3! 3!
Q126 For real values of x , the minimum value of the
(x - p) 2 (x - p) 2 function f (x) = exp (x) + exp (- x) is
(C) 1 - + ... (D) - 1 + + ...
3! 3! (A) 2 (B) 1
(C) 0.5 (D) 0
Q121 Match each differential equation in Group I to its
family of solution curves from Group II Q127 Which of the following functions would have only
Group I Group II odd powers of x in its Taylor series expansion about the
dy y
A. = 1. Circles point x = 0 ?
dx x
(A) sin (x3) (B) sin (x2)
dy y
B. =- 2. Straight lines (C) cos (x3) (D) cos (x2)
dx x
dy
C. =x 3. Hyperbolas
dx y Q128 Which of the following is a solution to the differential
dy dx (t)
D. =- x equation + 3x (t) = 0 ?
dx y dt
(A) A - 2, B - 3, C - 3, D - 1 (A) x (t) = 3e - t (B) x (t) = 2e - 3t
(B) A - 1, B - 3, C - 2, D - 1 (C) x (t) =- 23 t2 (D) x (t) = 3t2
(C) A - 2, B - 1, C - 3, D - 3
(D) A - 3, B - 2, C - 1, D - 2
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 2 Engineering Mathematics Page 13

GATE 2008 TWO MARKS (B) is 0


(C) is 1
Q129 The recursion relation to solve x = e - x using Newton (D) depends on the direction (clockwise or anit-clockwise)
- Raphson method is of the semicircle
(A) xn + 1 = e-x n

(B) xn + 1 = xn - e-x n

-x GATE 2007 ONE MARK


(C) xn + 1 = (1 + xn) e -x
n

1+e n

x n2 - e-x (1 - xn) - 1
n Q135 The following plot shows a function which varies
(D) xn + 1 = 2
xn - e-x n
linearly with x . The value of the integral I = #1 ydx is

Q130 The residue of the function f (z) = 1


2
at z = 2 is (z + 2 ) (z - 2) 2

(A) - 1 (B) - 1
32 16
(C) 1 (D) 1
16 32
(A) 1.0 (B) 2.5
(C) 4.0 (D) 5.0
0 1
Consider the matrix P = =
- 2 - 3G
Q131 . The value of e p
is Q136 For x << 1, coth (x) can be approximated as
(A) x (B) x2
2e-2 - 3e-1 e-1 - e-2
(A) > -2 H (C) 1 (D) 12
2e - 2e-1 5e-2 - e-1 x x
e-1 + e-1 2e-2 - e-1
(B) > -1 H sin b q l
2e - 4e2 3e-1 + 2e-2 2
Q137 lim is
q"0 q
5e-2 - e-1 3e-1 - e-2 (A) 0.5 (B) 1
(C) > -2 H
2e - 6e-1 4e-2 + 6-1 (C) 2 (D) not defined

2e-1 - e-2 e-1 - e-2


(D) > H Q138 Which one of following functions is strictly
- 2e-1 + 2e-2 - e-1 + 2e-2
bounded?
(A) 1/x2 (B) ex
Q132 In the Taylor series expansion of exp (x) + sin (x) (C) x2 (D) e - x
2

about the point x = p , the coefficient of (x - p) 2 is


(A) exp (p) (B) 0.5 exp (p)
Q139 For the function e - x , the linear approximation
(C) exp (p) + 1 (D) exp (p) - 1
around x = 2 is
(A) (3 - x) e - 2
Q133 The value of the integral of the function (B) 1 - x
g (x, y) = 4x3 + 10y 4 along the straight line segment from
(C) 63 + 3 2 - (1 - 2 ) x @e - 2
the point (0, 0) to the point (1, 2) in the x - y plane is
(A) 33 (B) 35 (D) e - 2
(C) 40 (D) 56
GATE 2007 TWO MARKS
Q134 Consider points P and Q in the x - y plane, with
Q
Q140 The solution of the differential equation
P = (1, 0) and Q = (0, 1). The line integral 2
P
#
(xdx + ydy) 2
d y
along the semicircle with the line segment PQ as its k2 = y - y2 under the boundary conditions
diameter dx2
(A) is - 1 (i) y = y1 at x = 0 and
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 14 Engineering Mathematics Chapter 2

(ii) y = y2 at x = 3 , where k, y1 and y2 are constants, is

(A) y = (y1 - y2) exp a- x2 k + y2


k
(B) y = (y2 - y1) exp a- x k + y1
k

(C) y = ^y1 - y2h sinh a x k + y1


k
(A) jp (B) - jp
(D) y = ^y1 - y2h exp a- x k + y2 (C) - p (D) p
k

Q144 It is given that X1, X2 ...XM at M non-zero, orthogonal


Q141 The equation x3 - x2 + 4x - 4 = 0 is to be solved
vectors. The dimension of the vector space spanned by the
using the Newton - Raphson method. If x = 2 is taken
2M vectors X1, X2,... XM , - X1, - X2,... - XM is
as the initial approximation of the solution, then next
(A) 2M
approximation using this method will be
(A) 2/3 (B) 4/3 (B) M + 1
(C) 1 (D) 3/2 (C) M
(D) dependent on the choice of X1, X2,... XM
Q142 Three functions f1 (t), f2 (t) and f3 (t) which are zero
outside the interval [0, T] are shown in the figure. Which Q145 Consider the function f (x) = x2 - x - 2 . The
of the following statements is correct? maximum value of f (x) in the closed interval [- 4, 4] is
(A) 18 (B) 10
(C) - 225 (D) indeterminate

Q146 An examination consists of two papers, Paper 1


and Paper 2. The probability of failing in Paper 1 is 0.3
and that in Paper 2 is 0.2. Given that a student has failed
in Paper 2, the probability of failing in Paper 1 is 0.6. The
probability of a student failing in both the papers is
(A) 0.5 (B) 0.18
(C) 0.12 (D) 0.06

GATE 2006 ONE MARK

R V
S1 1 1 W
Q147 The rank of the matrix S1 - 1 0 W is
SS1 1 1 WW
(A) 0 T 1 X
(B)
(A) f1 (t) and f2 (t) are orthogonal
(C) 2 (D) 3
(B) f1 (t) and f3 (t) are orthogonal
(C) f2 (t) and f3 (t) are orthogonal
Q148 4#4# P , where P is a vector, is equal to
(D) f1 (t) and f2 (t) are orthonormal (A) P # 4# P - 4 2 P (B) 4 2 P + 4 (4 # P)
(C) 4 2 P + 4# P (D) 4 (4$ P) - 4 2 P
Q143 If the semi-circular control D of radius 2 is as shown
1
in the figure, then the value of the integral #
(s 2
- 1 )
ds is
Q149 ## (4 # P) $ ds , where P is a vector, is equal to
D
(A) # P $ dl (B) # 4#4# P $ dl

(C) # 4# P $ dl (D) ### 4$ Pdv


This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 2 Engineering Mathematics Page 15

Q150 A probability density function is of the form to a university. The percentage of computers supplied
p (x) = Ke- a x , x ! (- 3, 3). The value of K is by them and the probability of those being defective are
(A) 0.5 (B) 1 tabulated below
(C) 0.5a (D) a
Company % of Computer Probability of being
Supplied supplied defective
Q151 A solution for the differential equation
xo (t) + 2x (t) = d (t) with initial condition x (0-) = 0 is X 60% 0.01
(A) e - 2t u (t) (B) e2t u (t) Y 30% 0.02
(C) e - t u (t) (D) et u (t) Z 10% 0.03

Given that a computer is defective, the probability that


GATE 2006 TWO MARKS was supplied by Y is
(A) 0.1 (B) 0.2
Q152 The eigenvalue and the corresponding eigenvector (C) 0.3 (D) 0.4
of 2 # 2 matrix are given by
Eigenvalue Eigenvector
4 2
For the matrix =
2 4G
1 Q157 the eigenvalue corresponding
l1 = 8 v1 = = G
1
101
1 to the eigenvector = G is
l2 = 4 v2 = = G 101
-1 (A) 2 (B) 4
The matrix is
6 2 4 6 (C) 6 (D) 8
(A) = G (B) =
2 6 6 4G
2 4 4 8 d2 y
Q158 For the differential equation + k2 y = 0 the
(C) =
4 2G
(D) =
8 4G
2
boundary conditions are dx
(i) y = 0 for x = 0 and
Q153 For the function of a complex variable W = ln Z (ii) y = 0 for x = a
(where, W = u + jv and Z = x + jy , the u = constant lines The form of non-zero solutions of y (where m varies over
get mapped in Z -plane as all integers) are
(A) set of radial straight lines (A) y = Am sin mpx
/ (B) y = Am cos mpx
/
m
a m
a
(B) set of concentric circles
(C) set of confocal hyperbolas (C) y = / Am x map (D) y = / Am e- mapx
m m
(D) set of confocal ellipses
Q159 As x increased from - 3 to 3, the function
1 dz is
Q154 The value of the constant integral # 2
z +4
x
f (x) = e x
positive sense is z-j = 2 1+e
(A) monotonically increases
jp
(A) (B) - p (B) monotonically decreases
2 2
jp (C) increases to a maximum value and then decreases
(C) - (D) p (D) decreases to a minimum value and then increases
2 2

p
GATE 2005 ONE MARK
Q155 The integral #0 sin3 qdq is given by

(A) 1 (B) 2 Q160 The following differential equation has


2 3 d2 y dy 3
3 c 2 m + 4 c m + y2 + 2 = x
(C) 4 (D) 8 dt dt
3 3
(A) degree = 2 , order = 1 (B) degree = 1, order = 2
Q156 Three companies X, Y and Z supply computers (C) degree = 4 , order = 3 (D) degree = 2 , order = 3
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 16 Engineering Mathematics Chapter 2

Q161 A fair dice is rolled twice. The probability that an Group I Group II
odd number will follow an even number is
5. Interpolation
(A) 1/2 (B) 1/6
(C) 1/3 (D) 1/4 6. Calculation of
Eigenvalues
(A) E - 6, F - 1, G - 5, H - 3
Q162 A solution of the following differential equation is
d2 y dy (B) E - 1, F - 6, G - 4, H - 3
given by -5 + 6y = 0
dx 2 dx (C) E - 1, F - 3, G - 4, H - 2
(A) y = e2x + e-3x (B) y = e2x + e3x (D) E - 5, F - 3, G - 4, H - 1
(C) y = e-2x + 33x (D) y = e-2x + e-3x
-4 2
Given the matrix =
4 3G
Q166 , the eigenvector is
GATE 2005 TWO MARKS
3 4
(A) = G (B) = G
2 3
Q163 In what range should Re (s) remain so that the
Laplace transform of the function e(a + 2) t + 5 exits. 2 -1
(C) = G (D) = G
(A) Re (s) > a + 2 (B) Re (s) > a + 7 -1 2
(C) Re (s) < 2 (D) Re (s) > a + 5
2 - 0.1 1
a
Q167 Let, A = = G and A - 1 = = 2 G. Then (a + b) =
Q164 The derivative of the symmetric function drawn in 0 3 0 b
given figure will look like (A) 7/20 (B) 3/20
(C) 19/60 (D) 11/20

2
1
#0 exp c- x m dx
3
Q168 The value of the integral I =
2p 8
is

(A) 1 (B) p
(C) 2 (D) 2p

Q169 Given an orthogonal matrix


R1 1 1 1 V
S W
S1 1 - 1 - 1W
A=S
1 - 1 0 0W
Q165 Match the following and choose the correct S W
S0 0 1 1 W
combination: T X
6AA @- 1 is
T

Group I Group II R1 V R1 V
E. Newton-Raphson 1. Solving nonlinear S4 0 0 0 W S2 0 0 0W
S0 14 0 0 W S0 1
2 0 0
W
method equations (A) S 1 W (B) S 1 W
F. Runge-kutta method 2. Solving linear S0 0 2 0 W S0 0 2 0W
1
S0 0 0 2 W S0 0 0 12 W
simultaneous T X TR XV
R1 0 0 0 V 1
0 0 0W
G. Simpson’s Rule 3. Solving ordinary S W S4
differential S0 1 0 0 W S0 1
4 0 0
W
(C) S W (D) S 1 W
H. Gauss elimination 4. Numerical integration S
0 0 1 0
W S0 0 4 0W
S0 0 0 1 W S0 0 0 14 W
T X T X

***********
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 2 Engineering Mathematics Page 17

SOLUTION
S1 Correct option is (C). Thus x =1
& M8 = M 4 = I & M7 = M-1
& M12 = M8 = I & M11 = M-1 S7 Correct answer is 0.
3
& M16 = M12 = I & M15 = M-1 f (Z ) = 2z3 + b z
______________________ For b = 0 , f (z) becomes polynomial
Thus M-1 = M 4k + 3 , K is a natural number. so it is analytic every where only when b = 0

S2 Correct answer is l = 1. S8 Correct option is (B).


E (x2) = 2 Since, f (- 1) =- 3 ,
V (X) = E (X2) - (E (X )) 2 f (0) = 1
Let mean of the poission random variable be x f (1) =- 1
x = 2 - x2 f (2) =- 3
2
x +x-2 = 0 f (3) = 1
x = 1, - 2
Thus Means is l = 1 S9 Correct option is (C).
sin x = x touches at 3 points
2
S3 Correct option is (B).
Since continuous function may not be differentiable. But S10 Correct answer is 90°.
differentiable function is always continuous.

S4 Correct option is (A).

S5 Correct option is (C).


Integration of ramp is parabolic, integration of step is
ramp.

I = 15 cos wtatx + 5 sin wtaty

If q = 0
| I | = 15 0 < q < p
2
If q = p
2 15 # q # 5
|I |= 5

S11 Correct option is (D)


det ^Ah = s2 - wx = s2 + w2
= s2 - wx = w2 =- wx
s 2 + wx = 0
or x =- w

S12 Correct answer is 1.


sin ^z h
= 12 'z - z + z - ......1
3 2

z 2
z 3! 5!
S6 Correct answer is x = 1. 3
= 1 - z + z - ......
For eigen value of A is to be zero, det (A) = 0 z 3! 5!
3 "(- 63 + 7x) + 52, - 2 "(- 81 + 9x) + 78, + Res. f ^z h = 1
+ 4 {- 36 + 42} = 0 z =0
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 18 Engineering Mathematics Chapter 2

S13 Correct answer is 0.07203. S17 Correct answer is 233.


P = ]0.7g4 ^0.3h = 0.07203 x (n)
n
1 1 1
> H = > H > H, n $ 2
x (n - 1) 1 0 0
S14 Correct answer is 2.
n=2
dx = "- 2 1 - x ,0
1
# ^1 - x h
1
x (2) 1
2
1 1 2 1 1 2
0 > H => H > H = > H> H = > H
=- 2 6^0 h - 1@ = 2 x (1) 1 0 0 1 1 0 1
x (2) = 2 , x (1) = 1
S15 Correct answer is 0.6. n=3
3
dy x (3) 1 1 1 3 2 1 3
dx
= y + 2x - x2 > H = > H > H = > H> H = > H
x (2) 1 0 0 2 1 0 2
y ^0 h = 1, ^0 < x < 3h x (3) = 3 , x (2) = 2
Given f ^x, y h = y + 2x - x2 From the above values we can write the recursive relation
x0 = 0
as
y0 = 1
x (n) = x (n - 1) + x (n - 2)
h = 0.1
x (2) = x (1) + x (0) = 1 + 1 = 2
k1 = hf ^x 0, y 0h
x (3) = x (2) + x (1) = 2 + 1 = 3
= 0.1 _1 + 2 ^0 h - ^0 h2i = 0.1
x (4) = x (3) + x (2) = 3 + 2 = 5
k2 = hg ^x 0 + h, y 0 + k1h
x (5) = x (4) + x (3) = 5 + 3 = 8
= 0.1 ^y 0 + k1h + 2 ^x 0 + h h - ^x 0 + h h2
x (6) = x (5) + x (4) = 8 + 5 = 13
= 0.1 ^1 + 0.1h + 2 ^0.1h - ^0.1h2 x (7) = x (6) + x (5) = 13 + 8 = 21
= 0.1 ^1.1 + 0.2 - 0.01h = 0.129 x (8) = x (7) + x (6) = 21 + 13 = 34
x (9) = x (8) + x (7) = 34 + 21 = 55
Thus y1 = y 0 + 1 ^k1 + k2h
2 x (10) = x (9) + x (8) = 55 + 34 = 89
= 1 + 1 ^0.1 + 0.129h x (11) = 89 + 55 = 144
2 x (12) = 144 + 89 = 233
= 1 + 0.1145 = 1.1145
Exact solution, y ^x h = x2 + ex S18 Correct answer is –133.8.
y ^0.1h = ^0.1h2 + e0.1 - 1 # sin z dz = 1
# 2p i
f ll (2pj)
= 0.01 + 1.1052 = 1.1152 2p (z - 2pj) 3 2p 2!
C
ERROR = 1.1152 - 1.1145 = 0.00062 f (z) = sin z
Percentage Error = 0.00062 # 100 f ll (z) =- sin z
0.06% Thus f ll (z 0) =- sin 2pj
- sin (2pj)
S16 Correct answer is 20.
1
# sin z dz =- 1
# 2pj b 2 l
2p (z - 2pj) 3 2p
C
Converting to polar coordinates, we get
1 = j # j sinh 2p =- 1 (sinh 2p)
2 2
2p # #(x + y + 10) dxdy
D =- 133.87
2p
= 1
2

2p # # (r cos q + r sin q + 10) rdrdq


r=0 q=0
S19 Correct answer is 4.714.
Given region of cylinder
2p
= 1
2

2p # # (r2 cos q + r2 sin q + 10r) drdq 3 # r # 5 , p # f # p , 3 # z # 4.5


r=0 q=0 8 4
= 1
2p
r cos q + r3 sin q + 5r2 2 dq
3
# &
2p q = 0 3 3 0 The differential volume of cylinder in given by
0 dv = rdrdfdz
2p 8 8
& 3 cos q + 3 sin q + 200 dq
p
= 1 # 5 4.5
2p 0 Volume, v= # # # rdrdfdz
r=3 f= p z=3
4

8 8 2p 8
= 1 & 3 sin q - 3 cos q + 20q 0 r2 5
2p =
p
0
2
8
p#f #z 4.5
3
8 8
= 1 &b- 3 + 40p l - b- 3 l0
3 8
2p p p
= 1 (25 - 9) # a 4 - 8 k # (4.5 - 3)
= 20 2
Thus v = 4.71 m3
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 2 Engineering Mathematics Page 19

S20 Correct answer is 0.66. S24 Correct option is (A).


dy D2 + 12D + 36 = 0
=- 3y + 2 , y (0) = 1
dx & =- 6, - 6
If | 1 - 3h | < 1 then solution of differential equation is The solution is y = C1 e-6x + C2 xe-6x ...(1)
stable y ^ 0 h = 3 & 3 = C1
- 1 < 1 < - 3h < 1 (1) & y = e-6x + C2 xe-6x
dy
- 2 < - 3h < 0 =- 18e-6x + C2 "- 6xe-6x + e-6x ,
0 < 3h < 2 dx
dy
0<h<2 &
dx x = 0
=- 18 + C2
3
If 0 < h < then we get stable. & - 36 =- 18 + C2
& C2 =- 18
S21 Correct answer is 0. Thus y = 3e-6x - 18xe-6x
Using Green’s Theorem
S25 Correct option is (D)
#(xy2 dx + x2 ydy) = ##
(2xy - 2xy) dxdy
u = x1 e1 + x 2 e 2 + x 3 e 3
^ h = x1 ^1, 0, 2h + x2 ^0, 1, 0h + x 3 ^- 2, 0, 1h
C R
4 , 3 , - 3
=0 x1 - 2x 3 = 4 ...(3)
Solving these equations, we get
S22 Correct answer is 0.33.
x1 =- 2 , x2 = 3 and x 3 = - 11
5 5
Thus u =- 2e1 + 3e2 - 11 e 3
5 5
S26 Correct answer is 10.
2x

^6 - x - y h dxdy
3
Volume = ## zdxdy # #
x=0 y=0
3

= 10

P (X + Y # 1) = # f (x, y) dxdy S27 Correct option is (B).


ez dz = 1 2pjf 2
1
# ^ h
R
(i)
1 1-x 2pj ^z - 2h 2pj
= # # (x, y) dxdy &
c
e2 = 7.39
z
x=0 y=0
(ii) 1 e
1 2 1-x
#
2pj ^z - 2h
dz = 0 (a z = 2 lies outside c )
= # cxy + y2 m 0
dx
c

0 S28 Correct option is (C).


1 2 A and B are two independent events with probabilities,
= # ;x (1 - x) + (1 -2 x) Edx P ^A ! 0h and P ^B ! 0h
0
Now, we check the given options.
= 0.33 (A) P ^A + B h = P ^Ah P ^B h TRUE
[By the rule of two independent events]
S23 Correct answer is 3.
trace ^Ah = 14 P ^A + B h
(B) P ^A # B h =
a + b + 7 = 14 P ^B h
P ^Ah P ^B h
a+b = 7 = = P ^Ah TRUE
det ^Ah = 100 P ^B h
a 3 7 (C) P ^A , B h = P ^Ah + P ^B h - P ^A + B h
5 0 2 4 = 100
= P ^Ah + P ^B h - P ^Ah P ^B h False
0 0 b
10 ab = 100 & ab = 10 (D) P ^A + B h = P ^A h P ^B h TRUE
Thus a = 5 and b = 2 or a = 2 and b = 5
NOTE :
& a-b = 3
Since A and B are independent, therefore A and B will
also be independent.
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 20 Engineering Mathematics Chapter 2

S29 Correct answer is 2. AX = lX


Given equations From give problem, we have
R1V R 4 1 2V
x - 2y + 3z =- 1 S W S W
x - 3y + 4z = 1 X = S2W A = S p 2 1W
- 2x + 4y - 6z = k SS3WW SS14 - 4 10WW
Now, we represent the system as Ax = b T X T X
Substituting it in equation, we get
R 1 - 2 3V R- 1V R 4 1 2V R1V R1V
S W S W S W S W S W
where A = S 1 - 3 4W, b = S 1 W S p 2 1W S2W = l S2W
SS- 2 4 - 6WW SS k WW SS14 - 4 10WW SS3WW SS3WW
3#3 3#1
T X haveT X T XR T XV R T VX
For infinitely many solution, we must 12 Sl W
R 1 - 2 3 - 1V S W
S W or Sp + 7W = S2lW
A augmented = A aug = S 1 - 3 4 1 W < Rank of A < N SS 36 WW S W
SS- 2 4 6 k WW 3#1
S3lW
T X T X
^RAug = RAh < ^n = 3, number of columnsh
T X If l = 12 , 3l = 36 , and hence we obtain
or
p + 7 = 2l
R 1 - 2 3 - 1V
S W or p = 24 - 7 = 17
Now, A aug = S 1 - 3 4 1W R2 " 2R2 + R 3 ,
SS- 2 4 - 6 k WW R 3 " 2R1 + R 3 S32 Correct option is (B).
TR VX Lagrange’s mean value theorem states that if a function
1 - 2 3 - 1
S
= S0 - 2 2 2 + k W
W f ^x h is continuous in close interval 6a, b@ and differentiable
SS0 0 0 k - 2WW in open interval ^a, b h, then for point c in the interval, we
For k = 2 , we have T X may define
f ^b h - f ^a h
(Rank of Aug of Rank A = 2 ) < ^n = 3h f l^c h =
b-a
Hence, the system has many solutions for k = 2 .
Now, we have f ^x h = 1 - x2 + x3
S30 Correct option is (D). Since, polynomial function is always continuous and
The complex variable is defined as differentiable, so
f ^1 h - f ^- 1h
z = x + iy , f l^x h = =1
where contour in unit circle in a clockwise direction. Now, 1 - ^- 1h
we check the given options or - 2x + 3x2 = 1
or 3x2 - 2x - 1 = 0
(A) f ^z h = z
^z + 1h^z - 1h or x = 1, - 1
n-1 3
Residue = 1 lim d n - 1 ^z - 1hn f ^z h n=1
n! z " 1 dz Hence, x =- 1 , as - 1 d ^- 1, 1h
3 3
, = lim ^z - 1h z =1 TRUE
z"1 ^z + 1h^z - 1h 2 S33 Correct option is (B)
R V
(B) # z dz = 0
2
TRUE S10 5 + j 4 W
Given A = S x 20 2 W
By cauchy’s integral theorem; z2 is analytical in the given SS W
4 2 - 10W
contour. T X
and eigen values are real.
(C) 1
2p i z# 2pi ^ h
1 dz = 1 2pi f 0 = 1 TRUE For real eigen value, A is Hermitian matrix, i.e.
(D) This is only remaining option, hence FALSE. It can A = ^A hT (1)
be proved as well, R V
S10 5 - j 4 W
z = x - iy = u + iv u = x , v =- y Here, A = S x 20 2 W
For analyticity, ux = vy and uy =- vx SS W
4 2 - 10W
T X
1 !- 1 0 =- 0 R V
Hence z is NOT analytical. S 10 x 4 W
]A g = S5 - j 20 2 W
T
and
SS W
S31 Correct answer is 17. 4 2 - 10W
T X
For eigen vector X and matrix 6A@, we define Using equation (1), we get
x = 5 - j.
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 2 Engineering Mathematics Page 21

S34 Correct option is (C). 1 - tan x


dy 1 + cos 2y AT = > H
Given, = tan x 1
dx 1 - cos 2x
A = 1 + tan2 x = sec2 x
dy dx
= 1 - tan x
1 + cos 2y 1 - cos 2x A-1 = 1 > H
dy A tan x 1
= dx 2
2 cos2 y 2 sin x 1 - tan x 1 - tan x
# sec ydy = # cosec ydy
2 2 AT A-1 = 1 > H> H
A tan x 1 tan x 1
tan y + k =- cot x 2
tan y + cot x = c = 1 >1 - tan x - 2 tan x H
2
sec2 x 2 tan x 1 - tan x
S35 Correct answer is 10. 1 6(1 - tan2 x) 2 + 4 tan2 x @
Given f ^z1h = f ^z2h AT A-1 =
sec2 x
f ^z h = az + b = 12 61 + tan 4 x - 2 tan2 x + 4 tan2 x @
cz + d
sec x
2z1 + 4 = 2z2 + 4
5z1 + d 5z 2 + d = 12 61 + tan 4 x + 2 tan2 x @
sec x
10z1 z2 + 4d = 10z1 z2 + 4d + 20z1 + 22 2 d + 20z2 + 2z1 d [sec2 x] 2
20 ^z2 - z1h = 2d ^z2 - z1h = 12 61 + tan2 x @ =
2
= sec2 x
sec x sec2 x
d = 10
S39 Correct answer is 0.155.
S36 Correct answer is 2. We have g (t) = e-t sin (2pt) u (t)
1 n Taking the Laplace transform
nb 2 l
3
Let S= / G (s) = 2p
n=0
1 1 1 2 1 3 (3 + 1) 2 + (2p) 2
= 0 + 1 $b2l + 2 $b2l + 3 $b2l (1)
From definition of Laplace transform
#
3
Multiply equation (1) by 1/2, G (s) = g (t) e-st dt
-3
S = b 1 l2 + 2 b 1 l3 + 3 b 1 l4 (2)
# g (t) dt = area under the curve g (t)
3
2 2 2 2 So, G (0) =
-3
Subtracting equation (2) from (1), (taking s = 0 )
1 2 1 3 G (0) = 2p = 0.155
S - S = 1 + b 2 l (2 - 1) + b 2 l (3 - 2) 1 + (2p) 2
2 2
S = 1 + b 1 l2 + b 1 l3 + b 1 l4 (sum of GP = a S40 Correct option is (A)
2 2 2 )
2 2 1-r
Given
S =
1
Partial derivative of ^x2 + y2h with respect to y
2
=1
2 1 - 12 = partial derivative of ^6y +4x h with respect to x
Hence, S =2 So, 0 + 2y = 0 + 4
2y = 4 or y = 2
S37 Correct option is (B).
By the residue theorem, S41 Correct answer is 1.
f (z) Let x and y be the length and breadth of the rectangle as
#
C (z - z 0)
n + 1 dz = 2pj # (residue at that point)
shown in figure below.
dn f (z)
where Residue = lim 1
z " z n! dz
n
0

From given question f (z) = 1


So, residue = 0
dz
Hence, #
C (z - z 0)
n+1 = 0

S38 Correct option is (A).


1 tan x
A => H
- tan x 1
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 22 Engineering Mathematics Chapter 2

RpV R VR VR V
For the given ellipse, we have S W 1 S1 1 1 1 2WS1 0 2 0 WSAW
x 2 + 4y 2 = 1 Sq W = S1 W 3 W 3 WS0 W 3 0 WSBW
or x2 = 1 - 4y2 (1) SSr WW 3 SS1 W 2 W 4WWSS0 0 W 4WWSSC WW
3 3 3
Area of rectangle is T X T XT XT X
RpV R 2 4VR V
A = 4xy S W 1 S1 W 33 W 36WSAW
Now, we have to determine the point of maxima, so we Sq W = S1 W 3 W 3 WSBW (2)
consider SSr WW 3 SS1 W 4 W 8WWSSC WW
3 3
z = A2 = 16x2 y2 T X T XT X
W3 = e j 3 = cos ^120ch + j sin ^120ch
2 p
So,
When z will be maximum, A will also be maximum. So we
determine point of maxima for z as -1 + j 3
=
z = 16x2 y2 2
= 16y2 ^1 - 4y2h Again, we consider the equation (1),
dz = 0 Ra V R 1 VWRSAVW
For maxima, S W 1 S1 1
dy Sb W = S1 ^W 3-1h ^W 3-2h*WSBW
*

2y - ^4h^4h y3 = 0 SSc WW 3 SS1 W -2 * W -4 WWSSC WW


^ 3 h ^ 3 h
T X T XT X
2y ^1 - 8y2h = 0 Ra V R VR V
S W 1 S1 1-2 1-4WSAW
So, y =! 1 ^y ! 0h or Sb W = S1 w w WSBW
8
2 SSc WW 3 SS1 w-4 w -6WWSSC WW
2 = 2 - ^16h^ 3 h y y = 1
Again, d z 2 3

dy T X T XT X
8 Ra V R VR V
2 - 16 # 3 # < 0 1 S W 1 S1 1 1-1WSAW
8 or Sb W = S1 w w WSBW
SSc WW 3 SS1 w-1 w WWSSC WW
-4 < 0
T X T XT X
Therefore, z is maximum at Since, the relation between cube roots of unity is given as
2
y = 1 as d z2 <0 1 + w + w2 = 0 ; w3 = 1
8 dx y = 1 2
w = w ; w = w
1
2
1
8
w = w2 ; w 2 = w
A max = 4xy = 1 b1 - 4 l = 4 = 1
1/2
Hence, So, we solve the matrix equation as
8 8 4 Ra V R VR V
S W 1 S1 1 1-1WSAW
NOTE : Sb W = S1 w w WSBW
Point of maxima can also be evaluated by directly differ- SSc WW 3 SS1 w-1 w WWSSC WW
entiating A. But is may lead to tedious calculation. T X T XT X
Again, we consider the equation (2),
RpV R -1 VR V
S W 1 S1 w w WSAW
S42 Correct option is (C).
Sq W = S1 1 1 WSBW
Given relation is SSr WW 3 SS1 w w-1WWSSC WW
RAV R1 1 1VW RSa VW
S W S T X T X
T we apply Xelementary
SBW = S1 W 3-1 W 3-2W Sb W In above equation, row operation as
SSC WW SS1 W -2 W -4WW SSc WW R1 * R 2
3 3 RpV R VR V
TSX T1 444 2 444 3X TSX S W 1 S1 1 -1 1 WSAW
P D Q
Sq W = S1 w w WSBW
Comparing it with the DFT concept of taking fourier SSr WW 3 SS1 w w-1WWSSC WW
transform by matrix form. We may calculate that here we T X T XT X
are taking the 3 order DFT of 6a b c@T whose transformed Again, R2 * R3
output is 6A B C @T . So, RpV R VR V
P = DQ (DFT) S W 1 S1 1 1-1WSAW
1 Sq W = S1 w w WSBW
or Q = D P = D * P & IDFT
-1
SSr WW 3 SS1 w-1 w WWSSC WW
3
Ra V R V T X T XT X
S W 1 S1 1 1W RSAVW Hence, we can conclude that
or Q = Sb W = S1 ^W 3-1h* ^W 3-2h*W SBW (1) 8p q r B = 8c a bB
SSc WW 3 SS1 ^W -2h* ^W -4h*WW SSC WW
8q r pB = 8a b cB
3 3
T X T XT X or
Again, we have
S43 Correct option is (B).
We have the differential equation,
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 2 Engineering Mathematics Page 23

d 2y dy
2 + 2 dt + y = 0
s = 1+1 = 3
dt 2 2
and y ^0 h = yl^0 h = 1 Hence, E ^X h = 3 = 1.5
2
Given equation is linear constant coefficient differential
equation. Let S46 Correct option is (C)
d =D dx = 10 - 0.2x
dt dt
So, D2 + 2D + 1 = 0 dx + 0.2x = 10
or ^D + 1h2 = 0 dt
or D =- 1, - 1 For the differential equation, we have
Therefore, y ^ t h = ^C1 + C2 t h e-t I.F. = e # 0.2dt
For t = 0 , y ^ 0 h = 1 = C1 I.F. = e0.2t
Again, yl^ t h = C2 e-t + ^C1 + C2 t h^- e-t h #
xe0.2t = 10e0.2t dt + C
For t = 0 , yl^0 h = 1 = C2 - C2
0.2t
or C 2 = 1 + C1 = 2 xe0.2t = 10 ;e E + C
Hence, y ^ t h = ^1 + 2t h e-t 0.2
xe0.2t = 10 # 10e0.2t + C
2
S44 Correct option is (B).
f ^x h = e-x ^x2 + x + 1h x ^ t h = 50 + Ce-0.2t
f l^x h =- e-x ^x2 + x + 1h + e-x ^2x + 1h At t = 0 , x ^0 h = 50 + Ce0 = 1
= e-x 6- x2 - x - 1 + 2x + 1@ 50 + C = 1
= e-x 6- x2 + x @ C =- 49
= ^e-x h^x h^1 - x h Hence, x ^ t h = 50 - 49e-0.2t
f l^x h = 0 , x = 0 , 1
This condition is satisfied by the graph shown in option(B). S47 Correct answer is 3.
We solve the given integral as
12 cos 2pt sin 4pt dt
#
3
S45 Correct answer is 1.5. I =
-3 4pt
Let X be random variable which denote number of tosses
= 12 2 cos 2pt sin 4pt dt
#
3
to get two heads. 4p - 3 t
P ^X = 2h = HH = 1 # 1 sin 6ptdt + 3 sin 2ptdt
= 3; # # E
3
2 2
p 0 t 0 t
P ^X = 3h = THH = 1 # 1 # 1 Since, sin A - cos B = sin ^A + B h + sin ^A - B h, so we can
2 2 2
rewrite the integral as
P ^X = 4h = TTHH = b 1 l
4

I = 3 < e qt 6 sin 6pt dt +


3 qt sin 2pt
2 # # dtF
3
e
p 0 t t
So, E ^X h = SXP ^X h 0

The integral can be considered as the Laplace transform


= ^2 hb 1 l + 3 b 1 l + 4 b 1 l .....
2 3 4

2 2 2 with s = 0 , i.e.
Again, let s = ^2 hb 1 l + 3 b 1 l + 4 b 1 l .....
2 3 4
(1) I = 3 ;L & sin 6pt 0 + L & sin 2pt 0E
2 2 2 p t t
I = 3< 6p 2p dsF with s = 0
# #
3

2 ^ h 2
s = 2 b 1 l3 + 3 b 1 l4 + 4 b 1 l5 .....
3

(2) or ds +
2 2 p s s2 + 36p2 s s + 4p2
2

3
Subtracting equation (2) from (1), = 3 =6p $ 1 tan-1 d s n + 2p$ 1 tan-1 c s m H
p 6p 6p 2p 2p s
2 6 @ 2 ^ h
s = 2 b 1 l2 + b 1 l3 3 - 2 + b 1 l4 4 - 3 .....
2 2 with s = 0
s = 2 b 1 l2 + b 1 l3 + b 1 l4 + b 1 l5 .....
2 2 2 2 2 = 3 =tan-1 3 - tan-1 d s n + tan-1 ^3h - tan-1 c s mF
3 4 5
p 6p 2p
s - 1 = b 1 l + b 1 l + b 1 l .....
2 2 2 2 2 = 3 < p - tan-1 0 + p - tan-1 0F
p 2 2
s - 1 = _ 2 i = b 1 l2
1 3

2 2 1 - 12 2 = 3 < p - 0 + p - 0F = 3 # p = 3
p 2 2 p
s =1+1
2 2 4 S48 Correct answer is 0.5.
1 Re ^z h dz
#
2p j C
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 24 Engineering Mathematics Chapter 2

jq
f l (5) = 3 (5) 2 - 10 (5) + 6 = 31
Let z =e
So, x1 = 5 - 22 = 5 - 0.7097
dz = je jq dq 31
Taking limit from 0 to 2p = 4.2903
= 1 o Re ^e jq h je jq dq
2p

2pj 0 #
S51 Correct answer is 0.856.
2pj 0 ^
cos2 q + j sin q cos qh dq
2p
= 1 # Given
d2 x (t)
+3
dx (t)
+ 2x (t) = 0
dt 2 dt
2p 2p
= 1 < cos2 dq + j sin q cos qdqF
# # where x (0) = 20 , x (1) = 10 , e = 2.718
2p 0 0 e

2p 6
= 1 n - 0@ = = 0.5
1
This is homogeneous equation. So particular solution is
2
zero. We obtain auxiliary equation as
S49 Correct answer is 6. m2 + 3m + 2 = 0
We have m =- 1, - 2
Probability of getting 3 = 16 x (t) = Ae-t + Be-2t
Probability of not getting 3 = 1 - 16 = 56 x (0) = A + B = 20 (1)
Now, the random variable X represents the number of -1
x (1) = Ae + Be =-2 10 (2)
e
throws required for getting 3. So,
X = 1, P (x = 1) = 1 Solving equations (1) and (2),
6 10e - 20
A = b e - 1 l, B = 10e
e-1
X = 2 , P (x = 2) = 5 # 1
6 6 10e - 20 10e
x (t) = b e - 1 l e-t + b e - 1 l e-2t
X = 3 , P (x = 3) = 5 # 5 # 1
6 6 6
Put t = 2
X = 4 , P (x = 4) = 5 # 5 # 5 # 1 10e - 20 10e
x (2) = b e - 1 l e-2 + b e - 1 l e-4
6 6 6 6
E 6X @ = xP (x)
/ x (2) = 0.8556
1 5 1 5 2 1 5 3 1
= (1) b 6 l + (2) b 6 lb 6 l + 3 b 6 l b 6 l + 4 b 6 l b 6 l .....
S52 Correct option is (D).
5 5 2 5 3
= 1 ;1 + 2 b 6 l + 3 b 6 l + 4 b 6 l .......E Let the matrices
6
m1 m 2
M =>
m 3 m 4H
5 5 2 5 3
Let S = 1 + 2 b 6 l + 3 b 6 l + 4 b 6 l (1)
n1 n 2
N =>
n 3 n 4H
5 5 2 5 3 5 4
b 6 lS = 5 + 2b 6 l + 3b 6 l + 4b 6 l (2)
6
Equation (1)-(2), Now, we check the given properties in options for the two
5 5 2 5 3 matrices.
S - 5 S = 1 + b 6 l (2 - 1) + b 6 l + (3 - 2)+b 6 l (4 - 3)..... Option (A) :
6
5 = 1 + 5 + b 5 l2 + b 5 l3 ...... m1 m 2 T m1 m 3
MT = > H =>
6 6 6 6 m3 m4 m2 m 4H
5 = 1 = 6 or S = 36 m1 m 3 T m1 m 2
6 1 - 56 So, ^M h = >m m H = >m m H
T T
2 4 3 4
Hence, the expected value of X is =M
E 6x @ = 36 = 6 i.e. property holds always
6
Option (B) :
S50 Correct answer is 4.29. m1 m 2 Cm1 Cm2
CM = C > H =>
f (x) = x3 - 5x2 + 6x - 8 m3 m4 Cm 3 Cm 4H
x0 = 5 (initial point) Option (C) :
2
f (x) = 3x - 10x + 6
l m1 m 2 n1 n 2 m1 + n1 m 2 + n 2
M+N => H +> H =>
By Newton-Raphson method, m3 m4 n3 n4 m 3 + n 3 m 4 + n 4H
f (x 0) f (5)
x1 = x 0 - = 5- m1 m 2 T n1 n 2 T m1 m 3 n1 n 3
f l (x 0) f l (5)
M +N =>
m 3 m 4H
+>
n 3 n 4H
=>
m2 m 4H >n2 n 4H
T T
+
So, f (5) = (5) 3 - 5 (5) 2 + 6 (5) - 8 = 22
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 2 Engineering Mathematics Page 25

m1 + n1 m 3 + n 3 l2 = 1
=>
m2 + n2 m 4 + n 4H l =! 1
Hence, the positive eigen value is
= ^M + N hT
l=1
i.e. the property holds always.
Option (D) : S56 Correct answer is 0.33.
m1 m 2 n1 n 2
MN = >
m 3 m 4H>n 3 n 4H
Given that three random variables X1 , X2 , and X 3 are
uniformly distributed on 60, 1@. So, we have following
m1 n1 + m 2 n 3 m1 n 2 + m 2 n 4
=>
m 3 n1 + m 4 n 3 m 3 n2 + m 4 n 4H
possible values
X1 > X 2 > X 3
n1 n 2 m1 m 2 X1 > X 3 > X 2
NM = >
n 3 n 4H>m 3 m 4H X 2 > X1 > X 3
n1 m1 + n 2 m 3 n1 m 2 + n 2 m 4 X 2 > X 3 > X1
=>
n 3 m1 + n 4 m 3 n 3 m2 + n 4 m 4H X 3 > X1 > X 2
MN ! NM X 3 > X 2 > X1
i.e. property does not hold always. Since, all the three variables are identical, the probabilities
for all the above inequalities are same. Hence, the
S53 Correct answer is 0.67 probability that X1 is the largest is the largest is
Let one child family = x P "X1 is the largest, = 2 = 1 = 0.33
So, Two children family = x 6 3
Therefore, the total number of children in the society is S57 Correct answer is 200.
x + 2x = 3x Given the determinent of matrix A and B as | A | = 5 ,
The number of children having sibling is 2x . | B | = 40 . From the property of matrix, we have
Thus, the probability that a child picked at random, has | AB | = | A || B | = 5 # 40 = 200
a sibling is
P = 2x = 2 = 0.67 S58 Correct answer is 50.
3x 3
Given that the random variable X is uniformly chosen
S54 Correct option is (C). from the set of positive odd number less than 100. So, we
Given the closed path, have
z =3 X = 1, 3, 5, 7.....99
z 2 - z + 4j
Integral, f ^z h = So, the total number of values in set of X is
z + 2j
n = 50
So, we have the singularity Since, X is uniformly chosen from the set of numbers. So,
z + 2j = 0 the probability density function for each value of X is
or z =- 2j
Since, z =- 2j lies inside z = 3 . Therefore, using cauchy’s fX (x ) = 1 = 1
n 50
integral, we get Hence, we obtain the expected value of X as
# z2 - z + 4j
z + 2j
= 2pj 6Sum of residue of z =- 2j @ /
E [X ] = XfX (x )

= 2pj 9 lim ^z2 - z + 4j hC = 1 + 3 + 5 + .... + 99


50 50 50 50
z "- 2j

= 2pj 8^- 2j h2 - ^- 2j h + 4j B = 1 (1 + 3 + 5 + ... + 99)


= 2pj 6- 4 + 2j + 4j @ 50
=- 4p ^3 + j2h = 1 :50 "2 # 1 + (50 - 1) 2,D
50 2
S55 Correct answer is 1. = 1 (2 + 49 # 2) = 50
2
Given A2 = I
where A is a real ^4 # 4h matrix. S59 Correct option is (A).
So, we have A = A-1 Given the function,
Let l be an eigen value of A. So 1/l will be an eigen value f (f ) = e-t - 2e-2t
of A-1 . Since, the matrices A and A-1 are equal, therefore For maximum value of the function we have
l=1 f l (t ) = 0
l d
& (e - 2e-2t) = 0
-t
dt
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 26 Engineering Mathematics Chapter 2

So, d 6f (x)@ = 1 - 1 = 1 + x - 1
& - e-t + 4e-2t = 0 dx x+1 x+1
& t = log e 4 To obtain the point of maxima, we put
Now, we check this value for point of maxima. We have d 6f (x)@ = 0
f ll (t ) = d (- e-t + 4e-2t) = e-t - 8e-2t dx
dt
or = -x = 0
Substituting t = log e 4 in above expression, x+1
f ll (t = log e 4) = e- log 4 - 8e-2 log 4
e e
Hence, x =0
1 2
= 1 - 8b 4 l = 1 - 1 = - 1 < 0
4 4 2 4 S63 Correct option is (A)
Hence, t = log e 4 is the point of maxima. Consider a linear differential equation of form,
dy
+ f (x) y = g (x)
S60 Correct option is (C). dx
The exponential function arises whenever a quantity The differential equation is homogenous, if
grows or decays at a rate proportional to its current value. g (x) = 0
Bernouli defined the exponential function as Given differential equations in option (A),
1 n 1 x dy
e= / b1 + n l or e = lim b1 + x l dx
+ xy = e-x
n"3
n"3
This is linear and also have
Alternative g (x) ! 0
The function given is a standard exponential term, however So, the differential equation given in option (A) is linear
we can also prove it by using Taylor’s series. Let and non-homogenous.
1 x
y = b1 + x l
S64 Correct option is (B)
Taking logarithm both the sides,
1 x S65
log e y = log e :b1 + x l D
Correct option is (C).
P (4th head at 10th toss)
1
& log e y = x log e b1 + x l = P (getting 3 heads in first 9 tosses)
# P (getting a head in 10th toss)
1 1 1 = 9 C 3 p3 q6 # p
& log e y = x :x - 2x2 + 3x3 - ...D
where p is the probability of getting a head in a toss, i.e.
& log e y = 1 - 1 + 1 2 - .... p=1
2x 3x 2
1 1 - ...
& y = ec1 - 2x + 3x2 m and q is probability of getting a tail, in a toss, i.e.
q =1
2
lim y = lim 8ec1 - 2x + 3x B
1 1 - ....
& 2 m
x"3 x"3
Hence, we get
1 x
& lim b1 + x l = e1 = e P (4th head at 10th toss)
x"3 3 6
= 9 C3 b 1 l b 1 l # b 1 l
S61 Correct option is (A). 2 2 2
10
Given the differential equation, = 9! # b 1 l = 0.082
d2 y dy 3! 6! 2
+ 2a + y = 0
dx2 dx S66 Correct option is (C)
or D 2 + 2a D + 1 = 0 Given Z = xy ln (xy)
The above differential equation has the equal roots if its So, 2 z = y ln (xy) + y = y [ln xy + 1]
discriminant is zero, i.e. 2x
(2a) 2 - 4 = 0 and 2x = x [ln xy + 1]
& a =! 1 2y
Hence, we get
2z
S62 Correct answer is 0. y
2x
=
Given function,
2z
2y
x
f (x) = ln (1 + x) - x
x2z = y2z
2x 2y
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 2 Engineering Mathematics Page 27

S67 Correct option is (). S71 Correct answer is 3.


The exponential series is defined as Given function,
xn f ^x, y h = 1 ^x2 y + xy2h
2 2 3
ex = 1 + x + 2 + x or ex = /
2! 3! n=0
n! 2
Substituting x = 1 in above equation, we get So, the gradient of the function is
df = 1 8^2xy + y2h atx + ^2xy + z2h atyB
3
1
e= /n ! 2
n=0
At P ^1, 2h, we have
S68 Correct answer is 7.
Given function, df = 1 63atz + 3aty@
2
f = x2 + 3y2 + z3
Now, unit vector making an angle p with y -axis will be
So, we obtain the gradient as 4
2f t 2f t 2f t
df = a + a +
2x x 2y y 2z z
a rt = 1 ^axt + ayth
2
df = 2xatx + 6yaty + 3z2 atz Hence, the directional derivation is
At P ^1, 1, 1h, we obtain = df $ rt
df = 2atx + 6aty + 3atz
= 1 ^3atx + 3aty h $ 1 ^atx + aty h = 6 = 3
Hence, df = 22 + 62 + 32 = 7 2 2 2
S72 Correct option is (A).
S69 Correct answer is 0.797.
Given the function
The PDF of Gaussian random variable is f ^x h = 3 sin x + 2 cos x ...(i)
f X ^x h = 1 e-x /2
2
( s = 1, m = 0 ) Now, we have the Taylor’s expansion for the trigonometric
2p
function as
So, we obtain the expected value 3 5

E8 x B x fX ^x h dx sin x = x - x + x - ...........
#
3
3! 5!
-3
2 4
Since, x = even function cos x = 1 - x + x - ........
2! 4!
and fX ^x h = even function
Substituting it in equation (1), we get
E8 x B = 2 # x 1 e-x /2 dx
3 2
f ^x h = 3 c x - x + .....m + 2 b1 - x + ......l
3 2
So,
0 2p 3! 2!
3
2
# = 3x - x + 2 - x2 + ......
3 2
= xe-x /2 2
2p 0
3
Let x2 = z = 2 + 3x - x2 - x + .....
2 2
So, xdx = dz
Therefore, the expected value is S73 Correct option is (B).
E8 x B = 2 # Given the relations
3-z
e dz
2p 0 # g ^ t h e-jwt dt = we-2w
3 2
...(1)
E8 x B = 2 = 0.797 -3
p
y^t h = g ^t h dt
t
#
-3
...(2)
S70 Correct option is (B).
Given differential equation, The Fourier transformation of f ^ t h is defined as
F ^ jwh = f ^ t h e-jwt dt
#
3
d2 x + 2 dx + x = 0
-3
dt2 dt So, we may write
G ^ jwh = g ^ t h e-jwt dt
#
3
Characteristic equation will be
-3
D2 + 2D + 1 = 0 -2w2
= we [From eq (1)]
^D + 1h2 = 0 Now, from equation (2), we have
D =- 1, - 1
y^t h = g ^t h dt
t

Here, we have the repeated solution. So, the general


# -3

= # g ^t h u ^t - t h dt
3
solution of the differential equation is
x ^ t h = ae-t + bte-t -3

= g^t h * u^t h
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 28 Engineering Mathematics Chapter 2

where u ^ t h is unit step function. Taking Fourier transform


R V
S1 0 0 0 0 0W
both the sides, we have S0 1 0 0 0 0W
S0 0 1 0 0 0W
Y ^ jwh = G ^ jwhb 1 l
jw I6 = S W
S0 0 0 1 0 0W
= we-2w 1 S0 0 0 0 1 0W
2

jw S W
2 S0 0 0 0 0 1W
=- je-2w T
Therefore, we obtain the matrix P asX
Since, we have R V
S 1 0 0 0 0 aW
Y ^ jwh = # y ^ t h e-jwt dt
3

-3
S 0 1 0 0 a 0W
So, we obtain S 0 0 1 a 0 0W
#
3
y ^ t h dt = lim Y ^ jwh P = I 6 + aJ 6 = S W
-3 w"0
S 0 0 a 1 0 0W
S 0 a 0 0 1 0W
= lim 6- je-2w @
2
S W
w"0 Sa 0 0 0 0 1W
=- j T
Hence, the determinant of matrix is X
det ^P h = 1 - a 6

S74 Correct answer is 864. Given that the determinant of P is zero. So, we get
We have the triangular surface in x -y plane as shown in 1 - a6 = 0
figure below. a =! 1
Since, a is non-negative real number. Hence,
a=1

S76 Correct answer is 2.


For a fair coin, the probability of getting a head or tail is
same, i.e.
P (Head) = P ^Tailh = L
2
Now, L is the number of tosses to get first head. So, we
have the probability for different values of L as
Also, we have the surface L = 1, p1 = 1
Z ^x, y h = x + y 2
p2 = 1 # 1 = b 1 l
2
So, the volume under the surface and above the triangular L = 2,
2 2 2
surface is given as
p 3 = 1 # 1 # 1 = b 1 l and so on
3
Z ^x, y h dxdy
12
V = # #
x
L = 3,
2 2 2 2
0 0
Therefore, the entropy is given as
^x + y h dydx
12 x
= # #
H ^L h =
3
0 0
/ pL log 2 1
12 y2 x pL
# ;xy + 2 E dx
L=0
=
= 1 + b 1 l log 2 22 + b 1 l log 2 23 + .....
2 3
0 0
2 2 log 2 2 2 2
12
x
# :x - 2 - 0D dx
2
=
= 1 + 2 b 1 l + 3 b 1 l + .......
2 3
0
3 12
2 2 2
= 3 :x D = 3 :1728 - 0D = 864 Multiplying the above expression by 12 , we have
2 3 0 2 3
H ^L h
= b 1 l + 2 b 1 l + ......
2 3
...(2)
2 2 2
S75 Correct answer is 1.
Given the matrix Substituting equation (2) from equation (1), we get
R V H ^L h 1
H ^L h - = + b 1 l + b 1 l + .......
2 3
S0 0 0 0 0 1W
2 2 2 2
S0 0 0 0 1 0W
S
0 0 0 1 0 0W
W H ^L h 1/2
=
J 6 = SS 2 1 - 1/2
0 0 1 0 0 0W
S0 1 0 0 0 0WW H ^L h = 2
S
S1 0 0 0 0 0W
T
So, the identity matrix I 6 is given asX
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 2 Engineering Mathematics Page 29

S77 Correct option is (B). 2v = e-y cos x + fl (x )


Given the system of linear equation. 2x
R VR V R V 2u =- e-y cos x
S2 1 3WSa W S 5 W and
S3 0 1WSb W = S- 4W 2y
SS1 2 5WWSSc WW SS 14 WW Substituting it in equation (2), we get
ComparingT it to Xgeneral
T X T form X of equation - e-y cos x =-6e-y cos x + fl (x )@
[A ] [X ] = [B ] fl (x ) = 0
We have Hence, the imaginary part of f (z ) is
R V v = e-y sin x
S2 1 3 5 W" R1
[A/B ] = S3 0 1 - 4W" R2
S W S79 Correct answer is 49.
S1 2 5 14 W" R 3
T X Let the 2 # 2 symmetric matrix be
To make zero the first term of R2 and R 3 using R1 , we a b
perform A => H
b c
R2 " 3R1 - 2R2
Given that the trace of matrix is 14, i.e.
R 3 " R1 - 2R 3
a + c = 14
So, we get
R V The determinant of matrix is given as
S2 1 3 5 W | A | = ac - b2
8A BB = S0 3 7 23 W For maximum value of | A |, b2 must be minimum. Since, b2
S W
S0 - 3 1 - 23W
T X is squared term (non-negative number) so, the minimum
Again, to make zero, the second term of R 3 , we perform value is
R3 " R3 + R2 b2 = 0
Hence, we get Therefore, we have (for maximum determinant)
R V
S2 1 3 5 W | A | = ac = a (14 - a) = 14a - a2
8A BB = S0 3 7 23W For maximum value of | A |, we may write
S W d|A|
S0 0 0 0 W =0
T X da
So, we have the rank of matrices, 14 - 2a = 0
Rank "6A@, = Rank $8A BB. < number of variables
&
& a = 7, c = 7
Thus, the system has infinite number of solution. Hence, the maximum determinant is
| A | = ac = 7 # 7 = 49
S78 Correct option is (B).
The analytic function is defined as S80 Correct answer is 3.
f (z ) = f (x + jy) = u + iv Given the vector field,
where v is the real part and v is imaginary part of f (z ) rv = xatx + yaty + zatz
that satisfies C-R equations, i.e. So, its magnitude is given as
2u = 2v ...(i) | rv | = r = x2 + y2 + z2
2x 2y
Therefore, we obtain
2u =-2v
2y 2x
...(ii) 4 (ln r) = 1 4 (r )
r
Given that real part of f (z ) is 2r 2r 2r
u = e-y cos (x ) = 1 ;2x atx + 2y aty + 2z atzE
r
So, we get 2y
2u = e-y (- sin x) =- e-y sin x = 1= 2x at + at +
r 2 x2 + y2 + z2 x 2 x2 + y2 + z2 y
2x
Substituting is in equation (1), we have + 2z atzG
2v =- e-y sin x 2 x2 + y2 + z2
2y xatx + yaty + zatz
= 1: D = r2
v
r r
& # -y
v =- e sin xdy + f (x ) So, we have
r

r2 4 (ln r) = r2 r2 = rv
-y v
= e sin x + f (x )
r
where f (x ) is a function of x . Hence, we get
Again, we obtain div ^r2 4 (ln r)h = div (rv)
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 30 Engineering Mathematics Chapter 2

= 2x+ 2y+ 2z = 1+1+1 point, but we have to determine the maximum value of
2x 2y 2z the function.
=3 At x = 1 f ^x h = 2 ^1 h3 - 9 ^1 h2 + 12 ^1 h - 3
= 2 - 9 + 12 - 3 = 2
S81 Correct answer is 0.2. At x = 2 , f ^x h = 2 ^2 h3 - 9 ^2 h3 + 12 ^2 h - 3
3
= 16 - 36 + 24 - 3
Given the integral, # sin c (5t) dt
2
=1
Let the function -3
So, x = 2 is point of local minima, after which function
x (t ) = sin C (5t) = sin 5pt will be increasing. As boundary, we have
5pt
At x = 3 f ^x h 2 ^3h3 - 9 ^3h2 + 12 ^3h - 3
Now, we have the fourier transform pair = 54 - 81 + 36 - 3
sin wt * X (jw) = 1, | w | < W
pt * = 90 - 84 = 6
0, | w | > W Hence, this will be the maxima value in the given interval.
So, for the signal x (t ) = sin (5t), we get
1 , | w | < 5p S83 Correct option is (B).
X (jw) = * 5
We consider the statement given in option (B).
0, | w | > 5p
If A is real symmetric, the eigen value or A are always
1/5, | f | < 2.5
or X (f ) = * real and positive.
0 , | f | > 2.5 Now, we take an example of real symmetric matrix,
The signal in the frequency domain can be represented as -3 0
A =>
0 4H
Here, the eigen values are - 3 and 4. These are real but
not positive. Hence, the given statement in option (B) is
not true.

S84 Correct answer is 3.


Let x denote the number of losses required to get the
desired event.
From Parsevall’s Theorem, we have For x = 2 , the events may be
3 3
"HT, TH ,
/ x2 (t ) dt = / X (f ) df2
So, the probability is
-3 -3
Hence, we get P ^x = 2h = 1 # 1 + 1 # 1 = 1
1 2 2 2 2 2 2
b 5 l df
2.5
# #
3
sin2 (5t) dt =
-3 -2.5 For x = 3 , the events may be
"HHT, TTH ,
= 1 # (2.5 + 2.5) = 1
P ^x = 3h = b 1 l + b 1 l = 1
3 3
25 5 So,
2 2 4
= 0.2
Again, for x = 4 , the events may be
S82 Correct answer is 6. "HHHT, TTTH ,
Given function,
P ^x = 4h = b 1 l + b 1 l = 1
4 4
So,
f ^x h = 2x3 - 9x2 + 12x - 3 2 2 8
where 0<x<3 So, the probability density function for x is given by
To obtain the point of maxima, we put x-1
fX ^x h = b 1 l
f l^x h = 0 (1) 2
Since, f l_x i = ^2x - 9x + 12x - 3h
d 3 2
Hence, the average value of x is obtained as
dx
E ^x h = / x fx ^x h
3

= 6x2 - 18x + 12
x=2
Substituting in equation (1), 2 3

6x2 - 18x + 12 = 0 = 2 b 1 l + 3 b 1 l + 4 b 1 l + ... (1)


2 2 2
^x - 2h^x - 1h = 0
2 ^ h
2 3

or x = 1, 2 So, b2l b2l


1 E x = 2 1 + 3 1 + ... (2)
These values may be the maximum or minimum turning Subtracting equation (2) from equation (1),
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 2 Engineering Mathematics Page 31

E ^x h - 1 E ^x h = 2 b 1 l + b 1 l + b 1 l + ...
2 3

2 2 2 2 Again, taking inverse Laplace transform, we have


y = e-2x + 3xe-2x
2 ^ h 2 ;2 b 2 l b 2 l
1 E x = 1 + 1 + 1 + 1 3 + ...
2
E At x = 1, we get
1 y = e-2 + 3e-2 = 4e-2 = 0.541
= 1+ 2 1 = 1+1 = 3
2 1- 2 2 2
Hence, E ^x h = 3 S87 Correct answer is 0.444.
Thus, the average number of tosses required is 3. Given that each post-office has a probability 1/5 of losing
an incoming parcel, i.e.
S85 Correct answer is 0.166. P1, lost = P2,lost = 1
5
X1 , X2 , X 3 , are identically distributed random variable
with the uniform distribution 60, 1@. So, we have So, the probability that the parcel is not being lost is
1 0<x<1 P1, not lost = P2, not lost = 1 - 1 = 4
fX ^x1h = fX ^x2h = fX ^x 3h * 5 5
1 2
0 otherwise
3

Let Y = X1 + X 2 Hence, we obtain the probability that a parcel is lost as


So, the PDF of Y is given by P ^parcel losth = P1, lost + P1, not lost # P2, lost
Y = fX ^x1h * fX ^x2h
=1+1#4 = 9
1 2

The PDF is plotted in the graph below. 5 5 5 25


Hence, for the lost parcel, the probability that it was lost
by the second post-office is
lost by 2nd post office
Pd n
lost parcel
P[^lost by 2nd post officeh + ^parcel losth]
=
P ^parcel losth
The PDF fX ^x 3h is plotted below. 1
# 45
= 4 = 0.444
3

= 5
9
25
9
S88 Correct option is (D).
Given unilateral Laplace transform,
f ^ t h * F ^s h = 2 1
s +s+1
From the transformation property, we have
So, the desired probability is obtained as
g ^ t h = tf ^ t h * - d F ^s h
P "X1 + X2 < X 3, = P #Y < X 3- ds
Hence, we get
# # ]Y g^1hdxdy
x 1
= 2s + 1
g^t h *
^s + s + 1h
0 0 2 2
1 2
x dx = ;x3 E1 = 1 = 0.166
= #
0 2 6 0 6 S89 Correct option is (C).
We draw the right angled triangle as
S86 Correct answer is 0.541.
Given differential equation,
d 2 y 4dy
+ + 4y = 0
dx2 dx
and the initial value is
y ^0 h = yl^0 h = 1
Taking Laplace transform of the given differential equation,
we get
8s2 Y ^s h + 4sY ^s h + 4Y ^s hB - sy ^0 h - yl^0 h - 4y ^0 h = 0
^s2 + 4s + 4h Y ^s h = ^s + 5h Given h+b = c (1)
Hence, we get the output response, where c is a constant. Also, we have
^s + 5h ^s + 5h p2 + b2 = h2 (2)
Y ^s h = 2 =
^s + 4s + 4h ^s + 2h2 Area of the triangle is given by
= 1 + 3 A = 1 pb = 1 ^ h2 - b2 h^b h
2 2
^s + 2h ^s + 2h2
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 32 Engineering Mathematics Chapter 2

= 1 8^c - b h2 - b2B $ b 3 5 2
2
= 5 12 7
= 1 b2 8^c - b h2 - b2B 2 7 5
2
For A to be maximum, b2 8^c - b h2 - b2B should be maximum. = 3 ^60 - 49h - 5 ^25 - 14h + 2 ^35 - 24h
Now, we assume = 33 - 55 + 22
s = b2 8^c - b h2 - b2B =0
= b2 ^c2 - 2cb h = b2 c2 - 2cb3 Hence, it satisfied the characteristic equation and so, the
To obtain the point of maxima, minimum eigen value is
ds = 0 l=0
db
2bc2 - 2b2 # 3c = 0
c = 3b
Get More Study Material
For this value, area of triangle will be maximum.
Substituting it in equation (1), we get
by Whatsapp. Send
or
h+b = c
h + b = 3b
Your College Pin Code,
or h = 2b
Hence, to have maximum area of the triangle, the angle
Branch and BTech year to
between the hypotenuse and the side is given by
cos q = b = b = 1
9414243489 by whatsapp
h 2b 2
S92 Option (D) is correct.
or q = 60c
Given, the polynomial
S90 Option (B) is correct. f ^x h = a 4 x 4 + a 3 x3 + a2 x2 + a1 x - a 0
Here, as we know Since, all the coefficients are positive so, the roots of
Lim sin q . 0 equation is given by
q"0 f ^x h = 0
but for 10% error, we can check option (B) first, It will have at least one pole in right hand plane as there
q = 18c = 18c # p = 0.314 will be least one sign change from ^a1h to ^a 0h in the Routh
180c
matrix 1 st column. Also, there will be a corresponding
sin q = sin 18c = 0.309 pole in left hand plane
% error = 0.314 - 0.309 # 100% = 0.49% i.e.; at least one positive root (in R.H.P)
0.309
Now, we check it for q = 50c and at least one negative root (in L.H.P)
Rest of the roots will be either on imaginary axis or in
q = 50c = 50c # p = 0.873
180c L.H.P
sin q = sin 50c = 0.77
% error = 0.77 - 0.873 =- 12.25%
0.873
Get More Study Material
so, the error is more than 10% . Hence, for error less than
10%, q = 18c can have the approximation
by Whatsapp. Send
sin q . q Your College Pin Code,
S91 Option (A) is correct.
For, a given matrix 6A@ the eigen value is calculated as
Branch and BTech year to
A - lI = 0
where l gives the eigen values of matrix. Here, the
9414243489 by whatsapp
minimum eigen value among the given options is S93 Option (B) is correct.
l=0
Consider the given matrix be
We check the characteristic equation of matrix for this R V
S2 1 1 1W
eigen value S1 2 1 1W
A - lI = A (for l = 0 ) Im + AB = S
S1 1 2 1WW
S1 1 1 2W
T X
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 2 Engineering Mathematics Page 33

S95 Option (C) is correct.


where m = 4 so, we obtain
R V R V f (z) = 1 - 2
S2 1 1 1W S1 0 0 0W z+1 z+3
S1 2 1 1W S0 1 0 0W 1
AB = S W-S W 2p j # f (z) dz = sum of the residues of the poles
S1 1 2 1W S0 0 1 0W
C
which lie inside the given closed region.
S1 1 1 2W S0 0 0 1W C & z+1 = 1
TR VX TR V X Only pole z =- 1 inside the circle, so residue at z =- 1 is.
S1 1 1 1W S1W -z + 1
S1 1 1 1W S1W f (z) =
=S W = S W 61 1 1 1@ (z + 1) (z + 3)
S1 1 1 1W S1W (z + 1) (- z + 1) 2
S1 1 1 1W S1W = lim = =1
z "- 1 (z + 1) (z + 3) 2
T X T X
1
Hence, we get
R V So
2p j C #
f (z) dz = 1
S1W
S1W
A = S W, B = 81 1 1 1B S96 Option (A) is correct.
S1W
S1W x = - 1 = i = cos p + i sin p
2 2
T X R V
Therefore, BA = 81 1 1 1B S1W So,
p
x = ei 2
xx = ^ei 2 h & ^ei 2 h = e- 2
S1W p x p i p
S1W
S W
S1W S97 Option (D) is correct.
=4 T X d 2 y (t) 2dy (t)
From the given property + + y (t) = d (t)
dt 2 dt
Det ^Im + AB h = Det ^Im + BAh
R V ZR V _ By taking Laplace transform with initial conditions
S2 1 1 1W ]S1 0 0 0W b ;s Y (s) - sy (0) - dt
2 dy
E + 2 [sy (s) - y (0)] + Y (s) = 1
S1 2 1 1W ]S0 1 0 0W b t=0
Det S = Det [S + 4`
6s Y (s) + 2s - 0@ + 2 6sY (s) + 2@ + Y (s) = 1
& W W 2
S1 1 2 1W ]S
0 0 1 0W
b
&
S1 1 1 2W ]S0 0 0 1W b Y (s) [s2 + 2s + 1] = 1 - 2s - 4
T X
= 1+4
\T X a Y (s) = 2- 2s - 3
s + 2s + 1
=5 We know that, If, y (t)
L
Y (s)
Note : Determinant of identity matrix is always 1.
dy (t) L
then, sY (s) - y (0)
S94 Option (D) is correct. dt
t dx + x = t (- 2s - 3) s
dt So, sY (s) - y (0) = 2 +2
(s + 2s + 1)
dx + x = 1 2 2
dt t = - 2s - 32 s + 2s + 4s + 2
(s + 2s + 1)
dx + Px = Q (General form) s + 2 s+1 + 1
dt sY (s) - y (0) = 2 = 2
(s + 1) (s + 1) (s + 1) 2
IF = e # = e = e lnt = t
1

Integrating factor,
= 1 + 1
# dt
Pdt t

Solution has the form, s + 1 (s + 1) 2


x # IF = ^Q # IF h dt + C
# Taking inverse Laplace transform
dy (t)
= e-t u (t) + te-t u (t)
x # t = # (1) (t) dt + C dt
dy
2 At t = 0+ , = e0 + 0 = 1
xt = t + C dt t = 0 +
2
Taking the initial condition, S98 Option (A) is correct.
x (1) = 0.5
Divergence of A in spherical coordinates is given as
0.5 = 1 + C & C = 0
2 d:A = 12 2 (r 2 Ar ) = 12 2 (krn + 2)
2
r 2r r 2r
So, xt = t & x = t k
= 2 (n + 2) rn + 1
2 2
r
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 34 Engineering Mathematics Chapter 2

= k (n + 2) rn - 1 = 0 (given) So, ##s 5rv $ nt ds = ### 15 dv = 15V


n + 2 = 0 & n =- 2
S103 Option (C) is correct.
S99 Option (C) is correct. dy
We have = ky
Probability of appearing a head is 1/2. If the number dx
of required tosses is odd, we have following sequence of Integrating # dy
y
= # k dx + A
events.
H, TTH, TTTTH, ........... or ln y = kx + A
Probability P = 1 + b 1 l + b 1 l + .....
3 5 Since y (0) = c thus ln c = A
2 2 2 So, we get, ln y = kx + ln c
1
or ln y = ln ekx + ln c
P = 2
=2
1 - 14 3 or y = cekx

S100 Option (B) is correct. S104 Option (A) is correct.


f (x) = x3 - 9x2 + 24x + 5 C R Integrals is # - 2
3z + 4 dz where C is circle z = 1
C
z + 4z + 5
df (x) # f (z) dz = 0 if poles are outside C.
= 3x2 - 18x + 24 = 0
dx C
2
df (x) Now z + 4z + 5 = 0
& = x2 - 6x + 8 = 0 x = 4, x = 2
dx (z + 2) 2 + 1 = 0
d 2 f (x) Thus z1, 2 =- 2 ! j & z1, 2 > 1
= 6x - 18
dx 2 So poles are outside the unit circle.
d 2 f (x)
For x = 2, = 12 - 18 =- 6 < 0 S105 Option (C) is correct.
dx2
We have f (x) = x + x - 3 = 0
So at x = 2, f (x) will be maximum
f l (x) = 1 + 1
f (x) = (2) 3 - 9 (2) 2 + 24 (2) + 5 2 x
max
= 8 - 36 + 48 + 5 = 25 Substituting x 0 = 2 we get
f l (x 0) = 1.35355
S101 Option (B) is correct. and f (x 0) = 2 + 2 - 3 = 0.414
Characteristic equation. Newton Raphson Method
A - lI = 0 f (x 0)
x1 = x 0 -
-5 - l -3 f l (x 0)
=0 Substituting all values we have
2 -l
5l + l2 + 6 = 0 x 1 = 2 - 0.414 = 1.694
1.3535
l2 + 5l + 6 = 0
Since characteristic equation satisfies its own matrix, so
S106 Option (B) is correct.
A2 + 5A + 6 = 0 & A2 =- 5A - 6I
Writing A: B we have
Multiplying with A R V
A3 + 5A2 + 6A = 0 S1 1 1 : 6 W
3 S1 4 6 : 20W
A + 5 (- 5A - 6I) + 6A = 0 S W
A3 = 19A + 30I S1 4 l : m W
T X
Apply R 3 " R3 - R2
S102 Option (D) is correct. R V
From Divergence theorem, we have S1 1 1 : 6 W
### 4$ v = #A
v Adv v $ nt ds S1 4 6 : 20 W
s S W
S0 0 l - 6 : m - 20W
The position vector T X
For equation to have solution, rank of A and A: B must be
rv = ^utx x + uty y + utz z h
v = 5rv, thus same. Thus for no solution; l = 6, m ! 20
Here, A
c 2x 2z m ^ h
4$ Av = utx 2 + uty 2 + utz 2 : utx x + uty y + utz z
2y S107 Option (C) is correct.
dy dz Total outcome are 36 out of which favorable outcomes are
= c dx +
dx dy dz m
+ 5 = 3 # 5 = 15
:
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 2 Engineering Mathematics Page 35

= 1 1 + ln x ^- x- x h = 12 - ln2
(1, 2), (1, 3), (1, 4), (1, 5), (1, 6), (2, 3), (2, 4), (2, 5), (2, dy 1
Now 2

6); dx xx x x
(3, 4), (3, 5), (3, 6), (4, 5), (4, 6), (5, 6) which are 15. For maxima and minima :
dy
Thus P (E) = No. of favourable outcomes = 12 (1 - ln x) = 0
No. of total outcomes dx x
= 15 = 5 ln x = 1 " x = e 1
36 12 d 2y 2 2 1 1
Now 2 =- 3 - ln x b- 3 l - 2 b x l
S108 Option (C) is correct. dx x x x
Eigen value of a Skew-symmetric matrix are either zero or 2
=- 2 + 2 ln x - 31
x x3 x
pure imaginary in conjugate pairs.
d 2x - 2 2
= 2 + 3- 3<0 1
dy 2 at x = e e e e
S109 Option (C) is correct.
1

1
So, y has a maximum at x = e
For a function x (t) trigonometric fourier series is
3
x (t) = Ao + / [An cos nwt + Bn sin nwt] S112 Option (D) is correct.
n=1
According to given condition head should comes 3 times
Where, Ao = 1 # x (t) dt T0 " fundamental period
T0 T or 4 times
0
P (Heads comes 3 times or 4 times)
An = 2 # x (t) cos nwt dt = 4C 4 b 1 l + 4C 3 b 1 l b 1 l
4 3
T0 T 2 2 2
0

= 1: 1 +4:1 :1 = 5
Bn = 2 # x (t) sin nwt dt 16 8 2 16
T0 T
0

For an even function x (t), Bn = 0 S113 Option (C) is correct.


Since given function is even function so coefficient Bn = 0 Av = xyatx + x 2 aty
, only cosine and constant terms are present in its fourier v = dxatx + dyaty
dl
series representation.
Constant term : # Av : dl
v = # (xyatx + x 2 aty) : (dxatx + dyaty)
A0 = 1 # x (t) dt = 1 : # Adt + # - 2AdtD
3T/4 T/4 3T/4 C C
T -T/4 T -T/4 T/4 = # (xydx + x 2 dy)
C
= 1 :TA - 2AT D =- A = #1/
2/ 3
xdx +
1/ 3
#2/ 3xdx + #1
3 4 dy + #3
1 1 dy
T 2 2 2 3 3 3 3
Constant term is negative.
= 1 : 4 - 1 D + 3 :1 - 4 D + 4 [3 - 1] + 1 [1 - 3]
2 3 3 2 3 3 3 3
S110 Option (D) is correct. =1
Given differential equation
d 2 n (x) n (x) S114 Option (C) is correct.
- 2 =0
dx 2 L Given function
Let n (x) = Aelx X (z ) = 1 - 2z
lx
z (z - 1) (z - 2)
So, Al2 elx - Ae2 = 0
L Poles are located at z = 0, z = 1, and z = 2
l - 12 = 0 & l = ! 1
2
At Z = 0 residues is
L L
R 0 = z : X (z) Z = 0
Boundary condition, n (3) = 0 so take l =- 1
L 1-2#0 = 1
=
-x (0 - 1) (0 - 2) 2
n (x) = Ae L
0
n (0) = Ae = K & A = K at z = 1, R1 = (Z - 1) : X (Z ) Z = 1
So, n (x) = Ke- (x/L)
= 1-2#1 = 1
1 (1 - 2)
S111 Option (A) is correct. At z = 2 , R2 = (z - 2) : X (z) z = 2
1
Given that ey =xx
or ln ey
1
= ln x x = 1 - 2 # 2 =- 3
2 (2 - 1) 2
or y = 1 ln x
x S115 Option (B) is correct.
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 36 Engineering Mathematics Chapter 2

Taking step size h = 0.1, y (0) = 0 S120 Option (D) is correct.


dy dy We have f (x) = sin x
x y = x + y yi + 1 = yi + h x-p
dx dx
Substituting x - p = y ,we get
0 0 0 y1 = 0 + 0.1 (0) = 0 sin (y + p) sin y
f (y + p) = =- = - 1 (sin y)
y y y
0.1 0 0.1 y2 = 0 + 0.1 (0.1) = 0.01 - 1 y3 y5
= cy - + - ...m
0.2 0.01 0.21 y 3 = 0.01 + 0.21 # 0.1 = 0.031 y 3! 5!
2
y y4
0.3 0.031 or f (y + p) =- 1 + - + ...
3! 5!
From table, at x = 0.3, y (x = 0.3) = 0.031 Substituting x - p = y we get
(x - p) 2 (x - p) 4
f (x) =- 1 + - + ...
S116 Option (D) is correct. 3! 5!
Given that
3s + 1 S121 Option (A) is correct.
f (t) = L - 1 ; 3
s + 4s 2 + (K - 3) s E (A)
dy
=
y
lim f (t) = 1 dx x
t"3
dy
or # = dx #
By final value theorem y x
lim f (t) = lim sF (s) = 1 or log y = log x + log c
t"3 s"0

s : (3s + 1) or y = cx Straight Line


or lim =1 Thus option (A) and (C) may be correct.
s " 0 s + 4s 2 + (K - 3) s
3
dy y
s (3s + 1) (B) =-
or lim 2 =1 dx x
s " 0 s [s + 4s + (K - 3)]
dy
1 or # =- dx #
=1 y x
K-3
or log y =- log x + log c
or K =4
or log y = log 1 + log c
x
S117 Option (B) is correct. c
or y= Hyperbola
The highest derivative terms present in DE is of 2nd order. x

S118 Option (C) is correct. S122 Option (D) is correct.


Number of elements in sample space is 210 . Only one Sum of the principal diagonal element of matrix is equal
element "H, H, T, T, T, T, T, T, T, T , is event. Thus to the sum of Eigen values. Sum of the diagonal element
probability is 110 is - 1 - 1 + 3 = 1.In only option (D), the sum of Eigen
2 values is 1.
S119 Option (C) is correct.
We have S123 Option (C) is correct.
f (z) = c0 + c1 z - 1 The product of Eigen value is equal to the determinant
1 + f (z) 1 + c0 + c1 z - 1 of the matrix. Since one of the Eigen value is zero, the
f1 (z) = =
z z product of Eigen value is zero, thus determinant of the
z (1 + c0) + c1 matrix is zero.
=
z2 Thus p11 p22 - p12 p21 = 0
Since f1 (z) has double pole at z = 0 , the residue at z = 0 is
Res f1 (z) z = 0 = lim z2 .f1 (z) S124 Option (B) is correct.
z"0
z (1 + c0) + c1 The given system is
= lim z2 . c m = c1 4 2 x 7
z2 =2 1G=y G = =6 G
z"0
Hence
# f1(z) dz = # [1 +zf (z)] dz We have A == G
4 2
unit circle unit circle 2 1
= 2pj [Residue at z = 0 ] = 2pjc1 4 2
and A = =0 Rank of matrix r (A) < 2
2 1
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 2 Engineering Mathematics Page 37

4 2 7 S131 Option (D) is correct.


Now C == G Rank of matrix r (C) = 2
2 1 6 eP = L- 1 6(sI - A) - 1@
Since r (A) ! r (C) there is no solution. s 0 0 1 -1
= L e= G - =
- 2 - 3Go
-1
0 s
S125 Option (A) is correct. s - 1 -1
= L e= o
2 s + 3G
-1
sin z can have value between - 1 to + 1. Thus no solution.
s+3 1
= L f> Hp
-1 (s + 1)( s + 2) (s + 1)( s + 2)
S126 Option (A) is correct. -2 s
(s + 1)( s + 2) (s + 1)( s + 2)
We have f (x) = ex + e-x
For x > 0 , ex > 1 and 0 < e-x < 1 2e - 1 - e - 2 e-1 - e-2
For x < 0 , 0 < ex < 1 and e-x > 1 == G
- 2e - 1 + 2e - 2 - e - 1 + 2e - 2
Thus f (x) have minimum values at x = 0 and that is
e0 + e-0 = 2 . S132 Option (B) is correct.
Taylor series is given as
S127 Option (A) is correct. (x - a) 2
3 5 f (x) = f (a) + x - a f'( a) + f"( a) + ...
sin x = x + x + x + ... 1! 2!
3! 5!
2 4 For x = p we have
cos x = 1 + x + x + ... (x - p) 2
2! 4! Thus f (x) = f (p) + x - p f'( p) + f"( x)...
1! 2!
Thus only sin (x3) will have odd power of x .
Now f (x) = ex + sin x
f'( x) = ex + cos x
S128 Option (B) is correct.
dx (t) f"( x) = ex - sin x
We have + 3x (t) = 0 f"( p) = e p - sin p = e p
dt
f"( p)
or (D + 3) x (t) = 0 Thus the coefficient of (x - p) 2 is
2!
Since m =- 3 , x (t) = Ce - 3t
Thus only (B) may be solution.
S133 Option (A) is correct.
S129 Option (C) is correct. The equation of straight line from (0, 0) to (1, 2) is y = 2x .
Now g (x, y) = 4x3 + 10y 4
We have x = e-x
or, g (x, 2x) = 4x3 + 160x 4
or f (x) = x - e - x 1 1
f'( x) = 1 + e - x Now #0 g (x, 2x) = #0 (4x3 + 160x4) dx
The Newton-Raphson iterative formula is
f (xn) = [x 4 + 32x5] 10 = 33
xn + 1 = xn -
f'( xn)
S134 Option (B) is correct.
Now f (xn) = xn - e - x n
Q
f'( xn) = 1 + e - x n I =2 #P (xdx + ydy)
-x
(1 + xn) e - x
xn + 1 = xn - xn - e- x =
n n
Q Q
Thus
1+e 1 + e-x
n n =2 #P xdx + 2 #P ydy
0 1
S130 Option (A) is correct. = 2 # xdx + 2 # ydy = 0
1 0

Res f (z) z = a = 1 dn - 1 6(z - a) n f (z)@


(n - 1)! dzn - 1 z=a
S135 Option (B) is correct.
Here we have n = 2 and a = 2 . Thus The given plot is straight line whose equation is
1 d (z - 2) 2 1 x +y =1
(2 - 1)! dz ; (z - 2) (z + 2) 2 Ez = a
Res f (z) z = 2 = 2 -1 1
or y = x+1
= d ; 1 2E -2 2 2
dz (z + 2) z = a ; (z + 2) 3 Ez = a
= Now I = #1 ydx = #1 (x + 1) dx
(x + 1) 2 2 9 4
=; E = - = 2.5
=- 2 =- 1 2 2 2
64 32

S136 Option (C) is correct.


Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 38 Engineering Mathematics Chapter 2

coth x = cosh x = 2-
23 - 22 + 4 (2) - 4
=4
sinh x 2 3
3 (2) - 2 (2) + 4
as x << 1, cosh x . 1 and sinh x . x
Thus coth x . 1 S142 Option (C) is correct.
x
For two orthogonal signal f (x) and g (x)
+3
S137 Option (A) is correct. #- 3 f (x) g (x) dx = 0
sin ^ q2 h sin ^ q2 h 1 lim sin ^ 2 h = 1 = 0.5
q
lim = lim = i.e. common area between f (x) and g (x) is zero.
q"0 q q " 0 2^ q h 2 q " 0 ^ q2 h 2
2

S143 Option (A) is correct.


S138 Option (D) is correct. We know that
We have, lim 12 = 3 1 ds = 2pj
x"0 x #s 2
- 1
[sum of residues]
lim x2 = 3 D
x"3 Singular points are at s = ! 1 but only s =+ 1 lies inside
lim e - x = 3 the given contour, Thus Residue at s =+ 1 is
x"3
lim (s - 1) f (s) = lim (s - 1) 2 1 = 1
lim e - x = 0 s -1 2
2
s"1 s"1
x"3
1 ds = 2pj 1 = pj
lim e - x = 1
2

Thus e - x is strictly bounded.


2
#s2 - 1
`2j
x"0 D

S139 Option (A) is correct.


We have f (x) = e - x = e - (x - 2) - 2 = e - (x - 22) e - 2 S144 Option (C) is correct.
(x - 2)
= ;1 - (x - 2) + ...Ee - 2 For two orthogonal vectors, we require two dimensions
2! to define them and similarly for three orthogonal vector
= 61 - (x - 2)@e - 2 Neglecting higher powers we require three dimensions to define them. 2M vectors
= (3 - x) e - 2 are basically M orthogonal vector and we require M
dimensions to define them.
S140 Option (D) is correct.
d2 y S145 Option (A) is correct.
We have k2 2 = y - y2
dx We have
2
d y y y f (x) = x2 - x + 2
or 2
- 2 =- 22
dx k k f'( x) = 2x - 1 = 0 " x = 1
2
A.E. D2 - 12 = 0 f"( x) = 2
k
Since f"( x) = 2 > 0 , thus x = 1 is minimum point. The
or D =! 1 2
k maximum value in closed interval 6- 4, 4@ will be at x =- 4
C.F. = C1 e - + C2 e
x x
k k
or x = 4
- y2 Now maximum value
P.I. = 2 1 1 c 2 2 m = y2
D -k k 2 = max [f (- 4), f (4)]
Thus solution is = max (18, 10) = 18
y = C1 e - + C2 e + y2
x x
k k

From y (0) = y1 we get S146 Option (C) is correct.


C1 + C2 = y1 - y2 Probability of failing in paper 1 is P (A) = 0.3
From y (3) = y2 we get that C1 must be zero. Possibility of failing in Paper 2 is P (B) = 0.2
Thus C2 = y1 - y2 Probability of failing in paper 1, when
y = (y1 - y2) e - + y2 P ^ BA h = 0.6
x
k
student has failed in paper 2 is
We know that
(P + B)
S141 Option (B) is correct. Pb A l =
We have f (x) = x3 - x2 + 4x - 4 B P (B)
f'( x) = 3x2 - 2x + 4 or P (A + B) = P (B) P b A l = 0.6 # 0.2 = 0.12
Taking x0 = 2 in Newton-Raphosn method B
f (x0)
x1 = x0 -
f'( x0) S147 Option (C) is correct.
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 2 Engineering Mathematics Page 39

We have eu (cos v + j sin v) = x + jy


R V R V
S1 1 1 W S1 1 1 W Now x = eu cos v and y = eu sin v
A = S1 - 1 0 W + S1 - 1 0 W R3 - R1 Thus x 2 + y 2 = e 2u Equation of circle
SS1 1 1 WW SS0 0 0 WW
T X T X S154 Option (D) is correct.
Since one full row is zero, r (A) < 3 We have
1 1 1 dz = 1
Now
1 -1
=- 2 ! 0 , thus r (A) = 2 z
#2
+ 4
#
(z + 2i) (z - 2i)
dz
-
z j =2 z-j = 2

P (0, 2) lies inside the circle z - j = 2 and P (0, - 2) does


S148 Option (D) is correct.
not lie.
The vector Triple Product is
Thus By cauchy’s integral formula
A # (B # C) = B (A $ C) - C (A $ B) 1
Thus 4#4# P = 4 (4$ P) - P (4$4) I = 2pi lim (z - 2i)
z " 2i (z + 2i)( z - 2i)
= 4 (4$ P) - 4 2 P 2pi = p
= #
2i + 2i 2
C
S149 Option (A) is correct.
The Stokes theorem is S155 Option (C) is correct.
##(4 # F) $ ds = A $ dl # I =
p
#0
sin3 qdq

#0 ` 3 sin q -4 sin 3q j dq
p
S150 Option (C) is correct. = sin 3q = 3 sin q - 4 sin3 q
#
3
We know p (x) dx = 1
= :- 3 cos qD = : ws3q D
p p
-3
4 12 0
#
3
Thus Ke- a x dx = 1 0
-3
= 8 3 + 3 B- 8 1 + 1 B = 4
0 4 4 12 12 3
# # Ke
3
or Keax dx + - ax
dx = 1
-3 0

a 6 @- 3 (- a) 6 @0
or K eax 0 + k e- ax 3 = 1 S156 Option (D) is correct.
Let d " defective and y " supply by Y
K +K =1 y P (y + d)
or
a a pa k =
d P (d)
or K =a P (y + d) = 0.3 # 0.02 = 0.006
2
P (d) = 0.6 # 0.1 + 0.3 # 0.02 + 0.1 # 0.03
S151 Option (A) is correct. = 0.015
y
We have xo (t) + 2x (t) = s (t) P a k = 0.006 = 0.4
Taking Laplace transform both sides d 0.015
sX (s) - x (0) + 2X (s) = 1
or sX (s) + 2X (s) = 1 Since x (0 -) = 0 S157 Option (C) is correct.
4 2
X (s) = 1 A ==
2 4G
s+2 We have
Now taking inverse Laplace transform we have Now 6A - lI @[X] = 0
x (t) = e - 2t u (t)
4 - l 2 101 0
or = 2 4 - l G=101G = =0 G
S152 Option (A) is correct.
Sum of the Eigen values must be equal to the sum of or (101)( 4 - l) + 2 (101) = 0
element of principal diagonal of matrix. or l=6
6 2
Only matrix = G satisfy this condition. S158 Option (A) is correct.
2 6
d2 y
We have 2
+ k2 y = 0
dx
S153 Option (B) is correct.
or D2 y + k2 y = 0
We have W = ln z
The AE is m2 + k2 = 0
u + jv = ln (x + jy)
or eu + jv = x + jy The solution of AE is m = ! ik
or eu e jv = x + jy Thus y = A sin kx + B cos kx
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 40 Engineering Mathematics Chapter 2

From x = 0 , y = 0 we get B = 0 and x = a, y = 0 we get A - lI = 0


A sin ka = 0
4-l 2
or sin ka = 0 or =0
4 3-l
k = mpx
a or (- 4 - l)(3 - l) - 8 = 0
Thus y = Am sin ` mpx j
/ or - 12 + l + l2 - 8 = 0
a
m or l2 + l - 20 = 0
or l =- 5, 4 Eigen values
S159 Option (A) is correct. Eigen vector for l =- 5
We have ex
f (x) = (A - lI) Xi = 0
1 + ex 1 - (- 5) 2 x1 0
For x " 3, the value of f (x) monotonically increases. = 4 G=
8 - 4 x2 G == G
0

S160 Option (B) is correct. 1 2 x1 0


=0 0G=x G = =0 G R2 - 4R1
Order is the highest derivative term present in the equation 2

and degree is the power of highest derivative term. x1 + 2x2 = 0


Order = 2 , degree = 1 Let - x1 = 2 & x2 =- 1,
2
Thus X == G Eigen vector
S161 Option (D) is correct. -1
Probability of coming odd number is 12 and the
probability of coming even number is 12 . Both the events S167 Option (A) is correct.
are independent to each other, thus probability of coming We have
2 - 0.1 1
2 a
odd number after an even number is 12 # 12 = 14 . A == G and A -1
= = G
0 3 0 b
S162 Option (B) is correct. Now AA - 1 = I
d2 y dy
We have -5 + 6y = 0 2 - 0. 1 1 a 1 0
dx 2 dx or =0 3 G= 2 G = =0 1G
0 b
The A.E. is m 2 - 5m + 6 = 0
m = 3, 2 1 2a - 0.1b 1 0
The CF is yc = C1 e3x + C2 e2x or =0 3b G == G
0 1
Since Q = 0 , thus y = C1 e3x + C2 e2x
or 2a - 0.1 = 0 and 3b = 1
Thus only (B) may be correct.
Thus solving above we have b = 1 and a = 1
3 60
S163 Option (A) is correct.
We have f (t) = e(a + 2) t + 5 = e5 .e(a + 2) t Therefore a+b = 1 + 1 = 7
3 60 20
Taking Laplace transform we get
F (s) = e5 ; 1
s - (a + 2) E
Thus Re (s) > (a + 2) S168 Option (A) is correct.
Gaussian PDF is
f (x) = 1 #
3 - (x - m)2

S164 Option (C) is correct. e 2s2 dx for - 3 # x # 3


2p s - 3
For x > 0 the slope of given curve is negative. Only (C)
#
3

satisfy this condition. and f (x) dx = 1


-3

Substituting m = 0 and s = 2 in above we get


S165 Option (C) is correct. 1
#
3 - x2
e dx = 1 8

Newton - Raphson " Method-Solving nonlinear eq. 2p 2 - 3


Runge - kutta Method
1 2
#
3 - x2
" Solving ordinary differential eq. or e 8
dx = 1
Simpson’s Rule " Numerical Integration 2p 2 0

Gauss elimination " Solving linear simultaneous eq. 1


#
3 - x2
or e 8
dx = 1
2p 0
S166 Option (C) is correct.
-4 2
A ==
4 3G
We have S169 Option (C) is correct.
From orthogonal matrix
Characteristic equation is
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 2 Engineering Mathematics Page 41

[AAT ] = I [AAT ] -1 = I-1 = I


Since the inverse of I is I , thus

***********
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 42 Networks Chapter 3

CHAPTER 3
NETWORKS

GATE 2016 EC01 ONE MARK

Q1 Consider a two-port network with the transmission


A B
matrix: T = f p. If the network is reciprocal, then
C D
(A) T-1 = T (B) T2 = T At resonance, the ratio | IL | / | IR |, i.e., the ratio of the
(C) Determinant (T) = 0 (D) Determinant (T) = 1 magnitudes of the inductor current phasor and the resistor
current phasor, is _____

GATE 2016 EC02 ONE MARK


Q5 The Z-parameter matrix for the two-port network
shown is
Q2 In the circuit shown below, Vs is a constant voltage 2jw jw
source and IL is a constant current load > H
jw 3 + 2jw
Where the entries are in W . Suppose Zb (jw) = Rb + jw

The value of IL that maximizes the power absorbed by the


constant current load is
(A) Vs (B) Vs
4R 2R

(C) Vs (D) 3 Then the value of Rb (in W ) equals _____


R

Q3 The switch has been in position 1 for a long time and


GATE 2016 EC03 ONE MARK
abruptly changes to position 2 at t = 0

Q6 In the RLC circuit shown in the figure, the input


voltage is given by vi ^ t h = 2 cos ^200t h + 4 sin ^500t h. The
output voltage v 0 ^ t h is

If time t is in seconds, the capacitor voltage VC (in volts)


for t > 0 is given by
-t
(A) 4 b1 - exp a 0.5 kl (B) 10 - 6 exp c- t m
0.5
-t -t
(C) 4 c1 - exp c 0.6 m m (D) 10 - 6 exp a 0.6 k
(A) cos ^200t h + 2 sin ^500t h
(B) 2 cos ^200t h + 4 sin ^500t h
Q4 The figure shows an RLC circuit with a sinusoidal
(C) sin 200t + 2 cos 500t
current source.
(D) 2 sin ^200t h + 4 cos ^500t h
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 3 Networks Page 43

GATE 2016 EC01 TWO MARKS

Q7 A network consisting of a finite number of linear


resistor (R), inductor (L), and capacitor (C) elements,
connected all in series or all in parallel, is excited with a
3
source of the form / ak cos (kw 0 t), where ak ! 0 , w 0 ! 0
k=1
. The source has nonzero impedance. Which one of the
following is a possible form of the output measured across
GATE 2016 EC03 TWO MARKS
a resistor in the network?
3
(A) / b cos (kw t + f ), where b
k 0 k k ! ak , 6k Q11 Assume that the circuit in the figure has reached
k=1
3 the steady state before time t = 0 when the 3W resistor
(B) / b cos (kw t + f ), where b
k 0 k k ! 0 , 6k suddenly burns out, resulting in an open circuit. The
k=1
current i ^ t h (in ampere) at t = 0+ is ______
3
(C) / a cos (kw t + f )
k 0 k
k=1
2
(D) / a cos (kw t + f )
k 0 k
k=1

Q8 In the circuit shown in the figure, the maximum


power (in watt) delivered to the resistor R is

Q12In the figure shown, the current i (in ampere is


______

GATE 2016 EC02 TWO MARKS

Q9 In the given circuit, each resistor has a value equal


to 1 W .

z11 z12
The z -parameter matrix >
z21 z22H
Q13 for the two-port
network shown is

What is the equivalent resistance across the terminals a


and b?
(A) 1 W (B) 1 W
6 3

(C) 9 W (D) 8 W 2 -2 2 2
20 15 (A) >
-2 2 H
(B) > H
2 2
Q10 In the circuit shown in the figure, the magnitude of 9 -3 9 3
(C) >
6 9H
the current (in amperes) through R2 is ______ (D) > H
6 9
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 44 Networks Chapter 3

GATE 2015 EC01 ONE MARK is_______.

Q14 In the network shown in the figure, all resistors are


identical with R = 300W. The resistance Rab (in W) of the
network is________.

GATE 2015 EC02 ONE MARK

Q18 The 2-port admittance matrix of the circuit shown


is given by
Q15 In the given circuit, the values of V1 and V2 respectively
are

0.3 0.2 15 5
(A) >
0.2 0.3H
(B) >
5 15H
(A) 5 V, 25 V (B)10 V, 30 V
(C) 15 V, 35 V (D) 0 V, 20 V 3.33 5 0.3 0.4
(C) >
5 3.33H
(D) >
0.4 0.3H
Get More Study Material Q19 The voltage (Vc ) across the capacitor (in Volts) in

by Whatsapp. Send the network shown is_______.

Your College Pin Code,


Branch and BTech year to
9414243489 by whatsapp
Q16 In the circuit shown, the switch SW is thrown from Q20 In the circuit shown the average value of the voltage
position A to position B at time t = 0 . The energy (in Vab (in Volts) in steady state condition is _______.
mJ ) taken from the 3 V source to charge the 0.1 m F
capacitor from 0 V to 3 V is_____

GATE 2015 EC03 ONE MARK

Q17 In the circuit shown, at resonance, the amplitude Q21In the circuit shown, the voltage Vx (in Volts) is
of the sinusoidal voltage (in Volts) across the capacitor _______.
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 3 Networks Page 45

expressed as
2
(A) R C (B) 22L
2L RC
(C) R C (D) 2 L
2 L R C

Q26 In the circuit shown, switch SW is closed at t = 0


. Assuming zero initial conditions, the value of vc (t) (in
Volts) at t = 1 sec is_______.

Q22 At very high frequencies, the peak output voltage V0


(in Volts) is_____.

GATE 2015 EC02 TWO MARKS

Q27 An LC tank circuit consists of an ideal capacitor C


connected in parallel with a coil of inductance L having
an internal resistance R. The resonant frequency of the
tank circuit is
(A) 1 (B) 1 1 - R2 C
2p LC 2p LC L
Q23 For the circuit shown in the figure, the Thevenin
equivalent voltage (in Volts) across terminals a - b (C) 1 1- L (D) 1 1 - R2 C
2p LC
2
RC 2p LC L
is_______.
Q28 In the circuit shown, the Norton equivalent resistance
(in W ) across terminals a -b is_______.

GATE 2015 EC01 TWO MARKS


Q29 In the circuit shown, the initial voltages across the
Q24 In the given circuit, the maximum power (in Watts) capacitors C1 and C2 are 1V and 3V, respectively. The
that can be transferred to the load RL is _______. switch is closed at time t = 0 . The total energy dissipated
(in Joules) in the resistor R until steady state is reached
is________.

Q25 The damping ratio of a series RLC circuit can be


Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 46 Networks Chapter 3

GATE 2015 EC03 TWO MARKS For R = 1 W and currents i1 = 2 A , i 4 =- 1 A , i5 =- 4 A ,


which one of the following is TRUE ?
Q30 In the circuit shown, the current I flowing through (A) i 6 = 5 A
the 50W resistor will be zero if the value of capacitor C (in (B) i 3 =- 4 A
mF ) is_______. (C) Data is sufficient to conclude that the supposed
currents are impossible
(D) Data is insufficient to identify the currents i2 , i 3 , and
i6

Q34 A two-port network has scattering parameters given


s11 s12
by 6S @ = >
s21 s22H
.

If the port-2 of the two port is short circuited, the s11


Q31 The ABCD parameters of the following 2-port parameter for the resultant one port network is
network are
(A) s11 - s11 s22 + s12 s21 (B) s11 + s11 s22 - s12 s21
1 + s22 1 + s22

(C) s11 + s11 s22 + s12 s21 (D) s11 - s11 s22 + s12 s21
1 - s22 1 - s22

GATE 2014 EC02 ONE MARK

Q35 Norton’s theorem states that a complex network


connected to a load can be replaced with an equivalent
3.5 + j2 20.5 3.5 + j2 30.5 impedance
(A) = G (B) = G
20.5 3.5 - j2 0.5 3.5 - j2 (A) in series with a current source
(B) in parallel with a voltage source
10 2 + j0 7 + j4 0. 5
(C) = G (D) > H (C) in series with a voltage source
2 + j0 10 30.5 7 - j4
(D) in parallel with a current source

GATE 2014 EC01 ONE MARK Q36 In the figure shown, the ideal switch has been open
for a long time. If it is closed at t = 0 , then the magnitude
Q32 For maximum power transfer between two cascaded of the current (in mA) through the 4 kW resistance at
sections of an electrical network, the relationship between t = 0+ is _____.
the output impedance Z1 of the first section to the input
impedance Z2 of the second section is
(A) Z2 = Z1 (B) Z2 =- Z1
*
(C) Z2 = Z 1 (D) Z2 =- Z 1*

Q33 Consider the configuration shown in the figure which


is a portion of a larger electrical network

GATE 2014 EC03 ONE MARK

Q37 In the figure shown, the value of the current I (in


Amperes) is_______.
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 3 Networks Page 47

Get More Study Material


by Whatsapp. Send
Your College Pin Code,
Q38 A series RC circuit is connected to a DC voltage Branch and BTech year to
source at time t = 0 .The relation between the source
voltage VS , the resistance R, the capacitance C , and the 9414243489 by whatsapp
current i ^ t h is given below :
VS = Ri ^ t h + 1 i ^u h du
t
#
C 0
Q40 The magnitude of current (in mA) through the
resistor R2 in the figure shown is_______.
Which one of the following represents the current i ^ t h ?

GATE 2014 EC01 TWO MARKS

Q41 A Y -network has resistances of 10 W each in two of


its arms, while the third arm has a resistance of 11 W . In
the equivalent T-network, the lowest value ^in Wh among
the three resistances is _____.

Q42 A 230 V rms source supplies power to two loads


connected in parallel. The first load draws 10 kW at 0.8
leading power factor and the second one draws 10 kVA at
0.8 lagging power factor. The complex power delivered by
the source is
GATE 2014 EC04 ONE MARK (A) ^18 + j1.5h kVA (B) ^18 - j1.5h kVA
(C) ^20 + j1.5h kVA (D) ^20 - j1.5h kVA
Q39 The circuit shown in the figure represents a
Q43 A periodic variable x is shown in the figure as a
function of time. The root-mean-square (rms) value of x
is _____.

(A) voltage controlled voltage source


(B) voltage controlled current source
(C) current controlled current source
(D) current controlled voltage source

Q44 In the circuit shown in the figure, the value of


capacitor C (in mF) needed to have critically damped
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 48 Networks Chapter 3

response i ^ t h is _____.

GATE 2014 EC02 TWO MARKS GATE 2014 EC03 TWO MARKS

Q45 In the h -parameter model of the 2-port network given Q49 Consider the building block called ‘Network N ’
in the figure shown, the value of h22 (in S ) in _____. shown in the figure.
Let C = 100 mF and R = 10 kW .

Two such blocks are connected in cascade, as shown in


the figure.

Q46 A series LCR circuit is operated at a frequency


different from its resonant frequency. The operating
frequency is such that the current leads the supply voltage.
The magnitude of current is half the value at resonance. If
the values of L, C and R are 1 H , 1 F and 1 W , respectively,
the operating angular frequency (in rad/s) is ______.
V3 ^s h
The transfer function V1^s h
of the cascaded network is
Q47 In the figure shown, the capacitor is initially s2
(A) s (B)
uncharged. Which one of the following expressions 1+s 1 + 3s + s2
describes the current I ^ t h (in mA) for t > 0 ?
(C) a s k (D) s
2
1+s 2+s

Q50 In the circuit shown in the figure, the value of node


voltage V2 is

(A) I ^ t h = 53 ^1 - e-t/t h, t = 23 m sec


(B) I ^ t h = 52 ^1 - e-t/t h, t = 23 m sec
(C) I ^ t h = 53 ^1 - e-t/t h, t = 3 m sec
(D) I ^ t h = 52 ^1 - e-t/t h, t = 3 m sec

Q48 In the magnetically coupled circuit shown in the


figure, 56% of the total flux emanating from one coil links (A) 22 + j2 V (B) 2 + j22 V
the other coil. The value of the mutual inductance ^in Hh
(C) 22 - j2 V (D) 2 - j22 V
is ______.
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 3 Networks Page 49

Q51 For the Y network shown in the figure, the value of


R1 (in W ) in the equivalent T-network is_______.

Q55 The equivalent resistance in the infinite ladder


network shown in the figure is Re

Q52 In the circuit shown in the figure, the angular


frequency w (in rad/s), at which the Norton equivalent
impedance as seen from terminals b-bl is purely resistive, The value of Re /R is _______.
is _______.
Q56 For the two-port network shown in the figure, the
impedance ^Z h matrix (in W ) is

GATE 2014 EC04 TWO MARKS


6 24 9 8
(A) > H (B) > H
42 9 8 24
Q53 The steady state output of the circuit shown in the
9 6 42 6
(C) > H (D) > H
figure is given by
y ^ t h = A ^wh sin ^wt + f ^whh 6 24 6 60

GATE 2013 ONE MARK

Q57 Consider a delta connection of resistors and its


equivalent star connection as shown below. If all elements
of the delta connection are scaled by a factor k , k > 0 ,
the elements of the corresponding star equivalent will be
scaled by a factor of
If the amplitude A ^wh = 0.25 , then the frequency w is

(A) 1 (B) 2
3 RC 3 RC
(C) 1 (D) 2
RC RC

Q54 In the circuit shown in the figure, the value of vo ^ t h (A) k2 (B) k
(in volts) for t " 3 is _______. (C) 1/k (D) k

V2 ^s h
Q58 The transfer function of the circuit shown
V1 ^s h
below is
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 50 Networks Chapter 3

Q62 Two magnetically uncoupled inductive coils have Q


factors q1 and q2 at the chosen operating frequency. Their
respective resistances are R1 and R2 . When connected
in series, their effective Q factor at the same operating
frequency is
(A) q1 + q2
(B) ^1/q1h + ^1/q2h
(A) 0.5s + 1 (B) 3s + 6 (C) ^q1 R1 + q2 R2h / ^R1 + R2h
s+1 s+2
(D) ^q1 R2 + q2 R1h / ^R1 + R2h
(C) s + 2 (D) s + 1
s+1 s+2

Q59 A source vs ^ t h = V cos 100pt has an internal Get More Study Material
impedance of ^4 + j3h W . If a purely resistive load connected
to this source has to extract the maximum power out of
the source, its value in W should be
by Whatsapp. Send
(A) 3
(C) 5
(B) 4
(D) 7
Your College Pin Code,
Branch and BTech year to
GATE 2013 TWO MARKS
9414243489 by whatsapp
Q60 In the circuit shown below, if the source voltage
VS = 100+53.13c V then the Thevenin’s equivalent voltage Q63 Three capacitors C1 , C2 and C 3 whose values are 10 mF
in Volts as seen by the load resistance RL is , 5 mF , and 2 mF respectively, have breakdown voltages of
10 V, 5 V and 2 V respectively. For the interconnection
shown below, the maximum safe voltage in Volts that can
be applied across the combination, and the corresponding
total charge in mC stored in the effective capacitance
across the terminals are respectively,

(A) 100+90c (B) 800+0c


(C) 800+90c (D) 100+60c

Q61 The following arrangement consists of an ideal


transformer and an attenuator which attenuates by a (A) 2.8 and 36 (B) 7 and 119
factor of 0.8. An ac voltage VWX1 = 100 V is applied across (C) 2.8 and 32 (D) 7 and 80
WX to get an open circuit voltage VYZ1 across YZ. Next,
an ac voltage VYZ2 = 100 V is applied across YZ to get an
open circuit voltage VWX2 across WX. Then, VYZ1 /VWX1 , Common Data Question 64 and 65
VWX2 /VYZ2 are respectively,
Consider the following figure

(A) 125/100 and 80/100 (B) 100/100 and 80/100


(C) 100/100 and 100/100 (D) 80/100 and 80/100
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 3 Networks Page 51

Q64 The current IS in Amps in the voltage source, and (C) 1 A (D) 0 A
voltage VS in Volts across the current source respectively, 1+j
are
(A) 13, - 20 (B) 8, - 10 GATE 2012 TWO MARKS
(C) - 8, 20 (D) - 13, 20
Q69 If VA - VB = 6 V then VC - VD is
Q65 The current in the 1W resistor in Amps is
(A) 2 (B) 3.33
(C) 10 (D) 12

GATE 2012 ONE MARK

Q66 In the following figure, C1 and C2 are ideal capacitors.


C1 has been charged to 12 V before the ideal switch S is (A) - 5 V (B) 2 V
closed at t = 0. The current i (t) for all t is (C) 3 V (D) 6 V

Q70 Assuming both the voltage sources are in phase, the


value of R for which maximum power is transferred from
circuit A to circuit B is

(A) zero
(B) a step function
(C) an exponentially decaying function
(D) an impulse function

Q67 The average power delivered to an impedance (A) 0.8 W (B) 1.4 W
(4 - j3) W by a current 5 cos (100pt + 100) A is (C) 2 W (D) 2.8 W
(A) 44.2 W (B) 50 W
(C) 62.5 W (D) 125 W
Common Data Question 71 and 72
Q68 In the circuit shown below, the current through the With 10 V dc connected at port A in the linear
inductor is nonreciprocal two-port network shown below, the following
were observed :
(i) 1 W connected at port B draws a current of 3 A
(ii) 2.5 W connected at port B draws a current of 2 A

Q71 With 10 V dc connected at port A, the current


drawn by 7 W connected at port B is
(A) 3/7 A (B) 5/7 A
(C) 1 A (D) 9/7 A
(A) 2 A (B) - 1 A
1+j 1+j
Q72 For the same network, with 6 V dc connected at port
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 52 Networks Chapter 3

A, 1 W connected at port B draws 7/3 A. If 8 V dc is


connected to port A, the open circuit voltage at port B is
(A) 6 V (B) 7 V
(C) 8 V (D) 9 V

GATE 2011 ONE MARK

Q73 In the given circuit, the Norton equivalent current in (A) 1.4+0c A (B) 2.0+0c A
amperes with respect to the terminals P and Q is (C) 2.8+0c A (D) 3.2+0c A

Q77 In the circuit shown below, the network N is described


by the following Y matrix:
0.1 S - 0.01 S
Y=> . the voltage gain V2 is
0.01 S 0.1 SH V1

(A) 6.4 - j 4.8 (B) 6.56 - j 7.87


(C) 10 + j 0 (D) 16 + j 0

Q74 In the circuit shown below, the value of RL such that


the power transferred to RL is maximum is
(A) 1/90 (B) –1/90
(C) –1/99 (D) –1/11

Q78 In the circuit shown below, the initial charge on the


capacitor is 2.5 mC, with the voltage polarity as indicated.
The switch is closed at time t = 0 . The current i (t) at a
time t after the switch is closed is
(A) 5 W (B) 10 W
(C) 15 W (D) 20 W

Q75 The circuit shown below is driven by a sinusoidal


input vi = Vp cos (t/RC ). The steady state output vo is

(A) i (t) = 15 exp (- 2 # 103 t) A


(B) i (t) = 5 exp (- 2 # 103 t) A
(C) i (t) = 10 exp (- 2 # 103 t) A
(D) i (t) =- 5 exp (- 2 # 103 t) A
(A) (Vp /3) cos (t/RC ) (B) (Vp /3) sin (t/RC )
(C) (Vp /2) cos (t/RC ) (D) (Vp /2) sin (t/RC )
GATE 2010 ONE MARK

GATE 2011 TWO MARKS


Q79 For the two-port network shown below, the short-
circuit admittance parameter matrix is
Q76 In the circuit shown below, the current I is equal to
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 3 Networks Page 53

4 -2 1 - 0.5
(A) >
4H
(B) >
1H
S S
-2 - 0.5
1 0.5 4 2
(C) >
1H
(D) >
4H
S S
0.5 2
(A) 0 W (B) 5 W
Q80 For parallel RLC circuit, which one of the following (C) 10 W (D) 100 W
statements is NOT correct ?
(A) The bandwidth of the circuit decreases if R is increased
GATE 2009 ONE MARK
(B) The bandwidth of the circuit remains same if L is
increased
Q84 In the interconnection of ideal sources shown in the
(C) At resonance, input impedance is a real quantity figure, it is known that the 60 V source is absorbing power.
(D) At resonance, the magnitude of input impedance
attains its minimum value.

GATE 2010 TWO MARKS

Q81 In the circuit shown, the switch S is open for a long


time and is closed at t = 0 . The current i (t) for t $ 0+ is

Which of the following can be the value of the current


source I ?
(A) 10 A (B) 13 A
(C) 15 A (D) 18 A

Q85 If the transfer function of the following network is


Vo (s) 1
(A) i (t) = 0.5 - 0.125e-1000t A =
Vi (s) 2 + sCR
(B) i (t) = 1.5 - 0.125e-1000t A
(C) i (t) = 0.5 - 0.5e-1000t A
(D) i (t) = 0.375e-1000t A

Q82 The current I in the circuit shown is

The value of the load resistance RL is


(A) R (B) R
4 2
(C) R (D) 2R

Q86 A fully charged mobile phone with a 12 V battery is


(A) - j1 A (B) j1 A good for a 10 minute talk-time. Assume that, during the
(C) 0 A (D) 20 A talk-time the battery delivers a constant current of 2 A
and its voltage drops linearly from 12 V to 10 V as shown
Q83 In the circuit shown, the power supplied by the in the figure. How much energy does the battery deliver
voltage source is during this talk-time?
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 54 Networks Chapter 3

(A) 2.4 W (B) 8 W


3
(C) 4 W (D) 6 W

Q90 The time domain behavior of an RL circuit is


represented by
(A) 220 J (B) 12 kJ L di + Ri = V0 (1 + Be-Rt/L sin t) u (t).
dt
(C) 13.2 kJ (D) 14.4 J
For an initial current of i (0) = V0 , the steady state value
R
of the current is given by
GATE 2009 TWO MARKS
(A) i (t) " V0 (B) i (t) " 2V0
R R
Q87 An AC source of RMS voltage 20 V with internal (C) i (t) " V0 (1 + B) (D) i (t) " 2V0 (1 + B)
impedance Zs = (1 + 2j) W feeds a load of impedance R R
ZL = (7 + 4j) W in the figure below. The reactive power
consumed by the load is
GATE 2008 ONE MARK

Q91 In the following graph, the number of trees (P) and


the number of cut-set (Q) are

(A) 8 VAR (B) 16 VAR


(C) 28 VAR (D) 32 VAR
(A) P = 2, Q = 2
Q88 The switch in the circuit shown was on position a for (B) P = 2, Q = 6
a long time, and is move to position b at time t = 0 . The (C) P = 4, Q = 6
current i (t) for t > 0 is given by
(D) P = 4, Q = 10

Q92 In the following circuit, the switch S is closed at


t = 0 . The rate of change of current di (0+) is given by
dt

(A) 0.2e-125t u (t) mA (B) 20e-1250t u (t) mA


(C) 0.2e-1250t u (t) mA (D) 20e-1000t u (t) mA

Q89 In the circuit shown, what value of RL maximizes (A) 0 (B) Rs Is


L
the power delivered to RL ? (R + Rs) Is
(C) (D) 3
L

GATE 2008 TWO MARKS

Q93 The Thevenin equivalent impedance Zth between the


nodes P and Q in the following circuit is
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 3 Networks Page 55

(D) / 60.5 - e- (t - 2nT) + 0.5e- (t - 2nT) - T @


3

n=1

Common Data Question 96 and 97


The following series RLC circuit with zero conditions is
(A) 1 (B) 1 + s + 1 excited by a unit impulse functions d (t).
s
2
(C) 2 + s + 1 (D) s2 + s + 1
s s + 2s + 1

Q94 The driving point impedance of the following network


is given by
Z (s) = 2 0.2s
s + 0.1s + 2
Q96 For t > 0 , the output voltage vC ^ t h is

(A) 2 ^e t - e t h (B) 2 te 2 t
-1 3 -1
2 2

3 3
(C) 2 e 2 t cos c 3 t m (D) 2 e 2 t sin c 3 t m
-1 -1

3 2 3 2
The component values are
(A) L = 5 H, R = 0.5 W, C = 0.1 F Q97 For t > 0 , the voltage across the resistor is

(A) 1 _e - e- 2 t i
(B) L = 0.1 H, R = 0.5 W, C = 5 F 2
3t 1

(C) L = 5 H, R = 2 W, C = 0.1 F 3
(D) L = 0.1 H, R = 2 W, C = 5 F 3 1 sin 3 t
=cos c 2 t m - c 2 mG
-1 t
(B) e 2
3
(C) 2 e 2 t sin c 3 t m
-1
Q95 The circuit shown in the figure is used to charge
3 2
the capacitor C alternately from two current sources as
indicated. The switches S1 and S2 are mechanically coupled (D) 2 e 2 t cos c 3 t m
-1

and connected as follows: 3 2


For 2nT # t # (2n + 1) T , (n = 0, 1, 2,..) S1 to P1 and S2
to P2
For (2n + 1) T # t # (2n + 2) T, (n = 0, 1, 2,...) S1 to Q1
and S2 to Q2 Statement for linked Answers Questions 98 and 99
A two-port network shown below is excited by external
DC source. The voltage and the current are measured
with voltmeters V1, V2 and ammeters. A1, A2 (all assumed
to be ideal), as indicated

Assume that the capacitor has zero initial charge. Given


that u (t) is a unit step function , the voltage vc (t) across Under following conditions, the readings obtained are:
the capacitor is given by (1) S1 -open, S2 - closed
3
(A) / (- 1) n tu (t - nT) A1 = 0,V1 = 4.5 V,V2 = 1.5 V, A2 = 1A
n=1
3
(2) S1 -open, S2 - closed
(B) u (t) + 2 / (- 1) n u (t - nT) A1 = 4 A,V1 = 6 V,V2 = 6 V, A2 = 0
n=1
3
(C) tu (t) + 2 / (- 1) n u (t - nT) (t - nT) Q98 The z -parameter matrix for this network is
n=1
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 56 Networks Chapter 3

1.5 1.5 1.5 4.5


(A) =
4.5 1.5G
(B) =
1.5 4.5G
1.5 4.5 4.5 1.5
(C) =
1.5 1.5 G
(D) =
1.5 4.5G

Q99 The h -parameter matrix for this network is (A) 4


V, 2 W (B) 4 V, 23 W
3

-3 3 -3 -1 (C) 4
V, 23 W (D) 4 V, 2 W
(A) =
- 1 0.67 G
(B) =
3 0.67 G
3

3 3 3 1 Q104 In the circuit shown, vC is 0 volts at t = 0 sec. For


(C) =
1 0.67 G
(D) =
- 3 - 0.67 G t > 0 , the capacitor current iC (t), where t is in seconds is
given by
GATE 2007 ONE MARK

Q100 An independent voltage source in series with an


impedance Zs = Rs + jXs delivers a maximum average
power to a load impedance ZL when
(A) ZL = Rs + jXs (B) ZL = Rs
(C) ZL = jXs (D) ZL = Rs - jXs (A) 0.50 exp (- 25t) mA (B) 0.25 exp (- 25t) mA
(C) 0.50 exp (- 12.5t) mA (D) 0.25 exp (- 6.25t) mA
Q101 The RC circuit shown in the figure is
Q105 In the ac network shown in the figure, the phasor
voltage VAB (in Volts) is

(A) a low-pass filter (B) a high-pass filter


(C) a band-pass filter (D) a band-reject filter
(A) 0 (B) 5+30c
GATE 2007 TWO MARKS (C) 12.5+30c (D) 17+30c

Q102 Two series resonant filters are as shown in the GATE 2006 TWO MARKS
figure. Let the 3-dB bandwidth of Filter 1 be B1 and that
of Filter 2 be B2 . the value B1 is Q106 A two-port network is represented by ABCD
B2
parameters given by
V1 A B V2
=I G = =C D G=- I G
1 2

If port-2 is terminated by RL , the input impedance seen


at port-1 is given by
(A) A + BRL (B) ARL + C
C + DRL BRL + D
(A) 4 (B) 1
(C) 1/2 (D) 1/4 (C) DRL + A (D) B + ARL
BRL + C D + CRL

Q103 For the circuit shown in the figure, the Thevenin


Q107 In the two port network shown in the figure below,
voltage and resistance looking into X - Y are
Z12 and Z21 and respectively
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 3 Networks Page 57

network N having driving point impedance as shown


below. For Z2 (s) to be positive real,

(A) re and br0


(B) 0 and - br0
(C) 0 and bro
(D) re and - br0 (A) Rneg # Re Z1 (jw), 6w (B) Rneg # Z1 (jw) , 6w
(C) Rneg # Im Z1 (jw), 6w (D) Rneg # +Z1 (jw), 6w
Q108 The first and the last critical frequencies
(singularities) of a driving point impedance function of
a passive network having two kinds of elements, are a GATE 2005 ONE MARK
pole and a zero respectively. The above property will be
satisfied by
Q112 The condition on R, L and C such that the step
(A) RL network only
response y (t) in the figure has no oscillations, is
(B) RC network only
(C) LC network only
(D) RC as well as RL networks

Q109 A 2 mH inductor with some initial current can


be represented as shown below, where s is the Laplace
Transform variable. The value of initial current is
(A) R $ 1 L (B) R $ L
2 C C

(C) R $ 2 L (D) R = 1
C LC

Q113 The ABCD parameters of an ideal n: 1 transformer


shown in the figure are
n 0
>0 x H
(A) 0.5 A (B) 2.0 A
(C) 1.0 A (D) 0.0 A

Q110 In the figure shown below, assume that all the


capacitors are initially uncharged. If vi (t) = 10u (t) Volts,
vo (t) is given by
The value of x will be
(A) n (B) 1
n
(C) n2 (D) 12
n

Q114 In a series RLC circuit, R = 2 kW , L = 1 H, and


C= 1 mF The resonant frequency is
400
(A) 8e -t/0.004 Volts (B) 8 (1 - e -t/0.004) Volts
(A) 2 # 10 4 Hz (B) 1 # 10 4 Hz
(C) 8u (t) Volts (D) 8 Volts p
(C) 10 4 Hz (D) 2p # 10 4 Hz
Q111 A negative resistance Rneg is connected to a passive
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 58 Networks Chapter 3

Q115 The maximum power that can be transferred to the


load resistor RL from the voltage source in the figure is

(A) 5 V and 2 W (B) 7.5 V and 2.5 W


(C) 4 V and 2 W (D) 3 V and 2.5 W

(A) 1 W (B) 10 W
Q120 If R1 = R2 = R4 = R and R3 = 1.1R in the bridge
(C) 0.25 W (D) 0.5 W circuit shown in the figure, then the reading in the ideal
voltmeter connected between a and b is
Q116 The first and the last critical frequency of an RC
-driving point impedance function must respectively be
(A) a zero and a pole (B) a zero and a zero
(C) a pole and a pole (D) a pole and a zero

GATE 2005 TWO MARKS

Q117 For the circuit shown in the figure, the instantaneous (A) 0.238 V (B) 0.138 V
current i1 (t) is (C) - 0.238 V (D) 1 V

Q121 The h parameters of the circuit shown in the figure


are

(A) 10 3 90c A (B) 10 3 - 90c A


2 2
(C) 5 60c A (D) 5 - 60c A
0. 1 0. 1 10 - 1
(A) =
- 0. 1 0. 3 G
(B) =
Q118 Impedance Z as shown in the given figure is 1 0.05G
30 20 10 1
(C) =
20 20G
(D) =
- 1 0.05G

Q122 A square pulse of 3 volts amplitude is applied to


C - R circuit shown in the figure. The capacitor is initially
uncharged. The output voltage V2 at time t = 2 sec is

(A) j29 W (B) j9 W


(C) j19 W (D) j39 W

Q119 For the circuit shown in the figure, Thevenin’s


voltage and Thevenin’s equivalent resistance at terminals
a - b is
(A) 3 V (B) - 3 V
(C) 4 V (D) - 4 V
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 3 Networks Page 59

GATE 2004 ONE MARK

Q123 Consider the network graph shown in the figure.


Which one of the following is NOT a ‘tree’ of this graph ?

(A) sin (103 t - 45c) (B) sin (103 t + 45c)


(C) sin (103 t - 53c) (D) sin (103 t + 53c)

Q127 For the R - L circuit shown in the figure, the input


voltage vi (t) = u (t). The current i (t) is

(A) a (B) b
(C) c (D) d

Q124 The equivalent inductance measured between the


terminals 1 and 2 for the circuit shown in the figure is

GATE 2004 TWO MARKS

(A) L1 + L2 + M (B) L1 + L2 - M
Q128 For the lattice shown in the figure, Za = j2 W
(C) L1 + L2 + 2M (D)L1 + L2 - 2M and Zb = 2 W . The values of the open circuit impedance
z11 z12
parameters 6z @ = =
z21 z22 G
are
Q125 The circuit shown in the figure, with R = 13 W, L = 14 H
and C = 3 F has input voltage v (t) = sin 2t . The resulting
current i (t) is

1-j 1+j 1-j 1+j


(A) =
1 + j 1 + jG
(B) =
-1 + j 1 - j G
1+j 1+j 1 + j -1 + j
(C) =
1 - j 1 - jG
(D) =
(A) 5 sin (2t + 53.1c) (B) 5 sin (2t - 53.1c) -1 + j 1 + j G
(C) 25 sin (2t + 53.1c) (D) 25 sin (2t - 53.1c)

Q129 The circuit shown in the figure has initial current


Q126 For the circuit shown in the figure, the time constant -
iL (0 ) = 1 A through the inductor and an initial voltage
RC = 1 ms. The input voltage is vi (t) = 2 sin 103 t . The
vC (0-) =- 1 V across the capacitor. For input v (t) = u (t),
output voltage vo (t) is equal to
the Laplace transform of the current i (t) for t $ 0 is
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 60 Networks Chapter 3

(A) s (B) s+2


s2 + s + 1 s2 + s + 1 (A) 3 (B) 4
(C) 2 s - 2 (D) 2 1 (C) 6 (D) 7
s +s+1 s +s+1

V (s) Q134 A source of angular frequency 1 rad/sec has a source


Q130 The transfer function H (s) = o of an RLC
Vi (s) impedance consisting of 1 W resistance in series with 1 H
circuit is given by
inductance. The load that will obtain the maximum power
H (s) = 106 transfer is
s2 + 20s + 106 (A) 1 W resistance
The Quality factor (Q-factor) of this circuit is (B) 1 W resistance in parallel with 1 H inductance
(A) 25 (B) 50
(C) 1 W resistance in series with 1 F capacitor
(C) 100 (D) 5000
(D) 1 W resistance in parallel with 1 F capacitor

Q131 For the circuit shown in the figure, the initial


V (s) Q135 A series RLC circuit has a resonance frequency of
conditions are zero. Its transfer function H (s) = c is 1 kHz and a quality factor Q = 100 . If each of R, L and C
Vi (s)
is doubled from its original value, the new Q of the circuit
is
(A) 25 (B) 50
(C) 100 (D) 200

Q136 The differential equation for the current i (t) in the


1 10 6 circuit of the figure is
(A) (B)
s + 106 s + 106
2
s + 103 s + 106
2

(C) 2 103 (D) 2 106


s + 103 s + 106 s + 106 s + 106

Q132 Consider the following statements S1 and S2


S1 : At the resonant frequency the impedance of a series
RLC circuit is zero. 2
(A) 2 d 2i + 2 di + i (t) = sin t
S2 : In a parallel GLC circuit, increasing the conductance dt dt
G results in increase in its Q factor. 2
Which one of the following is correct? (B) d 2i + 2 di + 2i (t) = cos t
dt dt
(A) S1 is FALSE and S2 is TRUE 2
(B) Both S1 and S2 are TRUE (C) 2 d 2i + 2 di + i (t) = cos t
dt dt
(C) S1 is TRUE and S2 is FALSE 2
(D) d 2i + 2 di + 2i (t) = sin t
(D) Both S1 and S2 are FALSE dt dt

GATE 2003 TWO MARKS


GATE 2003 ONE MARK

Q137 Twelve 1 W resistance are used as edges to form


Q133 The minimum number of equations required to
a cube. The resistance between two diagonally opposite
analyze the circuit shown in the figure is
corners of the cube is
(A) 5 W (B) 1 W
6
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 3 Networks Page 61

(C) 6 W (D) 3 W
5 2

Q138 The current flowing through the resistance R in


the circuit in the figure has the form P cos 4t where P is
3 (s + 3) 2 (s + 3)
(A) 2
(B) 2
s + 2s + 3 s + 2s + 2
3 (s + 3) 2 (s - 3)
(C) 2 (D) 2
s + 2s + 2 s - 2s - 3

Q142 An input voltage


(A) (0.18 + j0.72) (B) (0.46 + j1.90) v (t) = 10 2 cos (t + 10c) + 10 5 cos (2t + 10c)V
(C) - (0.18 + j1.90) (D) - (0.192 + j0.144) is applied to a series combination of resistance R = 1 W
and an inductance L = 1 H. The resulting steady-state
current i (t) in ampere is
Common Data Question 139 and 140: (A) 10 cos (t + 55c) + 10 cos (2t + 10c + tan-1 2)
Assume that the switch S is in position 1 for a long time (B) 10 cos (t + 55c) + 10 23 cos (2t + 55c)
and thrown to position 2 at t = 0 . (C) 10 cos (t - 35c) + 10 cos (2t + 10c - tan-1 2)
(D) 10 cos (t - 35c) + 23 cos (2t - 35c)

Q143 The impedance parameters z11 and z12 of the two-


port network in the figure are

Q139 At t = 0+ , the current i1 is


(A) - V (B) - V (A) z11 = 2.75 W and z12 = 0.25 W
2R R (B) z11 = 3 W and z12 = 0.5 W
(C) - V (D) zero (C) z11 = 3 W and z12 = 0.25 W
4R
(D) z11 = 2.25 W and z12 = 0.5 W
Q140 I1 (s) and I2 (s) are the Laplace transforms of i1 (t)
and i2 (t) respectively. The equations for the loop currents
GATE 2002 ONE MARK
I1 (s) and I2 (s) for the circuit shown in the figure, after the
switch is brought from position 1 to position 2 at t = 0
, are Q144 The dependent current source shown in the figure
R + Ls + Cs1 - Ls I1 (s) V
(A) >
R + Cs1 H I2 (s)
= G = G
s
=
- Ls 0
R + Ls + Cs1 - Ls I1 (s) - Vs
(B) >
R + Cs1 H=I2 (s)G = 0 G
=
- Ls
R + Ls + Cs1 - Ls I1 (s) - Vs
(C) > 1 H= G == G
- Ls R + Ls + Cs I2 (s) 0 (A) delivers 80 W (B) absorbs 80 W
R + Ls + 1
- Cs I1 (s) V
(C) delivers 40 W (D) absorbs 40 W
(D) > 1 H=
R + Ls + Cs I2 (s)G = 0 G
Cs s
=
- Ls
Q145 In the figure, the switch was closed for a long time
Q141 The driving point impedance Z (s) of a network has
before opening at t = 0 . The voltage vx at t = 0+ is
the pole-zero locations as shown in the figure. If Z (0) = 3
, then Z (s) is
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 62 Networks Chapter 3

Q149 If each branch of Delta circuit has impedance


3 Z , then each branch of the equivalent Wye circuit has
impedance
(A) Z (B) 3Z
3
(C) 3 3 Z (D) Z
3

Q150 The admittance parameter Y12 in the 2-port network


(A) 25 V (B) 50 V in Figure is
(C) - 50 V (D) 0 V

GATE 2002 TWO MARKS

Q146 In the network of the fig, the maximum power is


delivered to RL if its value is (A) - 0.02 mho (B) 0.1 mho
(C) - 0.05 mho (D) 0.05 mho

GATE 2001 TWO MARKS

Q151 The voltage e0 in the figure is


(A) 16 W (B) 40 W
3
(C) 60 W (D) 20 W

Q147 If the 3-phase balanced source in the figure delivers


1500 W at a leading power factor 0.844 then the value of
ZL (in ohm) is approximately (A) 48 V (B) 24 V
(C) 36 V (D) 28 V

Q152 When the angular frequency w in the figure is


varied 0 to 3, the locus of the current phasor I2 is given
by
(A) 90+32.44c (B) 80+32.44c
(C) 80+ - 32.44c (D) 90+ - 32.44c

GATE 2001 ONE MARK

Q148 The Voltage e0 in the figure is

(A) 2 V (B) 4/3 V


(C) 4 V (D) 8 V
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 3 Networks Page 63

(A) Low pass filter (B) High pass filter


(C) band pass filter (D) band reject filter

Q156 In the circuit of the figure, the voltage v (t) is

(A) eat - ebt (B) eat + ebt


(C) aeat - bebt (D) aeat + bebt
Q153 In the figure, the value of the load resistor RL which
maximizes the power delivered to it is
Q157 In the circuit of the figure, the value of the voltage
source E is

(A) 14.14 W (B) 10 W


(C) 200 W (D) 28.28 W

Q154 The z parameters z11 and z21 for the 2-port network
in the figure are (A) - 16 V (B) 4 V
(C) - 6 V (D) 16 V

GATE 2000 TWO MARKS

Q158 Use the data of the figure (a). The current i in the
circuit of the figure (b)
(A) z11 = 6 W; z21 = 16 W (B) z11 = 6 W; z21 = 4 W
11 11 11 11

(C) z11 = 6 W; z21 =- 16 W (D) z11 = 4 W; z21 = 4 W


11 11 11 11

GATE 2000 ONE MARK

Q155 The circuit of the figure represents a

(A) - 2 A (B) 2 A
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 64 Networks Chapter 3

(C) - 4 A (D) 4 A

GATE 1999 ONE MARK

Q159 Identify which of the following is NOT a tree of the


graph shown in the given figure is (A) 2 (B) 4
(C) 8 (D) 16

Q163 A Delta-connected network with its Wye-equivalent


is shown in the given figure. The resistance R1, R2 and R3
(in ohms) are respectively

(A) begh (B) defg


(C) abfg (D) aegh

Q160 A 2-port network is shown in the given figure. The


parameter h21 for this network can be given by
(A) 1.5, 3 and 9 (B) 3, 9 and 1.5
(C) 9, 3 and 1.5 (D) 3, 1.5 and 9

GATE 1998 ONE MARK

Q164 A network has 7 nodes and 5 independent loops.


(A) - 1/2 (B) + 1/2 The number of branches in the network is
(A) 13 (B) 12
(C) - 3/2 (D) + 3/2
(C) 11 (D) 10

GATE 1999 TWO MARKS


Q165 The nodal method of circuit analysis is based on
(A) KVL and Ohm’s law (B) KCL and Ohm’s law
Q161 The Thevenin equivalent voltage VTH appearing (C) KCL and KVL (D) KCL, KVL and Ohm’s
between the terminals A and B of the network shown in law
the given figure is given by

Q166 Superposition theorem is NOT applicable to


networks containing
(A) nonlinear elements
(B) dependent voltage sources
(C) dependent current sources
(D) transformers
(A) j16 (3 - j4) (B) j16 (3 + j4)
(C) 16 (3 + j4) (D) 16 (3 - j4) Q167 The parallel RLC circuit shown in the figure is in
resonance. In this circuit
Q162 The value of R (in ohms) required for maximum
power transfer in the network shown in the given figure is

(A) IR < 1 mA (B) IR + IL > 1 mA


This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 3 Networks Page 65

(C) IR + IC < 1 mA (D) IR + IC > 1 mA

Q168 The short-circuit admittance matrix a two-port


0 - 1/2
network is >
1/2 0 H
The two-port network is
(A) non-reciprocal and passive
(A) 0.5 V (B) 3.0 V
(B) non-reciprocal and active
(C) 3.5 V (D) 4.0 V
(C) reciprocal and passive
(D) reciprocal and active
Q170 A high-Q quartz crystal exhibits series resonance at
the frequency ws and parallel resonance at the frequency
Q169 The voltage across the terminals a and b in the
wp . Then
figure is (A) ws is very close to, but less than wp
(B) ws << wp
(C) ws is very close to, but greater than wp
(D) ws >> wp

***********
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 66 Networks Chapter 3

SOLUTION
S1 Correct option is (D). RB + jxB = 3 + jw
A two port network is reciprocal in transmission parameters RB = 3 W
if AD - BC = 1 i.e. Determinant (T) = 1
S6 Correct option is (B).
S2 Correct option is (B). Given
Maximum power delivered by the source to any load Vi ^ t h = 2 cos ^200t h + 4 sin 500t
2 Let us apply SPT [Super Position Theorem] only consider
Pmax = V s (W )
4R 2 cos 200t , then circuit becomes
Here power absorbed by the load
PL = vL .IL (W ) = (Vs - IL .R) .IL
= Vs .IL - I L2 R (W )
If IL = Vs
2R
Vs 2
PL = Vs . Vs - b 2R l .R
2R
2 2 2
= V s - V s = V s (W )
2R 4R 4R
PL = Pma
So, V0l^ t h = 2 cos 200t
S3 Correct option (D). Now only consider 4 sin 500t , then circuit becomes
10
Vc (0-) = b 5 l .2 = 4 V = Vc (0+)
Vc (3) = 5 # 2 = 10 V
t = Req.C = 6 # 0.1 = 0.6 sec
Vc (t) = 10 + (4 - 10) e-t/T
-1
= 10 - 6e 0.6 V for 0 # t # 3

S4 Correct answer is 0.3163.


At resonance, IR = I
IL = QI+ - 90c A
IC = QI+90c A So, again V0ll^ t h = 4 sin 500t
where Q = W0 CR Finally according to SPT
=R C V0 ^ t h = V0l^ t h + V0ll^ t h
L
V0 ^ t h = 2 cos ^200t h + 4 sin ^500t h

= 10 10 # 10-6
S7 Correct option is (A).
10 # 10-3

= 1 = 0.3163
10
| IL |
So, = Q = 0.3163
| IR |
S5 Correct answer is 3.
2s s zA + zC zC
z (s) = > H => H Consider a series RLC-Circuit with voltage source
s 3 + 2s zC zB + zC
Here
Here, zC = s V (t) = a1 cos w 0 t + a2 cos 2w 0 t + a 3 cos 3w 0 t
and zB + zC = 3 + 2s i (t) = b1 cos (w 0 t + f 2) + b 3 cos ^2w 0 t + f 2h
zB + s = 3 + 2s + b 3 cos (3w 0 t + f 3)
zB = 3 + s
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 3 Networks Page 67

3
= 0.53 W
i (t) = / b cos (kw t + f )
k 0 k
= 8 W
k=1
15
Where bk ! ak for all k
S10 Correct answer is 5.
S8 Correct answer is 0.8. Nodal & v - 60 - 0.04v + v = 0
x
5 8
where vx = 5v
8
& v = 40v
So, IR2 = I 3W
= V = 40 A
8 8
5 = 5A
V0 = b 2k l .2k = 2 V

V0c = Vth = V40k = i 40k .40k S11 Correct answer is 0.98 to 1.02.
Here direction of current & correct component was not
200
= b 50k l .40k mentioned
Circuit at t = 0-
= 160 V

Isc = 200 = 20 mA
10k

So, R th = Vth = 160 V = 8 kW


Isc 20 mA
2
Pmax = V s W
4RL
(160) 2
= = 0.8 W
4 # 8k
Now t = 0+
S9 Correct option is (D).

So, i ]0 +g = - 4
4
=- 1A
The magnitude of the current is 1 Amp

S12 Correct answer is i =- 1A

So, Rab = 12 + b 240 + 4 l 11 b1 + 60 l


105 1155 11 105
= 0.1143 + 0.41485
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 68 Networks Chapter 3

KVL
- V2 - 2I1 + 0 = 0
- V2 =- 2I1
& Z21 =- 2W
Nodal Also
^V - 8h V ^V - 8h V So, Z22 = V2
+ + + =0 I2 I = 0
1 1 1 1 1

= 3//6 = 2W
4V = 16
V = 4 Volts Z21 = V1
I2 I = 0
Now KCL KVL 1

i-4+4+1 = 0 - V1 - 2I2=0
i =- 1A V1=- 2I1
Z21=- 2W
S13 Correct option is (A). Z12=- 2W
2 -2
Z =>
-2 2 H
This is in Lattice form Thus W
where Za = 3W
Zb = 0W S14 Correct answer is 100.
Zc = 0W The equivalent resistance across terminal a -b is obtained
Zd = 6W by solving the circuit as
But it is not symmetrical & balanced

So, Z11 = V1
I1 I2 = 0

= 3//6 = 2 W

Z21 = V2
I1 I2 = 0
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 3 Networks Page 69

So, E = 1 CV 2
2
= 1 # .1 # 10-6 # 3 # 3
2
= 0.45 mJ

S17 Correct answer is 25.


For the quality factor Q , we have the voltage across
capacitor and inductor as
VC = QV and VL = QV , w 0 = 1
At resonance, LC
Q = w0L = 1 L
R R C
= 10 .1 mH = 10 = 2.5
4 1. 0 m F 4
Hence, we obtain

VC = QV = 2.5 # 10 = 25 volts
Hence, Rab = R = 300 W = 100 W
3 3
S18 Correct option is (*).
S15 Correct option is (A).
The admittance matrix is defined as
Given circuit is I1 y11 y12 V1
>I H = >y y H>V H
2 21 22 2l

I1 = y11 V1 + y12 V2
I2 = y21 V2 + y22 V2
Now, from the given circuit we have
I1 = V1 + V1 - V2
10 5
I1 = 0.3V1 - 0.2V2
By Nodal analysis
V2 - V1 = V1 + V1 + 2I
4 4 4
V2 - V1 = 5 (V1 /4 = I , or V1 = 4I )
4
V2 - V1 = 20
V2 = 20 + V1
V2 = 20 + 4I b 5 = I + I + 2I, or I = 54 l
Also, I2 = V2 + V2 - V1
10 5
Since, V1 = 4I = 5 V
Hence, V2 = 20 + 5 = 25 V I2 = V2 + V2 - V1
10 5 5
S16 Correct option is (C). I2 =- 0.2V1 + 0.3V2
For the given circuit, we have Hence, the admittance matrix is
0.3 - 0.2
Y =>
- 0.2 0.3 H
Thus, we can observe that any of the options is not correct.

S19 Correct answer is 100.


For the given circuit, we have
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 70 Networks Chapter 3

or Vab (t) = V0 sin (wt + f)


Since, the time average of sinusoidal signal is zero. So, we
get the average value of the voltage across capacitor (due
to ac voltage only) as
Vav1 = 1 Vabb (t) = 1 V0 sin (wt + f)
# #
T T T T
=0
Again, we consider the dc voltage 5V. In this case, circuit
becomes
So, V = V R2 + ^VC - VL h2

^100h2 = ^80h2 + ^VC - 40h2


^100h2 - ^80h2 = ^VC - 40h2
^180h^20h = ^VC - 40h2
VC - 40 = ! ^180 # 20h
In steady state, capacitor will be fully charged and behave
= ! 10 136 as open circuit. Also, the inductor will be short circuited
VC - 40 = ! 60
in steady state. Hence, the dc voltage across capacitor in
VC = 40 ! 60
steady state is
VC = 100 V
Vab2 = 5 V
S20 Correct answer is 5. So, the average value of the voltage across capacitor (due
We have the circuit to dc voltage only) is
Vav2 = Vab2 = 5 V
Hence, applying the super position theorem, we get the
net average value of voltage Vab as
Vav = Vav1 + Vav2
= 5 + 0 = 5V
NOTE :
Average value of dc voltage is same as its instantaneous
Now, we have to determine the average value of voltage value.
Vab . Here, the circut consists two voltage sources (one A.C
S21 Correct answer is 8.
and other D.C.). So, we may use superposition theorem to
obtain the desired average value of the voltage.
Firstly we consider ac voltage 5p sin (500t), the circuit
becomes

Applying KCL at node Vx ,


Vx + Vx - 0.25Vx + 0.5V = 5
x
In steady state, the voltage across capacitor is given by 20 10
Vab1 = 5p+0° 1 Vx b 1 + 0.75 + 0.5 l = 5
1k + jw (10
1
-6 + j w (10 -3
) + 2 k
#
j w (10 -6
) 20 10
)
Vx = 8 V
Here, we have w = 5000 rad/sec. Solving the above
equation, we will get the voltage across capacitor in the S22 Correct answer is 0.5.
form At very high frequencies capacitors acts short circuit
Vab1 = V0 +f because
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 3 Networks Page 71

ZC = 1 and at w " 3, s " 0 2j 8j ^2 - 2j h


So, VTh^rmsh = 4 # =
^2 + 2j h ^2 - 2j h
c
j wC 2 + 2j #
So, the circuit reduces to 8j
8^
= 2 - 2j h = 2 + 2j

= 2 2 45c

By voltage division rule,


1K
V0 = b 1K + 1K l Vi For maximum power,
RL = ZTh = 2W
= Vi = 1.0 sin wt 2 2 45c
2 2 So, Pmax = I 2 RL = # 2
j + 2
At peak voltage, sin wt = 1 = 1.649 W
Hence, V0 = 0.5 V
S25 Correct option is (C).
S23 Correct answer is 10.
Damping ratio is given by
Given circuit is
e = 1 (for series RLC circuit)
2Q
where Q = w0L = 1 b L l = 1 L
R LC R R C
So, e=R C
2 L
S26 Correct answer is 2.5284.
For the given circuit, we have
Applying KCL at node A,
1 A = 12 - VA + VA
3 6
1 = 12 - VA + VA
3 6
VA = 10 V

S24 Correct answer is 1.649.


We have the circuit,
Initially, capacitor oppose any voltage change across it
and thus will act as short circuit, so
Vc ^ t h t = 0 = 0
+

At t = 3,

Thevenin equivalent of the circuit is obtained as


2 2j 2 - 2j
Zth = 2 || 2j = # #
2 + 2j 2 - 2j
8j + 8
= = 1+j After getting fully changed, capacitor will act as open
8
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 72 Networks Chapter 3

circuit So, I 0 = V0 b 1 + 1 l = V0 b 3 l
2 4 4
Vc ^ t h t = 3 = 2 # 10 = 4 V
2+3 V0 = 4 = 1.333
Therefore, Vc ^ t h = Vc ^3h - 6Vc ^3h - Vc ^0 h@e-t/t I0 3
= 4 ^1 - e- t h
t
Req = R norton = 1.333 .
where t = Req C = R thevein C = ^3112h # 56
S29 Correct answer is 1.5.
= 65 # 56 = 1 The capacitor can be represented in Laplace domain as
Hence, Vc ^ t h = 4 ^1 - e-t h
At t = 1, Vc ^ t h t = 1 = 2.5284 V

S27 Correct option is (B).

where V0 is initial voltage. So, the given circuit result in

Total admittance, Y = Yc + YLR


Y = jw C + 1
^ jw L + R h
1 ^R - jwL h
Y = j wC +
^R + jwL h^R - jwL h
R - jwL
Y = jw C + 2
R + w2 L2 From the circuit,
Y = 2 R 2 2 + j c wC - 2 wL 2 2 m ^3/s - 1/s h
I ^s h =
R +w L R +w L 10 + ^1/3s + 1/s h
For Resonance,Im ^Y h = 0 2/s
=
wC = 2 wL 2 2 10 + ^4/3s h
R +w L
2/s
2 2 2
R C+w L C = L =
^30s + 4h /3s
w2 L2 C = L - R2 C
R2 = 3s b 2 l
w2 = L - 2
30s + 4 s
LC 6 3
_1 - RLC i = =
2

30s + 4 15s + 2
=L
L2 C 3
=
1 - R2 C 15s + 2
w= 1
L
LC = 3d 12 n
15 s + 15
Hence, f = 1 1 - R2 C
2p LC L
= 1d 1 2 n
5 s + 15
S28 Correct answer is 1.33.
i ^ t h = 1 e- t u ^ t h
2
Therefore, 15

5
Hence, energy dissipated
# t2 ^t hRdt = 251 # e-^4/15ht ^10hdt
0 3

-3 0

= 10 # e ^ h dt
3 - 4/15 t

25 0

To find Norton equivalent, an external source V0 is applied = 10 # 15 = 1.5 Joules


25 4
and current through it is I 0 . So, we have
S30 Correct answer is 20.
Req = V0 Converting to phasor domain for AC analysis
I0
I 0 = V0 + V0 + V0 - 2 bV0 l
4 2 2 4
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 3 Networks Page 73

S32 Correct option is (C).


Consider the cascaded network shown below

If current I = 0 , Since, the output impedance of system 1 is Z1 and input


Voltage at node A = input voltage impedance of system 2 is Z2 . So, we have the equivalent
Applying KCL at node A, circuit is
Vi + Vi =0
j 5 j ^5 - 50001C h
or 1 = -1
j5 j^ - 5000C h
1
5
For value of C , we solve above equation. Hence,
C = 20 mF

S31 Correct option is (B).


Now, we consider a circuit with internal impedance Zin
ABCD parameters are defined as
and load impedance ZL
V1 A B V2
> H => H> H
I1 C D - I2
V1 = AV2 - BI2
I1 = CY2 - DI2

For maximum power transfer, the condition is


Z in* = Z2
Comparing this condition to cascaded system, we have the
required condition for maximum power transfer as
From the circuit, Z 1* = Z2
A = V1
V2 S33 Correct option is (A).
V2 = 2 V1 We redraw the electrical network as
7 + 4j
V1 = A = 7 + 4j = 3.5 + 2j
V2 2
I1 = C
V2
I1 = V1
7 + 4j
2
V2 = b 7 + 4j l V1
2
V2 = b 7 + 4j l (I1) (7 + 4j)
I1 = 0.5 = C From the circuit, we have
V2 i2 = i 4 + i1 =- 1 + 2 = 1 A
Similarly, we may obtain other parameters. The value of i 3 = i5 + i2 =- 4 + 1 =- 3 A
parameter C satisfies the option (B) only. So, the ABCD i 6 = i1 - i 3 = 2 - ^- 3h = 5 A
parameters are
3.5 + j2 30.5 S34 Correct option is (B).
= G Consider the two port network shown in figure below.
0.5 3.5 - j2
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 74 Networks Chapter 3

The scattering parameter is


s11 s12
6S @ = >s s H
So, we have
21 22 Before t = 0 , the switch was open for a long time. So, the
vo1 = S11 vi1 + S12 vi2 ...(1) capacitor behaves as open circuit and inductor as short
vo2 = S21 vi1 + S22 vi2 ...(2) circuit. Hence, we get
10 (4 + 1)
Now, the port 2 is short circuited, so we get the two port VC (0-) = # =5V
5+4+1
network as
iL (0-) = 10 = 1 mA
5+4+1

From the network, we may conclude that


vi2 =- vo2 ...(3)
substituting it is equation (2), we have At t = 0 , switch is closed. But, we know the properties:
vo2 = s21 vi1 + s22 ^- vo2h 1. Capacitor opposes the change in voltage across it
vo2 = s21 vi1 ...(4) 2. Inductor opposes the, change in current through it.
1 + s22
So, we have the equivalent circuit at t = 0+ as shown below
Substituting equation (3) in equation (1)
vo1 = s11 vi1 + s12 ^- vo2h
Again, substituting equation (4) in above expression, we
have
vo1 = s11 vi1 - s12 s21 vi1
1 + s22
= bs11 - s12 # s21 l vi1
1 + s22
vo1 = s11 + s11 s22 - s12 s21 Applying KVL, we have
Hence,
v i1 1 + s22 5 - 4i = 0
This is the resultant parameter for one port network.
i = 5 = 1.25
4
S35 Correct option is (D).
S37 Correct anser is 0.5.
Norton’s theorem states that a complex network connected
To obtain the current I , we rearrange the circuit as
to a load can be replaced with an equivalent impedance
in parallel with a current source as shown in figure below.

S36 Correct answer is 1.25.


Given the circuit
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 3 Networks Page 75

Hence, i = 28 = 2.8 mA.


10
S41 Correct answer is 29.09.
For the given problem, we sketch the Y -network as

5
Hence, i = b 5 + 5 + 10 l # 2 = 0.5

S38 Correct option is (A)


Given voltage-current relation,
Vs = Ri (t) + 1 i (t) dt
t

C 0 #
For this voltage-current relation, we obtain the circuit as

For the given Y -network; we obtain the equivalent


resistances of T-network as
From the circuit, we have R A = R1 R 2 + R 2 R 3 + R1 R 3
R1
i (t) = i 0 e-t/RC (Charging of C)
Hence, we represent current i ^ t h on the graph as = 11 # 10 + 10 # 10 + 11 # 10 = 29.09 W
11
R B = R1 R 2 + R 2 R 3 + R1 R 3
R2
= 11 # 10 + 10 # 10 + 11 # 10 = 32 W
10
RC = R1 R2 + R2 R 3 + R1 R 3
R3
= 11 # 10 + 10 # 10 + 11 # 10
10
= 32 W
Hence, the lowest value among the three resistance is
S39 Correct option is (C). RA = 29.09 W
In the given circuit, we have Note : Since, we have to determine lowest value, so the
Input source = current
resistance should be determined only for the expression
Output side dependent source = current
having maximum value in denominator. Thus, the
So, the circuit represents current controlled current source.
calculation of RB and RC are not required.
S40 Correct answer is 2.8.
S42 Correct option is (B).
We convert both the current source into equivalent voltage
Consider the circuit diagram for given problem as shown
source as
below.

Load delivered to Z1 is
P1 = 10 kW
Applying KVL in the circuit, cos f 1 = 0.8 , leading
- 20 + ^2 + 1 + 4 + 3h i - 8 = 0 So, we obtain the complex power delivered to Z1 as
s1 = P1 + jQ1 = 10 + jP1 tan f 1
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 76 Networks Chapter 3

= 10 + j10 ^- 0.75h = 10 - j 7.5 For the response i ^ t h to be critically damped we must have
Again, the delivered power to load Z2 as equal roots of denominator. The roots of denominator is
s2 = 10 kVA given by
cos f 2 = 0.8 , lagging 4Cs2 + 40Cs + 1 = 0
So, we obtain the complex power delivered to load Z2 as - 40C ! ^40C h2 - 4 ^4C h
s=
s2 = P2 + jQ2 2 ^4C h
= S2 cos f 2 + j S2 sin f 2
For the roots to be equal, the required conditions is
= ^10h^0.8h + j ^10h^0.6h
^40C h2 - 4 ^4C h = 0
= 8 + j6
C ^1600C - 16h = 0
Hence, the total complex power delivered by the source
is C = 16 F
1600
s1 + s2 = ^10 - j 7.5h + ^8 + j 6h
= 10 mF
= ^18 - j1.5h kVA
This is the required value of C to have critically damped
response i ^ t h.
S43 Correct answer is 0.408.
We have the waveform of periodic variable x as shown
S45 Correct answer is 1.24.
below.
S46 Correct answer is 0.45 r/sec.

S47 Correct option is (A).


Given the circuit,

From the waveform, we define variable x in the period T


as
2t
0 < t < T/2
x^t h = * T
2 < t < T
T
0,
So, the rms value of x ^ t h is obtained as Initially, the capacitor is uncharged, i.e.
1 T x2 dt Vc (0) = 0
x rms =
T 0 # For t > 0 the capacitor is being charged by the voltage
1 T 2t 2 dt source of 5 V. The maximum voltage across capacitor
= #
T 0 bT l (at t = 3) is
= 4 T t2 dt =
# 4 t3 T
: D Vc (3) = 5 # R2 = 5 # 2 = 10
3
T 0 T3 3 0 R1 + R 2 2+1 3
4 T3 = 1 Therefore, the voltage across capacitor at any particular
=
T33#8 6 time t is given as
= 0.4083 Vc (t ) = Vc (3) + 6Vc (0) - Vc (3)@e-t/t
10
= 10 + :0 - 3 D e-t/t
S44 Correct answer is 10 mF. 3
We have the RLC circuit as shown below = 10 (1 - e-t/t)
3
Hence, the current I (t ) through resistance R2 is
V (t ) 5
I (t ) = c = (1 - e-t/t)
R2 3
where t is the charging time given as t = Req C
where Req is the equivalent resistance across capacitor,
Applying kirchoff’s voltage low in frequency domain, we obtained as
have
Req = R1 R2 = 1 # 2 = 2 kW
40I + 4sI + I = V0 R1 + R 2 1+2 3
sC 2
V0 sC Hence, t = b 3 k l # (1m) = 2 m sec
I = 3
4Cs2 + 40Cs + 1
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 3 Networks Page 77

S48 Correct answer is 2.50 H.


= 1 = 1
We have the magnetically coupled circuit as shown below
1+ 1
s+1 + 1
sRC 1 + s +s 1 + s1
Hence,
V2 (s) s (s + 1)
= s =
V1 (s) s + 1 s (s + 1) + s + s + 1G
2
= 2 s
s + 3s + 1

Given that 56% of the total flux emanating from one coil
Get More Study Material
links the other coil. So, we have the coupling factor
k = 56%
by Whatsapp. Send
or k = 0.56
So, the value of mutual inductance is Your College Pin Code,
M = k L1 L 2
= 0.56 4 # 5 = 2.50 H Branch and BTech year to
S49 Correct option is (B). 9414243489 by whatsapp
We redraw the given network N as

S50 Correct option is (D).


We redraw the given circuit as

Since RC = 1
So, the Thevenin equivalent of the circuit is
Vxxl = Vth = R V (s) Using Nodal analysis,
1
R+ 1 1 1
sC V1 :- j3 + 4 D - V2 = 4 + I (1)
4
s
= a s + 1 k V1 (s) 1 1 1
and V2 : 4 + 6 + j6 D - V1 =- I (2)
1 4
R#
and Rth = R < 1 = sC
Adding equations (1) and (2), we get
sC R+ 1
sC
V1 + V2 [1 - j] = 4 (3)
= R = R - j3 6
sRC + 1 s + 1
Again, from the given circuit, we have
Thus, we draw the Thevenin equivalent circuit as
V1 - V2 = 10
or V1 = 10 + V2
Substituting it in equation (3),
j (10 + V2) V2
+ [ 1 - j] = 4
3 6
or 2 (10 + V2) j + V2 [1 - j] = 24
24 - j 20
Hence, V2 =
1+j
From the circuit, we get
V3 (s) (24 - j 20) (1 - j)
= R =
2
Vth R + Rth + 1 4 - j 44
sC = = 2 - j 22
V3 (s) R 2
=
^ s +s 1 h V1 (s) bR + R + 1 l
s+1
sC
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 78 Networks Chapter 3

S51 orrect answer is 10. Given steady state output,


As we have to determine the value of R1 (in W ) in the y ^ t h = A ^wh sin ^wt + f ^whh (1)
equivalent T-network, we redraw the circuit as Also, we obtain the equivalent capacitance between A and
B as
Co = Ceq = C + C = 3C
2 2
So, the circuit reduces to

From the circuit, we obtain So, the voltage gain is given by


R1 = 5 # 2 + 3 # 7.5 + 7.5 # 5 = 10 1
7.5
vo = jwCo = 1
S52 Correct answer is 2. vi R+ 1 1 + j wRCo
jwCo
To obtain the Norton-equivalent impedance, we redraw
2y ^ t h 1
the circuit as or = ejq
vi ^ t h 1 + R ^ jwCo h
y^t h
or =1 1
sin wt 2 1 + j wRCo

or A ^w h = 1 1
2 1 + j wRCo
Since, the output amplitude is A ^wh = 0.25 , so we get
1 1 =1
From the circuit, we have 2 1 + ^RwCo h2 4
jw
X L = jw L =
2 or 1 + ^RwCo h2 = 4
-j
XC = 1 = or RwCo = 3
jw L w
Hence, the Norton-equivalent impedance is 2
jw w= (C 0 = 3C/2 )
1 j 3 RC
Z = (R < XL) + XC = # jw2 -
1+ 2 w
S54 Correct answer is 31.25.
jw j jw (2 - jw) j At t " 3 circuit becomes as
= - = -
2 + jw w 4 + w2 w
2jw j w 2
= 2 - w +
4+w 4 + w2
For Z to be real, we must have
2w - 1 = 0
4w 2 w
or 2w 2 = 4 + w 2
or w =2
From the circuit, we have
S53 CCorrect option is (B). vo ^ t h = 5ix (1)
The given circuit can be redrawn as and vo ^ t h = 2ix + 5 ^10 - ix h (2)
From equations (1) and (2), we get
5ix = 2ix + 50 - 5ix
or 8ix = 50

ix = 50
8
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 3 Networks Page 79

Hence, the output voltage for t " 3 is


vo = 5ix = 250 = 31.25 V
8
S55 Correct answer is 2.6180.
We consider one section of the given infinite ladder
network.

The T -network parameters are


R1 = 30 # 10 = 3 W
100
R2 = 60 # 30 = 18 W
100
R 3 = 60 # 10 = 6 W .
100
So, we have the equivalent circuit as

Again, considering the section right to xxl,

Hence, the z -parameters are obtained as


z1 + z 3 z 3 9 6
6z @ = > z z2 + z3H =>
6 24H
3

S57 Option (B) is correct.


So, the equivalent resistance is In the equivalent star connection, the resistance can be
given as
R 0 = R + RR 0 Rb Ra
R + R0 RC =
Ra + Rb + Rc
or R 0 ^R + R 0h = R ^R + R 0h + RR 0
RB = Ra Rc
Ra + Rb + Rc
or R 0 = c 1 + 5 m R = 1.6180R
2 Rb Rc
RA =
Thus, the equivalent circuit is Ra + Rb + Rc
So, if the delta connection components Ra , Rb and Rc
are scaled by a factor k then
^k Rb h^k Rc h
RAl =
kRa + kRb + kRc
2
=k Rb Rc = k RA
k Ra + Rb + Rc
Hence, it is also scaled by a factor k
From the circuit, we get the equivalent resistance as
Re = R + R 0 = 2.6180R S58 Option (D) is correct.
For the given capacitance, C = 100mF in the circuit, we
Hence, Re = 2.6180 have the reactance.
R 4
XC = 1 = 1 = 10
S56 Correct option is (C). sc s # 100 # 10 -6 s
So,
The given two-port network is a p -network. By T-Y 10 4 + 10 4
V2 ^s h
conversion technique, we form the T -network as = 4 s = s+1
V1 ^s h 10 + 10 4 + 10 4 s+2
s s
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 80 Networks Chapter 3

S59 Option (C) is correct. S62 Option (C) is correct.


For the purely resistive load, maximum average power is The quality factor of the inductances are given by
transferred when q 1 = wL 1
2 2 R1
RL = RTh + XTh
where RTh + jXTh is the equivalent thevenin (input) im- and q 2 = wL 2
R2
pedance of the circuit. Hence, we obtain
RL = 42 + 32 5 W So, in series circuit, the effective quality factor is given
by
XLeq
S60 Option (C) is correct. Q = = wL 1 + wL 2
For evaluating the equivalent thevenin voltage seen by the Req R1 + R 2
load RL , we open the circuit across it (also if it consist wL 1 + wL 2 q1 q
+ 2 q R + q2 R2
R
= 1 2R R R
1 2
= 2R R 2
= 1 1
dependent source). 1 + 1 1 + 1 R1 + R 2
The equivalent circuit is shown below R 2 R1 R 2 R1
S63 Option (C) is correct.

As the circuit open across RL so


I2 = 0 Consider that the voltage across the three capacitors C1 ,
or, j40I2 = 0 C2 and C 3 are V1 , V2 and V3 respectively. So, we can write
i.e., the dependent source in loop 1 is short circuited. V2 = C 3 ....(1)
Therefore, V3 C2
^ j4h Vs Since, Voltage is inversely proportional to capacitance
VL1 =
j4 + 3 Now, given that C1 = 10 mF ; ^V1hmax = 10V
VTh = 10 VL1 =
j40
100 53.13c C2 = 5 mF ; ^V2hmax = 5 V
j4 + 3 C 3 = 2 mF ; ^V3hmax = 2V
40 90c So, from Eq (1) we have
= 100 53.13c = 800 90c
5 53.13c V2 = 2
V3 5
S61 Option (C) is correct.
for ^V3hmax = 2
For the given transformer, we have
V = 1.25 We obtain, V2 = 2 # 2 = 0.8 volt < 5
5
VWX 1
i.e., V2 < ^V2hmax
Hence, this is the voltage at C2 . Therefore,
V3 = 2 volt
V2 = 0.8 volt
and V1 = V2 + V3 = 2.8 volt
Now, equivalent capacitance across the terminal is
Ceq = C2 C 3 + C1 = 5 # 2 + 10
C2 + C3 5+2
Since, VYZ = 0.8 (attenuation factor) = 80 mF
V 7

^ h^ h
So, VYZ = 0.8 1.25 = 1 Equivalent voltage is (max. value)
VWX Vmax = V1 = 2.8
or, VYZ = VWX So, charge stored in the effective capacitance is
at
V
VWX = 100 V ; YZ = 100
1
Q = Ceq Vmax = b 80 l # ^2.8h = 32 mC
1
VWX 1
100 7
V
at VWZ = 100 V ; WX = 100
2
S64 Option (D) is correct.
2
VYZ 2
100
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 3 Networks Page 81

Alternate Method:
Z = (4 - j3) W ,
I = 5 cos (100pt + 100) A
Pavg = 1 Re $ I Z .
2
2

= 1 # Re "(5) 2 # (4 - j3),
2
= 1 # 100 = 50 W
2
At the node 1, voltage is given as
V1 = 10 volt S68 Option (C) is correct.
Applying KCL at node 1
IS + V1 + V1 - 2 = 0
2 1
IS + 10 + 10 - 2 = 0
2 1
IS = - 13 A
Also, from the circuit,
VS - 5 # 2 = V1 & VS = 10 + V1 = 20 volt

S65 Option (C) is correct.


Again from the shown circuit, the current in 1 W resistor is
I = V1 = 10 = 10 A
1 1
S66 Option (D) is correct.
The s -domain equivalent circuit is shown as below. Applying nodal analysis at top node.
V1 + 1 0c V1 + 1 0c
+ = 1 0c
1 j1
V1 (j 1 + 1) + j 1 + 1 0c = j1
V1 = - 1
1 + j1
1
V1 + 1 0c - 1 + j + 1
Current I1 = =
j1 j1
j
= = 1 A
vc (0) /s v (0) (1 + j) j 1 + j
I (s) = = c
1 + 1 1 + 1 S69 Option (A) is correct.
C1 s C 2 s C1 C 2
In the given circuit
VA - VB = 6 V
I (s) = b C1 C2 l (12 V) = 12Ceq vC (0) = 12 V
C1 + C 2 So current in the branch will be
Taking inverse Laplace transform for the current in time IAB = 6 = 3 A
2
domain,
i (t) = 12Ceq d (t) (Impulse) We can see, that the circuit is a one port circuit looking
from terminal BD as shown below
S67 Option (B) is correct.
In phasor form, Z = 4 - j 3 = 5 - 36.86cW
I = 5 100c A
Average power delivered.
Pavg. = 1 I 2 Z cos q
2
= 1 # 25 # 5 cos 36.86c = 50 W
2
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 82 Networks Chapter 3

For a one port network current entering one terminal,


equals the current leaving the second terminal. Thus or P = 42 + 70R2
(2 + R)
the outgoing current from A to B will be equal to the 2
dP = (2 + R) 70 - (42 + 70R) 2 (2 + R) = 0
incoming current from D to C as shown dR (2 + R) 4
i.e. IDC = IAB = 3 A
(2 + R) [(2 + R) 70 - (42 + 70R) 2] = 0
& R = 0.8 W

S71 Option (C) is correct.


When 10 V is connected at port A the network is

The total current in the resistor 1 W will be


I1 = 2 + IDC (By writing KCL at node D )
= 2+3 = 5A
So, VCD = 1 # (- I1) =- 5 V

S70 Option (A) is correct.


We obtain Thevenin equivalent of circuit B . Now, we obtain Thevenin equivalent for the circuit seen
at load terminal, let Thevenin voltage is VTh, 10 V with 10 V
applied at port A and Thevenin resistance is RTh .

Thevenin Impedance :

VTh,10 V
IL =
RTh + RL
For RL = 1 W , IL = 3 A
V
3 = Th,10 V ...(i)
RTh + 1
ZTh = R For RL = 2.5 W , IL = 2 A
Thevenin Voltage : V
2 = Th,10 V ...(ii)
VTh = 3 0c V RTh + 2.5
Now, circuit becomes as Dividing above two
3 = RTh + 2.5
2 RTh + 1
3RTh + 3 = 2RTh + 5
RTh = 2 W
Substituting RTh into equation (i)
VTh,10 V = 3 (2 + 1) = 9 V
Note that it is a non reciprocal two port network. Thevenin
voltage seen at port B depends on the voltage connected
at port A. Therefore we took subscript VTh,10 V . This is
Current in the circuit, I1 = 10 - 3 Thevenin voltage only when 10 V source is connected
2+R
at input port A. If the voltage connected to port A is
Power transfer from circuit A to B different, then Thevenin voltage will be different. However,
P = (I 12) 2 R + 3I1 Thevenin’s resistance remains same.
= :10 - 3D R + 3 :10 - 3D
2

2+R 2+R Now, the circuit is as shown below :


This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 3 Networks Page 83

S74 Option (C) is correct.


Power transferred to RL will be maximum when RL is
equal to the Thevenin resistance. We determine Thevenin
resistance by killing all source as follows :

VTh,10 V
For RL = 7 W , IL = = 9 = 1A
2 + RL 2 + 7

S72 Option (B) is correct.


Now, when 6 V connected at port A let Thevenin voltage
seen at port B is VTh,6 V . Here RL = 1 W and IL = 7 A
3
RTH = 10 # 10 + 10 = 15 W
10 + 10

S75 Option (A) is correct.


The given circuit is shown below

VTh, 6 V = RTh # 7 + 1 # 7 = 2 # 7 + 7 = 7 V
3 3 3 3
This is a linear network, so VTh at port B can be written
as
VTh = V1 a + b
For parallel combination of R and C equivalent impedance
where V1 is the input applied at port A.
is
We have V1 = 10 V , VTh,10 V = 9 V
9 = 10a + b ...(i) R$ 1
` jw C R
When V1 = 6 V , VTh, 6 V = 9 V Zp = =
R+ 1 1 + jwRC
` 7 = 6a + b ...(ii) jw C
Solving (i) and (ii) Transfer function can be written as
a = 0.5 , b = 4 R
Vout = Z p 1 + j wRC
Thus, with any voltage V1 applied at port A, Thevenin =
Vin Zs + Zp R+ 1 + R
voltage or open circuit voltage at port B will be jwC 1 + jwRC
So, VTh, V = 0.5V1 + 4
1
jwRC
For V1 = 8 V =
jwRC + (1 + jwRC) 2
VTh,8 V = 0.5 # 8 + 4 = 8 = Voc (open circuit j
voltage) = Here w = 1
j + (1 + j) 2 RC
Vout = j
S73 Option (A) is correct. =1
Vin (1 + j) 2 + j 3
Replacing P - Q by short circuit as shown below we have V
Thus v out = b p l cos (t/RC)
3

S76 Option (B) is correct.


From star delta conversion we have

Using current divider rule the current Isc is


ISC = 25 (16 0 ) = (6.4 - j4.8) A
25 + 15 + j30
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 84 Networks Chapter 3

Thus R1 = Ra Rb = 6.6 = 2W
Ra + Rb + Rc 6 + 6 + 6
Here R1 = R 2 = R 3 = 2 W
Replacing in circuit we have the circuit shown below :

Writing node equation at input port


I1 = V1 + V1 - V2 = 4V1 - 2V2 ...(1)
0.5 0.5
Writing node equation at output port
I2 = V2 + V2 - V1 =- 2V1 + 4V2 ...(2)
0.5 0.5
From (1) and (2), we have admittance matrix
4 -2
Y =>
Now the total impedance of circuit is - 2 4H
(2 + j4) (2 - j4)
Z = +2 = 7W
(2 + j4) (2 - j4) S80 Option (D) is correct.
Current I = 14+0c = 2+0c A parallel RLC circuit is shown below :
7

S77 Option (D) is correct.


From given admittance matrix we get
I1 = 0.1V1 - 0.01V2 and ...(1)
I2 = 0.01V1 + 0.1V2 ...(2)
Now, applying KVL in outer loop;
V2 =- 100I2 Input impedance Z in = 1
or I2 =- 0.01V2 ...(3) 1 + 1 + jw C
From eq (2) and eq (3) we have R jw L
At resonance 1 = wC
- 0.01V2 = 0.01V1 + 0.1V2 wL
- 0.11V2 = 0.01V1
V2 = - 1 So, Z in = 1 = R
V1 11 1/R
(maximum at resonance)

Thus (D) is not true.


S78 Option (A) is correct.
Here we take the current flow direction as positive. Furthermore bandwidth is wB i.e wB \ 1 and is
R
At t = 0- voltage across capacitor is independent of L,
-3
Q Hence statements A, B, C, are true.
VC (0-) =- =- 2.5 # 10-6 =- 50 V
C 50 # 10
Thus +
VC (0 ) =- 50 V S81 Option (A) is correct.
In steady state capacitor behave as open circuit thus Let the current i (t) = A + Be-t/t t " Time constant
V (3) = 100 V When the switch S is open for a long time before t < 0 ,
Now, VC (t) = VC (3) + (VC (0+) - VC (3)) e-t/RC the circuit is
-t
= 100 + (- 50 - 100) e 10 # 50 # 10-6

3
= 100 - 150e- (2 # 10 t)
Now ic (t) = C dV
dt
3 3
= 50 # 10-6 # 150 # 2 # 103 e-2 # 10 t A = 15e-2 # 10 t
ic (t) = 15 exp (- 2 # 103 t) A
At t = 0 , inductor current does not change simultaneously,
So the circuit is
S79 Option (A) is correct.
Given circuit is as shown below
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 3 Networks Page 85

Current is resistor (AB)


i (0) = 0.75 = 0.375 A
2
Similarly for steady state the circuit is as shown below

Applying nodal analysis


VA - 10 + 1 + VA - 0 = 0
2 2
2VA - 10 + 2 = 0 = V4 = 4 V
Current, I1 = 10 - 4 = 3 A
i (3) = 15 = 0.5 A 2
3
-3 Current from voltage source is
t = L = 15 # 10 = 10-3 sec I 2 = I1 - 3 = 0
Req 10 + (10 || 10)
t Since current through voltage source is zero, therefore
i (t) = A + Be- 1 # 10 = A + Be-100t
-3
power delivered is zero.
Now i (0) = A + B = 0.375
and i (3) = A = 0.5 S84 Option (A) is correct.
So, B = 0.375 - 0.5 =- 0.125 Circuit is as shown below
Hence i (t) = 0.5 - 0.125e-1000 t A

S82 Option (A) is correct.


Circuit is redrawn as shown below

Since 60 V source is absorbing power. So, in 60 V source


current flows from + to - ve direction
Where, Z1 = jwL = j # 103 # 20 # 10-3 = 20j
So, I + I1 = 12
Z2 = R || XC
I = 12 - I1
XC = 1 = 1 =- 20j I is always less then 12 A So, only option (A) satisfies
jwC j # 103 # 50 # 10-6
1 (- 20j) this conditions.
Z2 = R = 1W
1 - 20j
S85 Option (C) is correct.
Voltage across Z2
- 20j For given network we have
c 1 - 20j m (RL XC ) Vi
VZ = Z2 : 20 0 = : 20 V0 =
R + (RL XC )
Z1 + Z 2 20j
c 1 - 20j m
2

20 j -
RL
V0 (s) 1 + sRL C RL
(- 20j) = =
=c
20j + 400 - 20j m
: 20 =- j Vi (s) R+ R L R + RRL sC + RL
1 +RsR
L LC 1
= =
Current in resistor R is R + RRL sC + RL 1+ R + RsC
V j RL
I = Z =- =- j A
2

R 1 But we have been given


V (s) 1
T .F. = 0 =
S83 Option (A) is correct. Vi (s) 2 + sCR
Comparing, we get
The circuit can be redrawn as 1 + R = 2 & RL = R
RL
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 86 Networks Chapter 3

S86 Option (C) is correct. resistance Req i.e. RL = Req . The open circuit and short
The energy delivered in 10 minutes is circuit is as shown below
t t
E = #0 VIdt = I #0Vdt = I # Area

= 2 # 1 (10 + 12) # 600 = 13.2 kJ


2
S87 Option (B) is correct.
From given circuit the load current is
IL = V = 20+0c = 20+0c
Zs + ZL (1 + 2j) + (7 + 4j) 8 + 6j
= 1 (8 - 6j) = 20+0c = 2+ - f where f = tan - 1 3
5 10+f 4 The open circuit voltage is
The voltage across load is Voc = 100 V
VL = IL ZL From fig I1 = 100 = 12.5 A
8
The reactive power consumed by load is
Pr = VL IL* = IL ZL # IL* = ZL IL 2 Vx =- 4 # 12.5 =- 50 V
2
= (7 # 4j) 20+0c = (7 + 4j) = 28 + 16j I2 = 100 + Vx = 100 - 50 = 12.5 A
8 + 6j 4 4
Thus average power is 28 and reactive power is 16. Isc = I1 + I2 = 25 A
Rth = Voc = 100 = 4 W
Isc 25
S88 Option (B) is correct.
Thus for maximum power transfer RL = Req = 4 W
At t = 0- , the circuit is as shown in fig below :
S90 Option (A) is correct.
Steady state all transient effect die out and inductor act
as short circuits and forced response acts only. It doesn’t
depend on initial current state. From the given time
domain behavior we get that circuit has only R and L in
series with V0 . Thus at steady state
V (0-) = 100 V i (t) " i (3) = V0
R
Thus V (0+) = 100 V
At t = 0+ , the circuit is as shown below S91 Option (C) is correct.
The given graph is

There can be four possible tree of this graph which are as


I (0+) = 100 = 20 mA follows:
5k
At steady state i.e. at t = 3 is I (3)= 0
i (t) = I (0+) e- u (t)
t
Now RCeq

(0.5m + 0.3m) 0.2m


Ceq = = 0.16 m F
0.5m + 0.3m + 0.2m
There can be 6 different possible cut-set.
1 = 1 = 1250
RCeq 5 # 10 # 0.16 # 10-6
3

i (t) = 20e-1250t u (t) mA

S89 Option (C) is correct.


For Pmax the load resistance RL must be equal to thevenin
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 3 Networks Page 87

S92 Option (B) is correct. 1 = 2 or L = 0.1 H


Initially i (0-) = 0 therefore due to inductor i (0+) = 0 . LC
Thus all current Is will flow in resistor R and voltage
across resistor will be Is Rs . The voltage across inductor S95 Option (C) is correct.
will be equal to voltage across Rs as no current flow Voltage across capacitor is
t
through R. Vc = 1 idt #
C 0
Here C = 1 F and i = 1 A. Therefore
t
Vc = #0 dt
For 0 < t < T , capacitor will be charged from 0 V
t
Vc = #0 dt = t
At t = T, Vc = T Volts
Thus +
vL (0 ) = Is Rs For T < t < 2T , capacitor will be discharged from T
di (0+) volts as
but vL (0+) = L t
dt Vc = T - #T dt = 2T - t
+
di (0 ) vL (0+) Is Rs
Thus = = At t = 2T, Vc = 0 volts
dt L L
For 2T < t < 3T , capacitor will be charged from 0 V
t
S93 Option (A) is correct.
Vc = #2Tdt = t - 2T
Killing all current source and voltage sources we have, At t = 3T, Vc = T Volts
For 3T < t < 4T , capacitor will be discharged from T
Volts
t
Vc = T - #3Tdt = 4T - t
At t = 4T, Vc = 0 Volts
For 4T < t < 5T , capacitor will be charged from 0 V
t
Vc = #4Tdt = t - 4T
At t = 5T, Vc = T Volts
Thus the output waveform is

Zth = (1 + s) ( s1 + 1)
(1 + s)( s1 + 1) [ s1 + 1 + 1 + s]
= =
(1 + s) + ( s1 + 1) s + s1 + 1 + 1
or Zth = 1
Alternative :
Here at DC source capacitor act as open circuit and Only option C satisfy this waveform.
inductor act as short circuit. Thus we can directly
calculate thevenin Impedance as 1 W
S96 Option (D) is correct.
Writing in transform domain we have
S94 Option (D) is correct. Vc (s) 1
s = 1 s = 2 1
Z (s) = R 1 sL = 2 C Vs (s) ^s + s + 1h (s + s + 1)
sC s + s
+ 1
RC LC Since Vs (t) = d (t) " Vs (s) = 1 and
We have been given
Vc (s) = 2 1
Z (s) = 2 0.2s (s + s + 1)
s + 0.1s + 2
3
Comparing with given we get Vc (s) = 2 = G
2
or
1 = 0.2 or C = 5 F 3 (s + 12 ) 2 + 43
C Taking inverse Laplace transform we have
1 = 0.1 or R = 2 W
Vt = 2 e- sin c 3 t m
t

RC 2

2
3
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 88 Networks Chapter 3

S101 Option (C) is correct.


At w " 3 , capacitor acts as short circuited and circuit
S97 Option (B) is correct. acts as shown in fig below
Let voltage across resistor be vR
VR (s) s
= 1 1 =
VS (s) ( s + s + 1) (s2 + s + 1)
Since vs = d (t) " Vs (s) = 1 we get
VR (s) = 2 s = s
1 2
(s + s + 1) (s + 2 ) + 43
(s + 12 ) 1
= - 2
(s + 12 ) 2 + Here we get V0 = 0
1 2
3
4 (s + 2) + 4
3
Vi
or vR (t) = e- cos
1
2
3 t-1 2 e- sin 3 t 1
2 At w " 0 , capacitor acts as open circuited and circuit look
2 2# 3 2
like as shown in fig below
t
= e- 2 =cos 3 t - 1 sin 3 t
2 3 2 G

S98 Option (C) is correct.


From the problem statement we have
z11 = v1 = 6 = 1.5W
i1 i = 0 42

z12 = v1 = 4.5 = 4.5W Here we get also V0 = 0


i2 i = 0 1
1 Vi
v
z21 = 2 = 6 = 1.5W So frequency response of the circuit is as shown in fig and
i1 i = 0 42 circuit is a Band pass filter.
z22 = v2 = 1.5 = 1.5W
i2 i = 0 2
1
Thus z -parameter matrix is
z11 z12 1.5 4.5
=z z G = =1.5 1.5 G
21 22

S99 Option (A) is correct.


From the problem statement we have
S102 Option (D) is correct.
h12 = v1 = 4.5 = 3
v2 i = 0 1.5
1
We know that bandwidth of series RLC circuit is R .
L
h22 = i2 = 1 = 0.67 Therefore
v2 i = 0 1.5
Bandwidth of filter 1 is B1 = R
1

From z matrix, we have L1


v1 = z11 i1 + z12 i2
v2 = z21 i1 + z22 i2 Bandwidth of filter 2 is B2 = R = R = 4R
L2 L1 /4 L1
If v2 = 0 then i2 = - z21 = - 1.5 =- 1 = h
i1 z22 1.5 21
Dividing above equation B1 = 1
B2 4
or i2 =- i1
Putting in equation for v1, we get S103 Option (D) is correct.
v1 = (z11 - z12) i1
v1 Here Vth is voltage across node also. Applying nodal
= h11 = z11 - z12 = 1.5 - 4.5 =- 3
i1 v = 0
2 analysis we get
Hence h -parameter will be
h11 h12 -3 3
=h h G = =- 1 0.67 G
21 22

S100 Option (D) is correct.


According to maximum Power Transform Theorem
ZL = Zs* = (Rs - jXs)
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 3 Networks Page 89

Vth + Vth + Vth - 2i = 2


2 1 1 VAB = Current # Impedance
= 5+30c # 34 = 17+30c
But from circuit i = Vth = Vth
1
Therefore
S106 Option (D) is correct.
Vth + Vth + Vth - 2Vth = 2
2 1 1 The network is shown in figure below.
or Vth = 4 volt
From the figure shown below it may be easily seen that
the short circuit current at terminal XY is isc = 2 A
because i = 0 due to short circuit of 1 W resistor and all
current will pass through short circuit.

Now V1 = AV2 - BI2 ...(1)


and I1 = CV2 - DI2 ...(2)
also V2 =- I2 RL ...(3)
From (1) and (2) we get
Thus V1 = AV2 - BI2
I1 CV2 - DI2
Therefore Rth = Vth = 4 = 2 W Substituting value of V2 from (3) we get
isc 2
Input Impedance Zin = - A # I2 RL - BI2
- C # I2 RL - DI2
S104 Option (A) is correct. or Zin = ARL + B
The voltage across capacitor is CRL + D
At t = 0+ , Vc (0+) = 0
At t = 3 , VC (3) = 5 V S107 Option (B) is correct.
The circuit is as shown below.
The equivalent resistance seen by capacitor as shown in
fig is
Req = 20 20 = 10kW

At input port V1 = re I1
At output port V2 = r0 (I2 - bI1) =- r0 bI1 + r0 I2
Comparing standard equation
Time constant of the circuit is V1 = z11 I1 + z12 I2
t = Req C = 10k # 4m = 0.04 s V2 = z21 I1 + z22 I2
Using direct formula z12 = 0 and z21 =- r0 b
Vc (t) = VC (3) - [Vc (3) - Vc (0)] e-t/t
= VC (3) (1 - e-t/t) + VC (0) e-t/t S108 Option (B) is correct.
= 5 (1 - e-t/0.04) For series RC network input impedance is
or Vc (t) = 5 (1 - e-25t) Zins = 1 + R = 1 + sRC
dV (t) sC sC
Now IC (t) = C C
dt Thus pole is at origin and zero is at - 1
RC
= 4 # 10-6 # (- 5 # 25e-25t) = 0.5e-25t
For parallel RC network input impedance is
mA
1 R
Zin = sC = sC
1 +R 1 + sRC
S105 Option (D) is correct. sC
(5 - 3j) # (5 + 3j)
Impedance = (5 - 3j) (5 + 3j) =
5 - 3j + 5 + 3j Thus pole is at - 1 and zero is at infinity.
RC
(5) 2 - (3j) 2
= = 25 + 9 = 3.4
10 10
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 90 Networks Chapter 3

S109 Option (A) is correct. Transfer function is


We know v = Ldi Y (s)
1
dt = sC = 2 1
Taking Laplace transform we get U (s) R + sL + 1 s LC + scR + 1
sC
V (s) = sLI (s) - Li (0+)
As per given in question 1
= LC
- Li (0+) =- 1 mV
s2 + R s + 1
Thus i (0+) = 1 mV = 0.5 A L LC
2 mH
Comparing with s2 + 2xwn s + wn2 = 0 we have
S110 Option (B) is correct. Here 2xwn = R ,
At initial all voltage are zero. So output is also zero. L
Thus v0 (0+) = 0 and wn = 1
At steady state capacitor act as open circuit. LC
Thus x= R LC = R C
2L 2 L
For no oscillations, x $ 1
Thus R C $ 1
2 L
or R $2 L
C

S113 Option (B) is correct.


Thus, v0 (3) = 4 # vi = 4 # 10 = 8 For given transformer
5 5 I2 = V1 = n
The equivalent resistance and capacitance can be I1 V2 1
calculate after killing all source or I1 = I2 and V1 = nV2
n
Comparing with standard equation
V1 = AV2 + BI2
I1 = CV2 + DI2
A B n 0
=C D G = =0 1 G
n

Thus x = 1
n

Req = 1 4 = 0.8 kW S114 Option (B) is correct.


Ceq = 4 1 = 5 mF We have L = 1H and C = 1 # 10-6
t = Req Ceq = 0.8kW # 5mF = 4 ms 400
v0 (t) = v 0 (3) - [v 0 (3) - v 0 (0+)] e-t/t Resonant frequency
= 8 - (8 - 0) e-t/0.004 f0 = 1 == 1
v0 (t) = 8 (1 - e-t/0.004) Volts 2p LC 2p 1 # 1 # 10 - 6
400
3 4
= 10 # 20 = 10 Hz
S111 Option (A) is correct. 2p p
Here Z2 (s) = Rneg + Z1 (s)
or Z2 (s) = Rneg + Re Z1 (s) + j Im Z1 (s) S115 Option (C) is correct.
For Z2 (s) to be positive real, Re Z2 (s) $ 0
Maximum power will be transferred when RL = Rs = 100W
Thus Rneg + Re Z1 (s) $ 0
In this case voltage across RL is 5 V, therefore
or Re Z1 (s) $- Rneg 2
But Rneg is negative quantity and - Rneg is positive Pmax = V = 5 # 5 = 0.25 W
R 100
quantity. Therefore
Re Z1 (s) $ Rneg
S116 Option (C) is correct.
or Rneg # Re Z1 (jw) For all w.
For stability poles and zero interlace on real axis. In RC
S112 Option (C) is correct. series network the driving point impedance is
Zins = R + 1 = 1 + sRC
Cs sC
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 3 Networks Page 91

Here pole is at origin and zero is at s =- 1/RC , Thus Rth = Vth = 7.5 = 2.5 W
Isc 3
therefore first critical frequency is a pole and last critical Here current source being in series with dependent
frequency is a zero. voltage source make it ineffective.
For RC parallel network the driving point impedance is
R 1 S120 Option (C) is correct.
Zinp = Cs = R
1 1 + sRC Here Va = 5 V because R1 = R2 and total voltage drop is
R+
Cs 10 V.
Here pole is s =- 1/RC and zero is at 3, therefore first Now Vb = R3 # 10 = 1.1 # 10 = 5.238 V
R3 + R4 2.1
critical frequency is a pole and last critical frequency is a V = Va - Vb = 5 - 5.238 =- 0.238 V
zero.
S121 Option (D) is correct.
S117 Option (A) is correct.
For h parameters we have to write V1 and I2 in terms of
Applying KCL we get
I1 and V2 .
i1 (t) + 5+0c = 10+60c
V1 = h11 I1 + h12 V2
or i1 (t) = 10+60c - 5+0c = 5 + 5 3j - 5
I2 = h21 I1 + h22 V2
or i1 (t) = 5 3 +90c = 10 3 +90c Applying KVL at input port
2
V1 = 10I1 + V2
Applying KCL at output port
S118 Option (B) is correct. V2 = I + I
If L1 = j5W and L3 = j2W the mutual induction is 20 1 2

subtractive because current enters from dotted terminal of


or I2 =- I1 + V2
j2W coil and exit from dotted terminal of j5W. If L2 = j2W 20
and L3 = j2W the mutual induction is additive because Thus from above equation we get
current enters from dotted terminal of both coil. h11 h12 10 1
=h h G = =- 1 0.05G
Thus Z = L1 - M13 + L2 + M23 + L3 - M31 + M32 12 22
= j5 + j10 + j2 + j10 + j2 - j10 + j10 = j9
S122 Option (B) is correct.
S119 Option (B) is correct. Time constant RC = 0.1 # 10 - 6 # 103 = 10 - 4 sec
Open circuit at terminal ab is shown below Since time constant RC is very small, so steady state
will be reached in 2 sec. At t = 2 sec the circuit is as
shown in fig.

Applying KCL at node we get


Vab + Vab - 10 = 1
5 5 Vc = 3 V
or Vab = 7.5 = Vth V2 =- Vc =- 3 V
Short circuit at terminal ab is shown below
S123 Option (B) is correct.
For a tree there must not be any loop. So a, c, and d don’t
have any loop. Only b has loop.

S124 Option (D) is correct.


The sign of M is as per sign of L If current enters or
exit the dotted terminals of both coil. The sign of M is
Short circuit current from terminal ab is opposite of L If current enters in dotted terminal of a coil
Isc = 1 + 10 = 3 A and exit from the dotted terminal of other coil.
5
Thus Leq = L1 + L2 - 2M
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 92 Networks Chapter 3

S125 Option (A) is correct.


Here w = 2 and V = 1+0c
Y = 1 + jw C + 1
R jw L
= 3 + j2 # 3 + 1 1 = 3 + j4
j2 # 4
= 5+ tan - 1 4 = 5+53.11c
3
For z11 applying KVL at input port we get
I = V * Y = (1+0c)( 5+53.1c) = 5+53.1c
V1 = I (Za + Zb)
Thus i (t) = 5 sin (2t + 53.1c)
Thus V1 = 1 I1 (Za + Zb)
2
S126 Option (A) is correct.
z11 = 1 (Za + Zb)
vi (t) = 2 sin 103 t 2
Here w = 103 rad and Vi = 2 +0c For Z21 applying KVL at output port we get
1
jw C 1 V2 = Za I1 - Zb I1
Now V0 = .Vt = V 2 2
R+ 1 1 + j wCR i
jw C Thus V2 = 1 I1 (Za - Zb)
2
= 1 2 + 0c z21 = 1 (Za - Zb)
1 + j # 103 # 10 - 3 2
= 1 - 45c For this circuit z11 = z22 and z12 = z21. Thus
R V
v0 (t) = sin (103 t - 45c) SZa + Zb Za - Zb W
z11 z12 2 2
=z z G = SSZa - Zb Za + Zb WW
21 22
S127 Option (C) is correct. S 2 2 W
Input voltage vi (t) = u (t) T X
Here Za = 2j and Zb = 2W
Taking Laplace transform Vi (s) = 1 z11 z12 1+j j-1
Thus =
z21 z22 G =j - 1 1 + j G
s =
Impedance Z (s) = s + 2
V (s) 1
I (s) = i = S129 Option (B) is correct.
s + 2 s (s + 2)
or I (s) = 1 ; 1 - 1 E Applying KVL,
2 s s+2 Ldi (t) 1
#0
3
v (t) = Ri (t) + + i (t) dt
Taking inverse Laplace transform dt C
i (t) = 1 (1 - e-2t) u (t) Taking L.T. on both sides,
2 I (s) vc (0+)
V (s) = RI (s) + LsI (s) - Li (0+) + +
At t = 0 , i (t) = 0 sC sC
At t = 2 ,
1
i (t) = 0.31
v (t) = u (t) thus V (s) = 1
At t = 3 , i (t) = 0.5 s
Graph (C) satisfies all these conditions.
Hence 1 = I (s) + sI (s) - 1 + I (s) - 1
s s s
S128 Option (D) is correct. 2 +1 = I (s)
6s + s + 1@
2
We know that s s
V1 = z11 I1 + z12 I2 or I (s) = 2 s + 2
V2 = z11 I1 + z22 I2 s +s+1
where z11 = V1
I1 I = 0
2 S130 Option (B) is correct.
z21 = V2 Characteristics equation is
I1 I = 0
1 s2 + 20s + 106 = 0
Consider the given lattice network, when I2 = 0 . There Comparing with s2 + 2xwn s + wn2 = 0 we have
is two similar path in the circuit for the current I1. So wn = 106 = 103
I = 1 I1 2xw = 20
2
Thus 2x = 203 = 0.02
10
Now Q = 1 = 1 = 50
2x 0.02
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 3 Networks Page 93

S131 Option (D) is correct. S137 Option (A) is correct.


1 For current i there is 3 similar path. So current will be
V0 (s) sC 1
H (s) = = = 2 divide in three path
Vi (s) R + sL + 1 s LC + sCR + 1
sC
= 2 -2 1
s (10 # 10 ) + s (10-4 # 10 4) + 1
-4

= -6 2 1 = 2 106
10 s + s + 1 s + 106 s + 106

S132 Option (D) is correct.


Impedance of series RLC circuit at resonant frequency is
minimum, not zero. Actually imaginary part is zero.
Z = R + j ` wL - 1 j
wC
so, we get
At resonance wL - 1 = 0 and Z = R that is purely
wC
resistive. Thus S1 is false Vab - b i # 1l - b i # 1l - b 1 # 1l = 0
3 6 3
Now quality factor Q =R C Vab = R = 1 + 1 + 1 = 5 W
L eq
i 3 6 3 6
Since G = 1 , Q= 1 C
R G L
S138 Option ( ) is correct.
If G - then Q . provided C and L are constant. Thus S2
is also false. Data are missing in question as L1 &L2 are not given.

S139 Option (A) is correct.


S133 Option (B) is correct.
Number of loops = b - n + 1 At t = 0 - circuit is in steady state. So inductor act as short
= minimum number of equation circuit and capacitor act as open circuit.
Number of branches = b = 8
Number of nodes = n = 5
Minimum number of equation = 8 - 5 + 1 = 4

S134 Option (C) is correct.


For maximum power transfer
ZL = ZS* = Rs - jXs
Thus ZL = 1 - 1j
At t = 0 - , i1 (0 -) = i2 (0 -) = 0
S135 Option (B) is correct. vc (0 -) = V
Q= 1 L At t = 0+ the circuit is as shown in fig. The voltage
R C
across capacitor and current in inductor can’t be
When R, L and C are doubled, changed instantaneously. Thus
Q' = 1 2L = 1 L =Q
2R 2C 2R C 2
Thus Q' = 100 = 50
2

S136 Option (C) is correct.


Applying KVL we get,
di (t) 1
sin t = Ri (t) + L
dt
+
C
#i (t) dt
di (t)
or sin t = 2i (t) + 2
dt
#
+ i (t) dt At t = 0+ , i1 = i2 =- V
2R
Differentiating with respect to t , we get
2di (t) 2d2 i (t) S140 Option (C) is correct.
cos t = + + i (t)
dt dt2 When switch is in position 2, as shown in fig in question,
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 94 Networks Chapter 3

applying KVL in loop (1),


RI1 (s) + V + 1 I1 (s) + sL [I1 (s) - I2 (s)] = 0
s sC
or I1 (s) 8R + 1 + sL B - I2 (s) sL = - V
sc s
z11 I1 + z12 I2 = V1
Applying KVL in loop 2,
R1 = 2 # 1 = 2 = 0. 5
sL [I2 (s) - I1 (s)] + RI2 (s) + 1 I2 (s) = 0 2+1+1 4
sC
R2 = 1 # 1 1
= = 0.25
Z12 I1 + Z22 I2 = V2 2+1+1 4
or - sLI1 (s) + 8R + sL + 1 BI2 (s) = 0
sc R3 = 2 # 1 = 0.5
2+1+1
Now comparing with
Z11 Z12 I1 V1 Now the circuit is as shown in figure below.
=Z Z G=I G = =V G
21 22 2 2

we get
R V
SR + sL + 1 - sL W I1 (s) -V
=> s H
sC W=
S G
SS - sL R + sL + 1 WW I2 (s) 0
sC
T X
Now z11 = V1 = 2 + 0.5 + 0.25 = 2.75
S141 Option (B) is correct. I1 I = 0
2

Zeros =- 3 z12 = R3 = 0.25


Pole1 =- 1 + j
Pole 2 =- 1 - j S144 Option (A) is correct.
K (s + 3) Applying KCL at for node 2,
Z (s) =
(s + 1 + j)( s + 1 - j)
K (s + 3) K (s + 3)
= 2 2
=
(s + 1) - j (s + 1) 2 + 1
From problem statement Z (0) w = 0 = 3
Thus 3K = 3 and we get K = 2
2 2 (s + 3)
Z (s) = 2
s + 2s + 2 V2 + V2 - V1 = V1
5 5 5
S142 Option (C) is correct. or V2 = V1 = 20 V
v (t) = 10 2 cos (t + 10c) + 10 5 cos (2t + 10c) Voltage across dependent current source is 20 thus
1 4444 2 4444 3 1 4444 4 2 4444 43
v1 v2 power delivered by it is
Thus we get w1 = 1 and w2 = 2 PV2 # V1 = 20 # 20 = 80 W
5 5
Now Z1 = R + jw1 L = 1 + j1
Z2 = R + jw2 L = 1 + j2 It deliver power because current flows from its +ive
v (t) v (t) terminals.
i (t) = 1 + 2
Z Z
10 1 2 cos (2t + 10c) 10 5 cos (2t + 10c) S145 Option (C) is correct.
= +
1+j 1 + j2
When switch was closed, in steady state, iL (0 -) = 2.5 A
10 2 cos (t + 10c) 10 5 cos (2t + 10c)
= +
12 + 22 + tan-1 1 12 + 22 tan-1 2

10 2 cos (t + 10c) 10 5 cos (2t + 10c)


= +
2 + tan-1 45c 5 tan-1 2
i (t) = 10 cos (t - 35c) + 10 cos (2t + 10c - tan-1 2)

S143 Option (A) is correct.


Using 3- Y conversion

At t = 0+ , iL (0+) = iL (0 -) = 2.5 A and all this current of


This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 3 Networks Page 95

will pass through 2 W resistor. Thus As phase current leads phase voltage
Vx =- 2.5 # 20 =- 50 V ZL = 90+ - q = 90+ - 32.44c

S146 Option (A) is correct. S148 Option (C) is correct.


For maximum power delivered, RL must be equal to Rth Applying KCL, we get
across same terminal. e0 - 12 + e0 + e0 = 0
4 4 2+2
or e0 = 4 V

S149 Option (A) is correct.


The star delta circuit is shown as below

Applying KCL at Node, we get


0.5I1 = Vth + I1
20
or Vth + 10I1 = 0
but I1 = Vth - 50
40
Thus Vth + Vth - 50 = 0
4
or Vth = 10 V
For Isc the circuit is shown in figure below. Here ZAB = ZBC = ZCA = 3 Z
and ZA = ZAB ZCA
ZAB + ZBC + ZCA
ZB = ZAB ZBC
ZAB + ZBC + ZCA
ZC = ZBC ZCA
ZAB + ZBC + ZCA
Now ZA = ZB = ZC = 3Z 3Z = Z
Isc = 0.5I1 - I1 =- 0.5I1 3Z+ 3Z+ 3Z 3
but I1 =- 50 =- 1.25 A
40 S150 Option (C) is correct.
Isc =- 0.5 # - 12.5 = 0.625 A y11 y12 y1 + y3 - y3
=y y G = = - y y + y G
Rth = Vth = 10 = 16 W 21 22 3 2 3
Isc 0.625 y12 =- y3
y12 =- 1 =- 0.05 mho
S147 Option (D) is correct. 20
IP , VP " Phase current and Phase voltage
IL, VL " Line current and line voltage S151 Option (D) is correct.
Now VP = c VL m and IP = IL We apply source conversion the circuit as shown in fig
3 below.
So, Power = 3VP IL cos q
1500 = 3 c VL m (IL) cos q
3
IL = c V
3 ZL m
also L

1500 = 3 c VL mc VL m cos q
3 3 ZL
Now applying nodal analysis we have
(400) 2 (.844) e0 - 80 + e0 + e0 - 16 = 0
ZL = = 90 W 10 + 2 12 6
1500
As power factor is leading or 4e0 = 112
So, cos q = 0.844 " q = 32.44 e0 = 112 = 28 V
4
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 96 Networks Chapter 3

S152 Option (A) is correct. At resonant frequency w = 1 circuit acts as shown


jwC LC
I2 = Em +01c = Em +0c in fig and V0 = 0 .
R2 + jwC 1 + jwCR2

+I2 = +90c
+ tan-1 wCR2
I2 = E m wC + (90c - tan-1 wCR2)
2 2 2
1 + w C R2
At w = 0 I2 = 0
and at w = 3, I2 = Em
R2
Thus it is a band reject filter.
Only figure given in option (A) satisfies both conditions.
S156 Option (D) is correct.
S153 Option (A) is correct. Applying KCL we get
Xs = wL = 10 W iL = eat + ebt
For maximum power transfer
Now V (t) = vL = L diL = L d [eat + ebt] = aeat + bebt
RL = Rs2 + Xs2 = 102 + 102 = 14.14 W dt dt

S154 Option (C) is correct. S157 Option (A) is correct.


Applying KVL in LHS loop Going from 10 V to 0 V
E1 = 2I1 + 4 (I1 + I2) - 10E1
or E1 = 6I1 + 4I2
11 11
Thus z11 = 6
11
Applying KVL in RHS loop
E2 = 4 (I1 + I2) - 10E1
= 4 (I1 + I2) - 10 c 6I1 + 4I2 m =- 16I1 + 4I2
11 11 11 11
Thus z21 = - 16
11
10 + 5 + E + 1 = 0
or E =- 16 V
S155 Option (D) is correct.
At w = 0 , circuit act as shown in figure below. S158 Option (C) is correct.
This is a reciprocal and linear network. So we can apply
reciprocity theorem which states “Two loops A & B of
a network N and if an ideal voltage source E in loop
A produces a current I in loop B , then interchanging
positions an identical source in loop B produces the same
current in loop A. Since network is linear, principle of
homogeneity may be applied and when volt source is
V0 = RL doubled, current also doubles.
(finite value)
Vs RL + Rs Now applying reciprocity theorem
At w = 3 , circuit act as shown in figure below: i = 2 A for 10 V
V = 10 V, i = 2 A
V =- 20 V, i =- 4 A

S159 Option (C) is correct.


Tree is the set of those branch which does not make any
loop and connects all the nodes.
abfg is not a tree because it contains a loop l node (4) is
not connected
V0 = RL (finite value)
Vs RL + Rs
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 3 Networks Page 97

Req = 5W 20W + 4W
S160 Option (A) is correct.
For a 2-port network the parameter h21 is defined as Req = 5.20 + 4 = 4 + 4 = 8 W
5 + 20
h21 = I2
I1 V = 0 (short circuit)
2
S163 Option (D) is correct.
Delta to star conversion
R1 = Rab Rac = 5 # 30 = 150 = 3 W
Rab + Rac + Rbc 5 + 30 + 15 50
R2 = Rab Rbc = 5 # 15 = 1.5 W
Rab + Rac + Rbc 5 + 30 + 15
R3 = Rac Rbc = 15 # 30 = 9 W
Rab + Rac + Rbc 5 + 30 + 15
Applying node equation at node a we get
Va - V1 + Va - 0 + Va - 0 = 0 S164 Option (C) is correct.
R R R
No. of branches = n + l - 1 = 7 + 5 - 1 = 11
3Va = V1 & Va = V1
3
S165 Option (B) is correct.
V1 - V1 In nodal method we sum up all the currents coming &
V1 - Va 3 = 2V1
Now I1 = =
R R 3R going at the node So it is based on KCL. Furthermore we

0 - V 0 - V1 - V use ohms law to determine current in individual branch.


and I2 = a
= 3 = 1
Thus it is also based on ohms law.
R R 3R
I2 - V1 /3R - 1
Thus = h21 = = S166 Option (A) is correct.
I1 V = 0 2V1 /3R 2
2
Superposition theorem is applicable to only linear circuits.
S161 Option (A) is correct. S167 Option (B) is correct.
Applying node equation at node A
Vth - 100 (1 + j0) Vth - 0
+ =0 S168 Option (B) is correct.
3 4j
For reciprocal network y12 = y21 but here y12 =- 12 ! y21 = 12
or 4jVth - 4j100 + 3Vth = 0
. Thus circuit is non reciprocal. Furthermore only reciprocal
or Vth (3 + 4j) = 4j100
4j100 circuit are passive circuit.
Vth =
3 + 4j
By simplifying S169 Option (C) is correct.
4j100 3 - 4j Taking b as reference node and applying KCL at a we get
Vth =
3 + 4j # 3 - 4j Vab - 1 + Vab = 3
Vth = 16j (3 - j4) 2 2
or Vab - 1 + Vab = 6
S162
Vab = 6 + 1 = 3.5 V
Option (C) is correct. or
For maximum power transfer RL should be equal to RTh 2
at same terminal.
so, equivalent Resistor of the circuit is S170 Option (A) is correct.

***********
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 98 Signals & Systems Chapter 4

CHAPTER 4
SIGNALS & SYSTEMS

GATE 2016 EC01 ONE MARK sin ^ t h sin ^2t h


(A) (B)
pt pt
Q1 Which one of the following is an eigen function of the 2 sin ^ t h sin ^ t h 2
(D) c
pt m
class of all continuous-time, linear, time-invariant systems (C)
pt
(u (t) denotes the unit-step function)?
(A) e jw t u (t) (B) cos (w 0 t) A discrete-time signal x 6n@ = d 6n - 3@ + 2d 6n - 5@ has
0
Q8
(C) e jw t
0
(D) sin (w 0 t) z -transform X ^z h. If Y ^z h = X ^- z h is the z -transform of
another signal y 6n@, then
(A) y 6n@ = x 6n@ (B) y 6n@ = x 6- n@
Q2 A continuous- time function x (t) is periodic with
period. T . The function is sampled uniformly with a (C) y 6n@ =- x 6n@ (D) y 6n@ =- x 6- n@
sampling period Ts . In which one of the following cases is
the sampled signal periodic?
(A) T = 2 Ts (B) T = 1.2Ts
Get More Study Material
(C) Always (D) Never by Whatsapp. Send
Q3 Consider the sequence x [n] = an u [n] + bn u [n], where Your College Pin Code,
u [n] denotes the unit-step sequence and 0 < | a | < | b | < 1
. The region of convergence (ROC) of the z -transform of Branch and BTech year to
x [n] is
(A) | z | > | a | (B) | z | > | b | 9414243489 by whatsapp
(C) | z | < | a | (D) | a | < | z | < | b |

Q4 A continuous-time sinusoid of frequency 33 Hz is GATE 2016 EC01 TWO MARKS


multiplied with a periodic Diracimpulse train of frequency
46 Hz. The resulting signal is passed through an ideal
Q9 The Laplace transform of the causal periodic square
analog low-pass filter with a cutoff frequency of 23 Hz.
wave of period T shown in the figure below is
The functional frequency (in Hz) of the output is _____.

GATE 2016 EC02 ONE MARK

sin (4pt)
Q5 The energy of the signal x (t) = is _____
4pt

GATE 2016 EC03 ONE MARK (A) F (s) = 1 (B) F (s) = 1


s _1 + e 2 i
-sT -sT
1+e 2
Q6 Consider the signal x ^ t h = cos ^6pt h + sin ^8pt h 1 1
, where t is in seconds. The Nyquist sampling rate (in (C) F (s) = (D) F (s) =
s (1 + e-sT ) 1 - e-sT
samples/second) for the signal y ^ t h = x ^2t + 5h is
(A) 8 (B) 12 Q10 A first-order low-pass filter of time constant T is
(C) 16 (D) 32 excited with different input signals (with zero initial
conditions up to t = 0 ). Match the excitation signals X
, Y , Z with the corresponding time responses for t $ 0 :
If the signal x ^ t h = p^t h * sinp^t h with * denoting the
sin t t
Q7 -t
convolution operation, then x ^ t h is equal to X : Impulse P : 1 - eT
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 4 Signals & Systems Page 99

-t
Y : Unit step Q : t - T (1 - e T )
Z : Ramp R : e-t/T
(A) X " R, Y " Q , Z " P
(B) X " Q, Y " P, Z " R
(C) X " R, Y " P , Z " Q
(D) X " P , Y " R, Z " Q

Q11 Consider the signal x [n] = 6d [n + 2] + 3d [n + 1] +


8d [n] + 7d [n - 1] + 4d [n - 2]. If X (eiw) is the discrete-time
Fourier transform of x [n], then 1
p
#
p -p
X (e jw) sin2 (2w) dw is
equal to _____.

GATE 2016 EC02 TWO MARKS

Q12 A continuous-time filter with transfer function H ^S h


2s + 6
= is converted to a discrete time filter with transfer
s2 + 6s + 8
function G ^Z h = z 2-z 0-.5032
2
0.5032z
2
z+k
so that the impulse response of
the continuous-time filter, sampled at 2 Hz, is identical
at the sampling instants to the impulse response of the
discrete time filter. The value of k is.

Q13 The Discrete Fourier Transform (DFT) of the 4-point


sequence
X 6n@ = #x 60@, x 61@, x 62@, x 63@-

= "3, 2, 3, 4, is
X 6k @ = #X 60@, X 61@, X 62@, X 63@-
= "12, 2j, 0, - 2j ,
If X1 6k @ is the DFT of the 12-point sequence x1 6n@
x1 68@
= "3, 0, 0, 2, 0, 0, 3, 0, 0, 4, 0, 0, , The value of is
x1 611@
______

GATE 2016 EC03 TWO MARKS

Q14 A signal 2 cos ^ 23p t h - cos ^pt h is the input to an LTI


system with the transfer function H ^s h = es + e-s . If Ck
denotes the k th coefficient in the exponential Fourier series Q16 A continuous-time speech signal xa ^ t h is sampled at
of the output signal, then C 3 is equal to a rate of 8 kHz and the samples are subsequently grouped
(A) 0 (B) 1 in blocks, each of size N . The DFT of each block is to be
(C) 2 (D) 3 computed in real time using the radix-2 decimation-in-
frequency FFT algorithm. If the processor performs all
Q15 The ROC (region of convergence) of the z -transform operations sequentially, and takes 20 ms for computing
of a discrete-time signal is represented by the shaded region each complex multiplication (including multiplications by
is the z -plane. If the signal x 6n@ = ^2.0h n , - 3 < n < + 3 1 and - 1) and the time required for addition/subtraction
then the ROC of its z -transform is represented by is negligible, then the maximum value of N is ______

Q17 The direct form structure of an FIR (finite impulse


response) filter is shown in the figure.
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 100 Signals & Systems Chapter 4

1 if a # t # b
f ^t h = )
0 otherwise
e2 (a - b)
(A) a - b (B)
s s
-as -bs s (a - b)
(C) e - e (D) e
s s
The filter can be used to approximate a
(A) low-pass filter (B) high-pass filter Q23 The signal cos ^10pt + p4 h is ideally sampled at a
(C) band-pass filter (D) band-stop filter sampling frequency of 15 Hz. The sampled signal is
passed through a filter with impulse response p1t sin (pt)
cos ^40pt - p2 h. The filter output is
GATE 2015 EC01 ONE MARK
(A) 15 cos a 40pt - p k
2 4
Q18 The waveform of a periodic signal x (t) is shown in sin (pt)
(B) 15 c cos 10pt + p n
the figure. 2 pt m d 4

(C) 15 cos a10pt - p k


2 4
sin (pt)
(D) 15 b cos 40pt - p k
2 pt l a 2

Q24 The magnitude and phase of the complex Fourier


series coefficients ak of a periodic signal x (t) are shown
in the figure. Choose the correct statement from the four
choices given.
Notation: C is the set of complex numbers, R is the set
A signal g (t) is defined by g (t) = x ^ t -2 1 h. The average of purely real numbers, and P is the set purely imaginary
power of g (t) is______. numbers.

Q19 The result of the convolution x (- t) * d (- t - t 0) is

(A) x (t + t 0) (B) x (t - t 0)
(C) x (- t + t 0) (D) x (- t - t 0)

GATE 2015 EC02 ONE MARK

Q20 Let the signal f (t) = 0 outside the interval T1 , T2


, where T1 and T2 are finite. Furthermore, f (t) < 3.
The region of convergence (ROC) of the signal’s bilateral
Laplace transform F (s) is
(A) A parallel strip containing the j W axis
(B) A parallel strip not containing the j W axis
(C) The entire s-plane (A) x (t) d R
(D) A half plane containing the j W axis (B) x (t) d P
(C) x (t) d (C - R)
(D) The information given is not sufficient to draw any
Q21 Two causal discrete-time signals x [n] and y [n] are
conclusion about x (t)
n
/
related as y [n] = m = 0 x [m]
2
If the z -transform of y [n] is z (z - 1) 2
, the value of x [2] is Q25 For the signal flow graph shown in the figure, the
_______. C (s)
value of is
R (s)
Q22 The bilateral Laplace transform of a function
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 4 Signals & Systems Page 101

(A) 2, 3 (B) 1 , 3
2

(C) 1 , 1 (D) 2, 1
2 3 3

Q29 In the system shown in figure (a), m (t) is a low-pass


signal with bandwidth W Hz. The frequency response of
the band-pass filter H (f) is shown in figure (b). If it is
(A) G1 G 2 G 3 G 4 desired that the output signal z (t) = 10x (t), the maximum
1 - G 1 G 2 H1 - G 3 G 4 H 2 - G 2 G 3 H 3 + G 1 G 2 G 3 G 4 H1 H 2 value of W (in Hz) should be strictly less than ______.

(B) G1 G 2 G 3 G 4
1 + G 1 G 2 H1 + G 3 G 4 H 2 + G 2 G 3 H 3 + G 1 G 2 G 3 G 4 H1 H 2

(C) 1
1 + G 1 G 2 H1 + G 3 G 4 H 2 + G 2 G 3 H 3 + G 1 G 2 G 3 G 4 H1 H 2

(D) 1
1 - G 1 G 2 H1 - G 3 G 4 H 2 - G 2 G 3 H 3 + G 1 G 2 G 3 G 4 H1 H 2

GATE 2015 EC03 ONE MARK

Q26 Consider a four-point moving average filter defined


by the equation
3
/
y [n] = i = 0 a i x [n - i].
The condition on the filter coefficients that results in a
null at zero frequency is Q30 The pole-zero diagram of a causal and stable discrete-
(A) a 1 = a 2 = 0 ; a 0 =- a 3 time system is shown in the figure. The zero at the origin
(B) a 1 = a 2 = 1; a 0 =- a 3 has multiplicity 4. The impulse response of the system is
h [n]. If h [0] = 1, we can conclude
(C) a 0 = a 3 = 0 ; a 1 = a 2
(D) a 1 = a 2 = 0 ; a 0 = a 3

Q27 The impulse response of an LTI system can be


obtained by
(A) differentiating the unit ramp response
(B) differentiating the unit step response
(C) integrating the unit ramp response
(D) integrating the unit step response

GATE 2015 EC01 TWO MARKS

(A) h [ n] is real for all n


Q28 For the discrete-time system shown in the figure, the
(B) h [ n] is purely imaginary for all n
poles of the system transfer function are located at
(C) h [ n] is real for only even n
(D) h [ n] is purely imaginary for only odd n

GATE 2015 EC02 TWO MARKS

Q31 Input x (t) and output y (t) of an LTI system are related
by the differential equation yll (t) - yl (t) - 6y (t) = x (t)
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 102 Signals & Systems Chapter 4

. If the system is neither causal nor stable, the impulse Suppose x1 [n] = a0.5n u [n], where a is a positive real
response h (t) of the system is number and u [n] is the unit step sequence. Assume
(A) 1 e3t u (- t +) + 1 e-2t u (- t) x 2 [ n] = *
1.5
for n = 0 , 1 otherwise
5 5
0
(B) - 1 e3t u (- t) + 1 e-2t u (- t)
5 5 Then the value of a is______.

(C) 1 e3t u (- t) - 1 e-2t u (t)


5 5 Get More Study Material
(D) - 1 e3t u (- t) - 1 e-2t u (t)
5 5 by Whatsapp. Send
Q32 Consider two real sequences with time-origin marked
by the bold value
Your College Pin Code,
x1 [n] = {1, 2, 3, 0}, x2 [n] = {1, 3, 2, 1}
Let X1 (k) and X2 (k) be 4-point DFTs of x1 [n] and x2 [n],
Branch and BTech year to
respectively. Another sequence x 3 [n] is derived by taking
4-point inverse DFT of x 3 (k) = x1 (k) x2 (k).
9414243489 by whatsapp
The value of x 3 [2] is______.

Q38 A realization of a stable discrete time system is


Q33 Let x (t) = as (t) + s (- t) with s (t) = be-4t u (t),
shown in the figure. If the system is excited by a unit step
where u (t) is unit step function. If the bilateral Laplace
sequence input x [n], the response y [n] is
transform of x (t) is
X (s) = s -1616 - 4 < Re {s} < 4
2

Then the value of b is______.

GATE 2015 EC03 TWO MARKS

Q34 Suppose x [n] is an absolutely summable discrete-


time signal. Its z-transform is a rational function with two
poles and two zeroes. The poles are at z = ! 2j. Which
one of the following statements is TRUE for the signal
(A) 4 b- 1 l u [n] - 5 b- 2 l u [n]
n n
x [n]? 3 3
(A) It is a finite duration signal
(B) 5 b- 2 l u [n] - 3 b- 1 l u [n]
n n
(B) It is a causal signal 3 3
(C) It is a non-causal signal
(C) 5 b 1 l u [n] - 5 b 2 l u [n]
n n

(D) It is a periodic signal 3 3

(D) 5 b 2 l u [n] - 5 b 1 l u [n]


n n

3 3
Q35 Consider a continuous-time signal defined as
sin ^ p2t h 3
x (t) = f pt p * /
d (t - 10n)
^2h n =- 3
GATE 2014 EC01 ONE MARK

where * denotes the convolution operation and t is in


seconds. The Nyquist sampling rate (in samples/sec) for Q39 A discrete time signal x 6n@ = sin ^p2 n h, n being an
x (t) is______. integer, is
(A) periodic with period p
Q36 Let xu[n] = 1 + cos ^ p8n h be a periodic signal (B) periodic with period p2
with period 16. Its DFS coefficients are defined by (C) periodic with period p/2
15
ak = 1 / xu[n] exp ^- j p8 kn h for all k . The value of the (D) not periodic
16 n = 0
coefficient a 31 is_____.
Q40 Consider two real valued signals, x ^ t h band-
limited to 6- 500 Hz, 500 Hz@ and y ^ t h band-limited
Q37 Two sequences x1 [n] and x2 [n] have the same energy.
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 4 Signals & Systems Page 103

to 6- 1 kHz, 1 kHz@. For z ^ t h = x ^ t h y ^ t h, the Nyquist (D) 5 Hz only


sampling frequency (in kHz) is ______.
^z-1 - b h
Q46 For an all-pass system H ^z h = , where
Q41 A continuous, linear time-invariant filter has an ^1 - az-1h
impulse response h ^ t h described by H ^e-jw h = 1, for all w . If Re ^a h ! 0 , Im ^a h ! 0 , then b
3 for 0 # t # 3
h^t h = ) equals
0 otherwise (A) a (B) a*
When a constant input of values 5 is applied to this filter, (C) 1/a* (D) 1/a
the steady state output is_____.

GATE 2014 EC04 ONE MARK


GATE 2014 EC01 ONE MARK

Q47 A Fourier transform pair is given by


Q42 An FIR system is described by the system function
Ae-j6pf
b 3 l u 6n + 3@
2 n FT
H ^z h = 1 + 7 z-1 + 3 z-2
2 2 1 - b 2 l e-j2pf
3
The system is where u 6n@ denotes the unit step sequence. The value of
(A) maximum phase (B) minimum phase A is ______.
(C) mixed phase (D) zero phase
Q48 A real-valued signal x ^ t h limited to the frequency
Q43 Let x 6n@ = x 6- n@. Let X ^z h be the z -transform of band f # W/2 is passed through a linear time invariant
x 6n@. If 0.5 + j0.25 is a zero of X ^z h, which one of the system whose frequency response is
following must also be a zero of X ^z h. Z -j4pf
]e , f #W
2
(A) 0.5 - j0.25 (B) 1/ ^0.5 + j0.25h H^f h = [
(C) 1/ ^0.5 - j0.25h (D) 2 + j4 ]0, f >W
2
\
The output of the system is
Q44 Consider the periodic square wave in the figure (A) x ^t + 4h (B) x ^t - 4h
shown. (C) x ^t + 2h (D) x ^t - 2h

Q49 The sequence x 6n@ = 0.5n u 6n@, where u 6n@ is the unit
step sequence, is convolved with itself to obtain y 6n@. Then
+3
/ y6n@ is ______.
n =- 3

GATE 2014 EC01 TWO MARKS

Let x 6n@ = b- 1 l u ^n h - b- 1 l u ^- n - 1h.


n n
Q50
9 3
The ratio of the power in the 7 th harmonic to the power The Region of Convergence (ROC) of the z -transform of
in the 5 th harmonic for this waveform is closest in value x 6n@.
to ______. (A) is z > 1 (B) is z < 1
9 3

GATE 2014 EC03 ONE MARK (C) is 1 > z > 1 (D) does not exist
3 9

Q45 Let x ^ t h = cos ^10pt h + cos ^30pt h be sampled at Q51 Consider a discrete time periodic signal x 6n@ = sin ^ p5n h
20 Hz and reconstructed using an ideal low-pass filter with . Let ak be the complex Fourier series coefficients of x 6n@
cut-off frequency of 20 Hz . The frequency/frequencies . The coefficients "ak , are non-zero when k = Bm ! 1,
present in the reconstructed signal is/are where m is any integer. The value of B is ______.
(A) 5 Hz and 15 Hz only
(B) 10 Hz and 15 Hz only Q52 A system is described by the following differential
(C) 5 Hz, 10 Hz and 15 Hz only equation, where u ^ t h is the input to the system and y ^ t h
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 104 Signals & Systems Chapter 4

is the output of the system. GATE 2014 EC04 TWO MARKS

yo^ t h + 5y ^ t h = u ^ t h Q58 A stable linear time invariant (LTI) system has


when y ^0 h = 1 and u ^ t h is a unit step function, y ^ t h is a transfer function H ^s h = s +1s - 6 . To make this system
2

(A) 0.2 + 0.8e-5t (B) 0.2 - 0.2e-5t causal it needs to be cascaded with another LTI system
having a transfer function H1 ^s h. A correct choice for
(C) 0.8 + 0.2e-5t (D) 0.8 - 0.8e-5t
H1 ^s h among the following options is
(A) s + 3 (B) s - 2
GATE 2014 EC02 TWO MARKS (C) s - 6 (D) s + 1

Q53 Consider a discrete-time signal Q59 A causal LTI system has zero initial conditions and
n for 0 # n # 10 impulse response h ^ t h. Its input x ^ t h and output y ^ t h are
x 6n@ = )
0 otherwise related through the linear constant-coefficient differential
If y 6n@ is the convolution of x 6n@ with itself, the value of equation
y 64@ is ______. d 2 y^t h dy ^ t h
+a + a2 y ^ t h = x ^ t h
dt 2 dt
Q54 The input-output relationship of a causal stable LTI Let another signal g ^ t h be defined as
dh ^ t h
g ^ t h = a2 h ^t h dt + + ah ^ t h
t
system is given as #
y 6n@ = a y 6n - 1@ + bx 6n@ 0 dt
If the impulse response h 6n@ of this system satisfies the If G ^s h is the Laplace transform of g ^ t h, then the number
n = 0 h 6n@ = 2 , the relationship between a and
condition S 3 of poles of G ^s h is______.
b is
(A) a = 1 - b/2 (B) a = 1 + b/2 Q60 The N -point DFT X of a sequence x 6n@,
(C) a = 2b (D) a =- 2b 0 # n # N - 1 is given by
N-1
X 6k @ = 1 x 6n@e-j N nk ,
2p

N n=0
/ 0 # k # N-1
GATE 2014 EC03 TWO MARKS
Denote this relation as X = DFT ^x h. For N = 4 , which one
of the following sequences satisfies DFT ^DFT ^x hh = x ?
Q55 Let H1 ^z h = ^1 - pz-1h-1 , H2 ^z h = ^1 - qz-1h-1 , (A) x = 81 2 3 4B (B) x = 81 2 3 2B
H ^z h = H1 ^z h + rH2 ^z h. The quantities p, q , r are real
(C) x = 81 3 2 2B (D) x = 81 2 2 3B
numbers. Consider p = 12 , q =- 14 , r < 1. If the zero of
H ^z h lies on the unit circle, then r = ____.
GATE 2013 ONE MARK
Q56 Let h ^ t h denote the impulse response of a causal
system with transfer function s +1 1 . Consider the following Q61 Two systems with impulse responses h1 ^ t h and
three statements. h2 ^ t h are connected in cascade. Then the overall impulse
S1 : The system is stable response of the cascaded system is given by
S2 : ^h^t h h is independent of t for t > 0 (A) product of h1 ^ t h and h2 ^ t h
h t+1

S3 : A non-causal system with the same (B) sum of h1 ^ t h and h2 ^ t h


transfer function is stable
(C) convolution of h1 ^ t h and h2 ^ t h
For the above system
(A) only S1 and S2 are true (D) subtraction of h2 ^ t h from h1 ^ t h
(B) only S2 and S3 are true
(C) only S1 and S3 are true Q62 The impulse response of a system is h ^ t h = tu ^ t h.
(D) S1, S2 and S3 are true For an input u ^t - 1h, the output is
2 t ^t - 1h
(A) t u ^ t h (B) u ^t - 1h
2 2
Q57 The z -transform of the sequence x 6n@ is given by
^t - 1h2 2

X ^z h = 1 (C) u ^t - 1h (D) t - 1 u ^t - 1h
2 , with the region of convergence z > 2 . 2 2
^1 - 2z-1h
Then, x 62@ is ______.
Q63 For a periodic signal v ^ t h = 30 sin 100t + 10 cos 300t +
+ 6 sin ^500t + p4 h, the fundamental frequency in rad/s
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 4 Signals & Systems Page 105

(A) 100 (B) 300 transform of y ^ t h is


(C) 500 (D) 1500
(A) e-2s (B) 1 - e-2s
s ^s + 2h^s + 3h s ^s + 2h^s + 3h
Q64 A band-limited signal with a maximum frequency e-2s 1 - e-2s
(C) (D)
of 5 kHz is to be sampled. According to the sampling ^s + 2h^s + 3h ^s + 2h^s + 3h
theorem, the sampling frequency which is not valid is
(A) 5 kHz (B) 12 kHz
Q70 A system described by a linear, constant coefficient,
(C) 15 kHz (D) 20 kHz
ordinary, first order differential equation has an exact
solution given by y ^ t h for t > 0 , when the forcing function
Q65 Which one of the following statements is NOT TRUE is x ^ t h and the initial condition is y ^0 h. If one wishes to
for a continuous time causal and stable LTI system? modify the system so that the solution becomes - 2y ^ t h
(A) All the poles of the system must lie on the left side of for t > 0 , we need to
the jw axis (A) change the initial condition to - y ^0 h and the forcing
(B) Zeros of the system can lie anywhere in the s-plane function to 2x ^ t h
(C) All the poles must lie within s = 1 (B) change the initial condition to 2y ^0 h and the forcing
(D) All the roots of the characteristic equation must be function to - x ^ t h
located on the left side of the jw axis. (C) change the initial condition to j 2 y ^0 h and the
forcing function to j 2 x ^ t h
Q66 Assuming zero initial condition, the response y ^ t h of (D) change the initial condition to - 2y ^0 h and the forcing
the system given below to a unit step input u ^ t h is function to - 2x ^ t h

Q71 The DFT of a vector 8a b c dB is the vector


8a b g dB . Consider the product
R V
Sa b c d W
Sd a b c W
(A) u ^ t h (B) tu ^ t h 8p q r sB = 8a b c dBSc d a b W
2 S W
(C) t u ^ t h (D) e-t u ^ t h Sb c d aW
2
The DFT of the vector 8p q r sBT is a scaled
X version of
(A) 9a2 b2 g2 d2C
Let g ^ t h = e- pt , and h ^ t h is a filter matched to g ^ t h
2
Q67
. If g ^ t h is applied as input to h ^ t h, then the Fourier
(B) 9 a b g dC
transform of the output is
2 2
(A) e- pf (B) e- pf /2 (C) 8a + b b + d d + g g + aB
2
(C) e- p f (D) e-2pf
(D) 8a b g dB

GATE 2013 TWO MARKS


GATE 2012 ONE MARK
Q68 The impulse response of a continuous time system is
given by h ^ t h = d ^t - 1h + d ^t - 3h. The value of the step Q72 The unilateral Laplace transform of f (t) is 2 1
response at t = 2 is s +s+1
. The unilateral Laplace transform of tf (t) is
(A) 0 (B) 1
(A) - s (B) - 2s + 1
(C) 2 (D) 3
(s + s + 1) 2
2
(s + s + 1) 2
2

(C) 2 s (D) 2 2s + 1 2
Q69 A system described by the differential equation (s + s + 1) 2 (s + s + 1)
dt + 6y ^ t h = x ^ t h . Let x ^ t h be a rectangular pulse
d2 y
dt2
+ 5 dy
given by
1 0<t<2 Q73 If x [n] = (1/3) n - (1/2) n u [n], then the region of
x^t h = * convergence (ROC) of its z -transform in the z -plane will
0 otherwise
be
dy
Assuming that y ^0 h = 0 and = 0 at t = 0 , the Laplace
dt
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 106 Signals & Systems Chapter 4

(A) 1 < z < 3 (B) 1 < z < 1


3 3 2

(C) 1 < z < 3 (D) 1 < z


2 3

GATE 2012 TWO MARKS

Q74 The input x (t) and output y (t) of a system are


t
related as y (t) = # x (t) cos (3t) dt . The system is
-3

(A) time-invariant and stable


(B) stable and not time-invariant
(C) time-invariant and not stable
(D) not time-invariant and not stable

Get More Study Material


by Whatsapp. Send
Your College Pin Code,
Branch and BTech year to
9414243489 by whatsapp
Q75 The Fourier transform of a signal h (t) is
H (jw) = (2 cos w) sin2w
w . The value of h (0) is
(A) 1/4 (B) 1/2
(C) 1 (D) 2

Q76 Let y [n] denote the convolution of h [n] and g [n],


where h [n] = (1/2) n u [n] and g [n] is a causal sequence. If
y [0] = 1 and y [1] = 1/2, then g [1] equals
Q78 The trigonometric Fourier series of an even function
(A) 0 (B) 1/2 does not have the
(C) 1 (D) 3/2 (A) dc term (B) cosine terms
(C) sine terms (D) odd harmonic terms

GATE 2011 ONE MARK


Q79 A system is defined by its impulse response
2 h (n) = 2n u (n - 2). The system is
Q77 The differential equation 100 ddty - 20 dydt + y = x (t)
2
(A) stable and causal
describes a system with an input x (t) and an output y (t).
(B) causal but not stable
The system, which is initially relaxed, is excited by a unit
step input. The output y ^ t h can be represented by the (C) stable but not causal
waveform (D) unstable and non-causal

Q80 If the unit step response of a network is (1 - e- at),


then its unit impulse response is
(A) ae- at (B) a-1 e- at
(C) (1 - a-1) e- at (D) (1 - a) e- at
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 4 Signals & Systems Page 107

GATE 2011 TWO MARKS

Q81 An input x (t) = exp (- 2t) u (t) + d (t - 6) is applied


to an LTI system with impulse response h (t) = u (t) . The
output is
(A) [1 - exp (- 2t)] u (t) + u (t + 6)
(B) [1 - exp (- 2t)] u (t) + u (t - 6)
(C) 0.5 [1 - exp (- 2t)] u (t) + u (t + 6)
(D) 0.5 [1 - exp (- 2t)] u (t) + u (t - 6)
(A) only cosine terms and zero values for the dc components
(B) only cosine terms and a positive value for the dc
Q82 Two systems H1 (Z ) and H2 (Z ) are connected in
components
cascade as shown below. The overall output y (n) is the
same as the input x (n) with a one unit delay. The transfer (C) only cosine terms and a negative value for the dc
function of the second system H2 (Z ) is components
(D) only sine terms and a negative value for the dc
components

Q86 Two discrete time system with impulse response


h1 [n] = d [n - 1] and h2 [n] = d [n - 2] are connected in
1 - 0.6z-1 z-1 (1 - 0.6z-1) cascade. The overall impulse response of the cascaded
(A) (B)
z-1 (1 - 0.4z-1) (1 - 0.4z-1) system is
(A) d [n - 1] + d [n - 2] (B) d [n - 4]
z-1 (1 - 0.4z-1) 1 - 0.4 z-1
(C) (D) (C) d [n - 3] (D) d [n - 1] d [n - 2]
(1 - 0.6z-1) z (1 - 0.6z-1)
-1

Q83 The first six points of the 8-point DFT of a real Q87 For a N -point FET algorithm N = 2m which one of
valued sequence are 5, 1 - j 3, 0, 3 - j 4, 0 and 3 + j 4 . the following statements is TRUE ?
The last two points of the DFT are respectively (A) It is not possible to construct a signal flow graph with
(A) 0, 1 - j 3 (B) 0, 1 + j 3 both input and output in normal order
(C) 1 + j3, 5 (D) 1 - j 3, 5 (B) The number of butterflies in the m th stage in N/m
(C) In-place computation requires storage of only 2N data
(D) Computation of a butterfly requires only one complex
GATE 2010 ONE MARK multiplication.

Q84 Consider the z -transform x (z) = 5z2 + 4z-1 + 3;


0< z < 3. The inverse z - transform x [n] is GATE 2010 TWO MARKS
(A) 5d [n + 2] + 3d [n] + 4d [n - 1]
Given f (t) = L-1 ; 3 3s + 1
s + 4s2 + (k - 3) s E
(B) 5d [n - 2] + 3d [n] + 4d [n + 1] Q88 . If lim f (t) = 1,
t"3
(C) 5u [n + 2] + 3u [n] + 4u [n - 1]
then the value of k is
(D) 5u [n - 2] + 3u [n] + 4u [n + 1] (A) 1 (B) 2
(C) 3 (D) 4
Q85 The trigonometric Fourier series for the waveform
f (t) shown below contains
Q89 A continuous time LTI system is described by
d 2 y (t) dy (t) dx (t)
+4 + 3y (t) = 2 + 4x (t)
dt 2 dt dt
Assuming zero initial conditions, the response y (t) of the
above system for the input x (t) = e-2t u (t) is given by
(A) (et - e3t) u (t) (B) (e-t - e-3t) u (t)
(C) (e-t + e-3t) u (t) (D) (et + e3t) u (t)
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 108 Signals & Systems Chapter 4

Q90 The transfer function of a discrete time LTI system Q95 A system with transfer function H (z) has impulse
is given by response h (.) defined as h (2) = 1, h (3) =- 1 and h (k) = 0
2 - 34 z-1 otherwise. Consider the following statements.
H (z) =
1 - 34 z-1 + 18 z-2 S1 : H (z) is a low-pass filter.
Consider the following statements: S2 : H (z) is an FIR filter.
S1: The system is stable and causal for ROC: z > 1/2 Which of the following is correct?
S2: The system is stable but not causal for ROC: z < 1/4 (A) Only S2 is true
S3: The system is neither stable nor causal for ROC: (B) Both S1 and S2 are false
1/4 < z < 1/2 (C) Both S1 and S2 are true, and S2 is a reason for S1
Which one of the following statements is valid ? (D) Both S1 and S2 are true, but S2 is not a reason for S1
(A) Both S1 and S2 are true
(B) Both S2 and S3 are true Q96 Consider a system whose input x and output y are
#
3
(C) Both S1 and S3 are true related by the equation y (t) = x (t - t) g (2t) dt where
-3
(D) S1, S2 and S3 are all true h (t) is shown in the graph.

GATE 2009 ONE MARK

Q91 The Fourier series of a real periodic function has


only
(P) cosine terms if it is even Which of the following four properties are possessed by
(Q) sine terms if it is even the system ?
(R) cosine terms if it is odd BIBO : Bounded input gives a bounded output.
(S) sine terms if it is odd Causal : The system is causal,
Which of the above statements are correct ? LP : The system is low pass.
(A) P and S (B) P and R LTI : The system is linear and time-invariant.
(C) Q and S (D) Q and R (A) Causal, LP (B) BIBO, LTI
(C) BIBO, Causal, LTI (D) LP, LTI
Q92 A function is given by f (t) = sin2 t + cos 2t . Which of
the following is true ? Q97 The 4-point Discrete Fourier Transform (DFT) of a
(A) f has frequency components at 0 and 21p Hz discrete time sequence {1,0,2,3} is
(B) f has frequency components at 0 and p1 Hz (A) [0, - 2 + 2j , 2, - 2 - 2j ]
(C) f has frequency components at 21p and p1 Hz (B) [2, 2 + 2j , 6, 2 - 2j ]
(D) f has frequency components at 02.p1 and p1 Hz (C) [6, 1 - 3j , 2, 1 + 3j ]
(D) [6, - 1 + 3j , 0, - 1 - 3j ]
Q93 The ROC of z -transform of the discrete time sequence
x (n) = b 1 l u (n) - b 1 l u (- n - 1) is
n n
An LTI system having transfer function s +s 2+s 1+ 1 and
2

3 2 Q98 2

input x (t) = sin (t + 1) is in steady state. The output is


(A) 1 < z < 1 (B) z > 1 sampled at a rate ws rad/s to obtain the final output
3 2 2
{x (k)}. Which of the following is true ?
(C) z < 1 (D) 2 < z < 3 (A) y (.) is zero for all sampling frequencies ws
3
(B) y (.) is nonzero for all sampling frequencies ws
GATE 2009 TWO MARKS (C) y (.) is nonzero for ws > 2 , but zero for ws < 2
(D) y (.) is zero for ws > 2 , but nonzero for w2 < 2
Q94 Given that F (s) is the one-side Laplace transform of
t
f (t), the Laplace transform of #0 f (t) dt is GATE 2008 ONE MARK

(A) sF (s) - f (0) (B) 1 F (s)


s Q99 The input and output of a continuous time system
are respectively denoted by x (t) and y (t). Which of the
(D) 1 [F (s) - f (0)]
s
(C) #0 F (t) dt
s
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 4 Signals & Systems Page 109

following descriptions corresponds to a causal system ? Properties Relations


(A) y (t) = x (t - 2) + x (t + 4)
P1: Linear but NOT time - R1: y (t) = t2 x (t)
(B) y (t) = (t - 4) x (t + 1) invariant
(C) y (t) = (t + 4) x (t - 1)
P2: Time - invariant but NOT R2: y (t) = t x (t)
(D) y (t) = (t + 5) x (t + 5) linear
P3: Linear and time - invariant R3: y (t) = x (t)
Q100 The impulse response h (t) of a linear time
R4: y (t) = x (t - 5)
invariant continuous time system is described by
h (t) = exp (at) u (t) + exp (bt) u (- t) where u (- t) denotes (A) (P1, R1), (P2, R3), (P3, R4)
the unit step function, and a and b are real constants. (B) (P1, R2), (P2, R3), (P3, R4)
This system is stable if (C) (P1, R3), (P2, R1), (P3, R2)
(A) a is positive and b is positive (D) (P1, R1), (P2, R2), (P3, R3)
(B) a is negative and b is negative
(C) a is negative and b is negative Q105 {x (n)} is a real - valued periodic sequence with a
(D) a is negative and b is positive period N . x (n) and X (k) form N-point Discrete Fourier
Transform (DFT) pairs. The DFT Y (k) of the sequence
N-1
GATE 2008 TWO MARKS y (n) = 1 / x (r) x (n + r) is
N r=0
N-1

Q101 A linear, time - invariant, causal continuous time (A) X (k) 2 (B) 1 / X (r) X (k + r)
N r=0
system has a rational transfer function with simple poles N-1
at s =- 2 and s =- 4 and one simple zero at s =- 1. (C) 1 / X (r) X (k + r) (D) 0
N r=0
A unit step u (t) is applied at the input of the system.
At steady state, the output has constant value of 1. The
impulse response of this system is Statement For Linked Answer Q 106 and 107
(A) [exp (- 2t) + exp (- 4t)] u (t) In the following network, the switch is closed at t = 0- and
(B) [- 4 exp (- 2t) - 12 exp (- 4t) - exp (- t)] u (t) the sampling starts from t = 0 . The sampling frequency is
(C) [- 4 exp (- 2t) + 12 exp (- 4t)] u (t) 10 Hz.
(D) [- 0.5 exp (- 2t) + 1.5 exp (- 4t)] u (t)

Q102 The signal x (t) is described by


1 for - 1 # t # + 1
x (t) = )
0 otherwise
Two of the angular frequencies at which its Fourier
transform becomes zero are
(A) p, 2p (B) 0.5p, 1.5p
(C) 0, p (D) 2p, 2.5p Q106 The samples x (n), n = (0, 1, 2, ...) are given by
(A) 5 (1 - e-0.05n) (B) 5e-0.05n
(C) 5 (1 - e-5n) (D) 5e-5n
Q103 A discrete time linear shift - invariant system has
an impulse response h [n] with h [0] = 1, h [1] =- 1, h [2] = 2,
and zero otherwise The system is given an input sequence Q107 The expression and the region of convergence of the
x [n] with x [0] = x [2] = 1, and zero otherwise. The number z -transform of the sampled signal are
of nonzero samples in the output sequence y [n], and the (A) 5z 5 , z < e-5 (B) 5z , z < e-0.05
value of y [2] are respectively z-e z - e-0.05
(A) 5, 2 (B) 6, 2 (C) 5z , z > e-0.05 (D) 5z -5 , z > e-5
-0.05
(C) 6, 1 (D) 5, 3 z-e z-e

Q104 Let x (t) be the input and y (t) be the output of a Statement For Linked Answer Q 108 and 109
continuous time system. Match the system properties P1, The impulse response h (t) of linear time - invariant
P2 and P3 with system relations R1, R2, R3, R4 continuous time system is given by h (t) = exp (- 2t) u (t),
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 110 Signals & Systems Chapter 4

where u (t) denotes the unit step function. system is


(B) 5 61 - e- 5@ u (t)
t
(A) 5 (1 - e-5t) u (t)
The frequency response H (w) of this system in
(D) 1 ^1 - e- 5 h u (t)
Q108
(C) 1 (1 - e-5t) u (t)
t

terms of angular frequency w, is given by H (w) 2 5


(A) 1 (B) sin w
1 + j 2w w
GATE 2006 ONE MARK
1 jw
(C) (D)
2 + jw 2 + jw
Q116 Let x (t) * X (jw) be Fourier Transform pair. The
Fourier Transform of the signal x (5t - 3) in terms of X (jw)
Q109 The output of this system, to the sinusoidal input is given as
j3w jw j3w jw
x (t) = 2 cos 2t for all time t , is (A) 1 e- 5 X b l (B) 1 e 5 X b l
(A) 0 (B) 2-0.25 cos (2t - 0.125p) 5 5 5 5
jw jw
(C) 2-0.5 cos (2t - 0.125p) (D) 2-0.5 cos (2t - 0.25p) (C) 1 e-j3w X b l (D) 1 e j3w X b l
5 5 5 5

GATE 2007 ONE MARK


Q117 The Dirac delta function d (t) is defined as
1 t=0
1 (A) d (t) = )
Q110 If the Laplace transform of a signal Y (s) = 0 otherwise
s (s - 1)
, then its final value is 3 t=0
(A) - 1 (B) 0 (B) d (t) = )
0 otherwise
(C) 1 (D) Unbounded 1 t=0
#
3
(C) d (t) = ) and d (t) dt = 1
GATE 2007 TWO MARKS
0 otherwise -3

3 t=0
#
3
(D) d (t) = ) and d (t) dt = 1
Q111 The 3-dB bandwidth of the low-pass signal e u (t), -t 0 otherwise -3

where u (t) is the unit step function, is given by Q118 If the region of convergence of x1 [n] + x2 [n] is
(A) 1 Hz (B) 1 2 - 1 Hz 1 < z < 2 then the region of convergence of x [n] - x [n]
2p 2p 3 3 1 2
includes
(C) 3 (D) 1 Hz
(A) 1 < z < 3 (B) 2 < z < 3
3 3
Q112 A 5-point sequence x [n] is given as x [- 3] = 1, (C) 3 < z < 3 (D) 1 < z < 2
x [- 2] = 1, x [- 1] = 0, x [0] = 5 and x [1] = 1. Let X (eiw) 2 3 3
denoted the discrete-time Fourier transform of x [n]. The
p
value of #
-p
X (e jw) dw is Q119 In the system shown below, x (t) = (sin t) u (t) In
steady-state, the response y (t) will be
(A) 5 (B) 10p
(C) 16p (D) 5 + j10p

Q113 The z -transform X (z) of a sequence x [n] is given


1 sin t - p 1 sin t + p
by X [z] = 1 -0.25z . It is given that the region of convergence
-1
(A) ` 4j
(B) ` 4j
2 2
of X (z) includes the unit circle. The value of x [0] is
(A) - 0.5 (B) 0 (C) 1 e-t sin t (D) sin t - cos t
2
(C) 0.25 (D) 05
GATE 2006 TWO MARKS
Q114 A Hilbert transformer is a
(A) non-linear system (B) non-causal system Q120 Consider the function f (t) having Laplace transform
(C) time-varying system (D) low-pass system F (s) = 2 w0 2 Re [s] > 0
s + w0
The final value of f (t) would be
Q115 The frequency response of a linear, time-invariant
(A) 0 (B) 1
system is given by H (f) = 1 + j510pf . The step response of the
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 4 Signals & Systems Page 111

(C) - 1 # f (3) # 1 (D) 3 (C) 5 < z < 6 (D) 6 < z < 3


6 5 5
Q121 A system with input x [n] and output y [n] is given
as y [n] = (sin 56 pn) x [n]. The system is Q127 Which of the following can be impulse response of
(A) linear, stable and invertible a causal system ?
(B) non-linear, stable and non-invertible
(C) linear, stable and non-invertible
(D) linear, unstable and invertible

Q122 The unit step response of a system starting from


rest is given by c (t) = 1 - e-2t for t $ 0 . The transfer
function of the system is
(A) 1 (B) 2
1 + 2s 2+s
(C) 1 (D) 2s
2+s 1 + 2s
Q128 Let x (n) = ( 12 ) n u (n), y (n) = x2 (n) and Y (e jw) be
Q123 The unit impulse response of a system is the Fourier transform of y (n) then Y (e j0)
f (t) = e-t, t $ 0 . For this system the steady-state value of (A) 1 (B) 2
4
the output for unit step input is equal to
(A) - 1 (B) 0 (C) 4 (D) 4
3
(C) 1 (D) 3

Q129 The power in the signal


GATE 2005 ONE MARK s (t) = 8 cos (20p - p2 ) + 4 sin (15pt) is
(A) 40 (B) 41
Q124 Choose the function f (t); - 3 < t < 3 for which a (C) 42 (D) 82
Fourier series cannot be defined.
(A) 3 sin (25t)
(B) 4 cos (20t + 3) + 2 sin (710t) GATE 2005 TWO MARKS

(C) exp (- t ) sin (25t)


Q130 The output y (t) of a linear time invariant system is
(D) 1
related to its input x (t) by the following equations
y (t) = 0.5x (t - td + T) + x (t - td ) + 0.5x (t - td + T)
Q125 The function x (t) is shown in the figure. Even and
odd parts of a unit step function u (t) are respectively, The filter transfer function H (w) of such a system is given
by
(A) (1 + cos wT) e-jwt d
(B) (1 + 0.5 cos wT) e-jwt d

(C) (1 - cos wT) e-jwt d


(D) (1 - 0.5 cos wT) e-jwt d

Q131 Match the following and choose the correct


combination.
(A) 1 , 1 x (t) (B) - 1 , 1 x (t) Group 1
2 2 2 2
E. Continuous and aperiodic signal
(C) 1 , - 1 x (t) (D) - 1 , - 1 x (t) F. Continuous and periodic signal
2 2 2 2
G. Discrete and aperiodic signal
Q126 The region of convergence of z - transform of the H. Discrete and periodic signal
Group 2
sequence b 5 l u (n) - b 6 l u (- n - 1) must be
n n

6 5 1. Fourier representation is continuous and aperiodic


2. Fourier representation is discrete and aperiodic
(A) z < 5 (B) z > 5
6 6 3. Fourier representation is continuous and periodic
4. Fourier representation is discrete and periodic
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 112 Signals & Systems Chapter 4

(A) E - 3, F - 2, G - 4, H-1
(B) E - 1, F - 3, G - 2, H-4
(C) E - 1, F - 2, G - 3, H-4
(D) E - 2, F - 1, G - 4, H-3

Q132 A signal x (n) = sin (w0 n + f) is the input to a linear


time- invariant system having a frequency response H (e jw)
. If the output of the system Ax (n - n0) then the most
general form of +H (e jw) will be
(A) - n0 w0 + b for any arbitrary real
(B) - n0 w0 + 2pk for any arbitrary integer k
(C) n0 w0 + 2pk for any arbitrary integer k
(D) - n0 w0 f

Get More Study Material


by Whatsapp. Send
Your College Pin Code,
Branch and BTech year to
9414243489 by whatsapp
Q134 The Fourier transform of y (2n) will be
Statement For Linked Answer Q 133 and 134 (A) e-j2w [cos 4w + 2 cos 2w + 2]
A sequence x (n) has non-zero values as shown in the figure. (B) cos 2w + 2 cos w + 2
(C) e-jw [cos 2w + 2 cos w + 2]
(D) e-j2w [cos 2w + 2 cos + 2]

Q135 For a signal x (t) the Fourier transform is X (f).


Then the inverse Fourier transform of X (3f + 2) is given
by
j4pt
(A) 1 x` t j e j3pt (B) 1 x` t j e - 3
2 2 3 3
x ( n2 - 1), For n even
(C) 3x (3t) e-j4pt (D) x (3t + 2)
Q133 The sequence y (n) = * will
be 0, For n odd
GATE 2004 ONE MARK

Q136 The impulse response h [n] of a linear time-invariant


system is given by h [n] = u [n + 3] + u [n - 2) - 2n [n - 7]
where u [n] is the unit step sequence. The above system is
(A) stable but not causal
(B) stable and causal
(C) causal but unstable
(D) unstable and not causal
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 4 Signals & Systems Page 113

Q137 The z -transform of a system is H (z) = z -z0.2 . If the (A) - 1 X (f/2) e-jpf (B) - 1 X (f/2) e j2pf
ROC is z < 0.2 , then the impulse response of the system 2 2
is (C) - X (f/2) e j2pf (D) - X (f/2) e-j2pf
(A) (0.2) n u [n] (B) (0.2) n u [- n - 1]
(C) - (0.2) n u [n] (D) - (0.2) n u [- n - 1]
GATE 2003 ONE MARK

Q138 The Fourier transform of a conjugate symmetric


Q143 The Laplace transform of i (t) is given by I (s)
function is always 2
(A) imaginary = At t " 3, The value of i (t) tends to
s (1 + s)
(B) conjugate anti-symmetric (A) 0 (B) 1
(C) real (C) 2 (D) 3
(D) conjugate symmetric
Q144 The Fourier series expansion of a real periodic
GATE 2004 TWO MARKS signal with fundamental frequency f0 is given by gp (t)
= / cn e j2pf t . It is given that c3 = 3 + j5 . Then c-3 is
0

n =- 3
Q139 Consider the sequence x [n] = [- 4 - j51 + j25]. The
conjugate anti-symmetric part of the sequence is - (A) 5 + j3 (B) - 3 - j5
(A) [- 4 - j2.5, j2, 4 - j2.5] (C) - 5 + j3 (D) 3 - j5
(B) [- j2.5, 1, j2.5]
(C) [- j2.5, j2, 0] Q145 Let x (t) be the input to a linear, time-invariant
(D) [- 4, 1, 4] system. The required output is 4p (t - 2). The transfer
function of the system should be
(A) 4e j4pf (B) 2e-j8pf
Q140 A causal LTI system is described by the difference
(C) 4e-j4pf (D) 2e j8pf
equation
2y [n] = ay [n - 2] - 2x [n] + bx [n - 1]
The system is stable only if Q146 A sequence x (n) with the z -transform
(A) a = 2 , b < 2 (B) a > 2, b > 2 X (z) = z 4 + z2 - 2z + 2 - 3z-4 is applied as an input to a
(C) a < 2 , any value of b (D) b < 2 , any value of a linear, time-invariant system with the impulse response
h (n) = 2d (n - 3) where
1, n = 0
Q141 The impulse response h [n] of a linear time invariant d (n) = )
0, otherwise
system is given as The output at n = 4 is
- 2 2 n = 1, - 1 (A) - 6 (B) zero
h [ n] = * 4 2 n = 2, - 2 (C) 2 (D) - 4
0 otherwise
If the input to the above system is the sequence e jpn/4 ,
GATE 2003 TWO MARKS
then the output is
(A) 4 2 e jpn/4 (B) 4 2 e-jpn/4
(C) 4e jpn/4 (D) - 4e jpn/4 Q147 Let P be linearity, Q be time-invariance, R be
causality and S be stability. A discrete time system has
the input-output relationship,
Q142 Let x (t) and y (t) with Fourier transforms F (f) and x (n) n$1
Y (f) respectively be related as shown in Fig. Then Y (f) is y (n) = *0, n= 0
x (n + 1) n # - 1
where x (n) is the input and y (n) is the output. The above
system has the properties
(A) P, S but not Q, R (B) P, Q, S but not R
(C) P, Q, R, S (D) Q, R, S but not P
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 114 Signals & Systems Chapter 4

Common Data Question 148 and 149 (A) e2t u (t) - 2e-t u (t) (B) - e2t u (- t) + 2e-t u (t)
The system under consideration is an RC low-pass filter (C) - e2t u (- t) - 2e-t u (t) (D) e2t u (- t) - 2e-t u (t)
(RC-LPF) with R = 1 kW and C = 1.0 mF.
Q155 If the impulse response of discrete - time system
Q148 Let H (f) denote the frequency response of the is h [n] =- 5n u [- n - 1], then the system function H (z) is
RC-LPF. Let f1 be the highest frequency such that equal to
H (f1)
0 # f # f1 $ 0.95 . Then f1 (in Hz) is (A) - z and the system is stable
H (0) z-5
(A) 324.8 (B) 163.9 (B) z and the system is stable
(C) 52.2 (D) 104.4 z-5
(C) - z and the system is unstable
z-5
Q149 Let tg (f) be the group delay function of the given
RC-LPF and f2 = 100 Hz. Then tg (f2) in ms, is (D) z and the system is unstable
z-5
(A) 0.717 (B) 7.17
(C) 71.7 (D) 4.505 GATE 2001 ONE MARK

GATE 2002 ONE MARK Q156 The transfer function of a system is given by
H (s) = 2 1 . The impulse response of the system is
Q150 Convolution of x (t + 5) with impulse function (A) (t2 *se-(s2t)-u2()t) (B) (t * e2t) u (t)
d (t - 7) is equal to (C) (te-2 t) u (t) (D) (te-2t) u (t)
(A) x (t - 12) (B) x (t + 12)
(C) x (t - 2) (D) x (t + 2) Q157 The region of convergence of the z - transform of a
unit step function is
Q151 Which of the following cannot be the Fourier series (A) z > 1 (B) z < 1
expansion of a periodic signal? (C) (Real part of z ) > 0 (D) (Real part of z ) < 0
(A) x (t) = 2 cos t + 3 cos 3t
(B) x (t) = 2 cos pt + 7 cos t Q158 Let d (t) denote the delta function. The value of the
(C) x (t) = cos t + 0.5 # d (t) cos b 3t l dt is
3
integral
-3 2
(D) x (t) = 2 cos 1.5pt + sin 3.5pt
(A) 1 (B) - 1
(C) 0 (D) p2
Q152 The Fourier transform F {e-1 u (t)} is equal to
1 . Therefore, F ' 1
1 + j2pt 1
is
1 + j 2p f Q159 If a signal f (t) has energy E , the energy of the
(A) e f u (f) (B) e-f u (f) signal f (2t) is equal to
(C) e f u (- f) (D) e-f u (- f) (A) 1 (B) E/2
(C) 2E (D) 4E
Q153 A linear phase channel with phase delay Tp and
group delay Tg must have GATE 2001 TWO MARKS
(A) Tp = Tg = constant
(B) Tp \ f and Tg \ f Q160 The impulse response functions of four linear
(C) Tp = constant and Tg \ f ( f denote frequency) systems S1, S2, S3, S4 are given respectively by
(D) Tp \ f and Tp = constant u (t)
h1 (t) = 1, h2 (t) = u (t), h3 (t) =
t+1
and h 4 (t) = e-3t u (t) where u (t) is the unit step function.
GATE 2002 TWO MARKS Which of these systems is time invariant, causal, and
stable?
Q154 The Laplace transform of continuous - time signal (A) S1 (B) S2
x (t) is X (s) = s -5 -s -s 2 . If the Fourier transform of this signal
2
(C) S3 (D) S4
exists, the x (t) is
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 4 Signals & Systems Page 115

GATE 2000 ONE MARK

Q161 Given that L [f (t)] = s2+ 2 , L [g (t)] = s2 + 1


s +1 (s + 3) (s + 2)
t
and h (t) = #0 f (t) g (t - t) dt . L [h (t)] is
2
(A) s + 1 (B) 1
s+3 s+3

(C) s2 + 1 + s+2 (D) None of the above


(s + 3)( s + 2) s2 + 1

2
Q162 The Fourier Transform of the signal x (t) = e-3t is
of the following form, where A and B are constants :
2
(A) Ae-B f (B) Ae-Bf
(C) A + B f 2 (D) Ae-Bf

Q167 A system has a phase response given by f (w),


Q163 A system with an input x (t) and output y (t) is
where w is the angular frequency. The phase delay and
described by the relations : y (t) = tx (t). This system is
group delay at w = w0 are respectively given by
(A) linear and time - invariant
f (w0) df (w) d2 f (w0)
(B) linear and time varying (A) - , - (B) f (wo), -
w0 dw w = w dw2 w = w
(C) non - linear and time - invariant 0
o

df (w)
(C) wo , -
w
(D) non - linear and time - varying #
o

(D) wo f (wo), f (l)


f (wo) d (w) w = w o
-3

Q164 A linear time invariant system has an impulse


response e2t, t > 0 . If the initial conditions are zero and GATE 1999 ONE MARK
the input is e3t , the output for t > 0 is
(A) e3t - e2t (B) e5t Q168 The z -transform F (z) of the function f (nT) = anT
(C) e3t + e2t (D) None of these is
(A) z (B) z
z - aT z + aT
GATE 2000 TWO MARKS z z
(C) (D)
z - a-T z + a-T
Q165 One period (0, T) each of two periodic waveforms
W1 and W2 are shown in the figure. The magnitudes of the Q169 If [f (t)] = F (s), then [f (t - T)] is equal to
nth Fourier series coefficients of W1 and W2 , for n $ 1, n
sT
(A) e F (s) (B) e-sT F (s)
odd, are respectively proportional to F (s) F (s)
(C) (D)
1-e sT
1 - e-sT

Q170 A signal x (t) has a Fourier transform X (w). If x (t)


is a real and odd function of t , then X (w) is
(A) a real and even function of w
(B) a imaginary and odd function of w
(A) n-3 and n-2 (B) n-2 and n-3 (C) an imaginary and even function of w
(C) n-1 and n-2 (D) n-4 and n-2 (D) a real and odd function of w

Q166 Let u (t) be the step function. Which of the GATE 1999 TWO MARKS
waveforms in the figure corresponds to the convolution of
u (t) - u (t - 1) with u (t) - u (t - 2) ?
Q171 The Fourier series representation of an impulse
train denoted by
3
s (t) = / d (t - nT0) is given by
n =- 3
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 116 Signals & Systems Chapter 4

j2pnt jpnt (A) z - 1 (B) z


(A) 1 / exp - (B) 1 / exp - z z-1
3 3

T0 n =- 3 T0 T0 n =- 3 T0
z (z - 1) 2
jpnt j2pnt (C) (D)
(C) 1 / exp (D) 1 / exp
3 3
(z - 1) 2 z
T0 n =- 3 T0 T0 n =- 3 T0
Q178 A distorted sinusoid has the amplitudes A1, A2, A 3, ....
Q172 The z -transform of a signal is given by C (z) of the fundamental, second harmonic, third harmonic,.....
1z-1 (1 - z-4)
= . Its final value is respectively. The total harmonic distortion is
4 (1 - z-1) 2 2 2

(A) 1/4 (B) zero (A) A2 + A 3 + .... (B) A 2 + A 3 + .....


A1 A1
(C) 1.0 (D) infinity A 22 + A 32 + ..... 2 2
(C) (D) c A 2 + A 3 + ..... m
A 12 + A 22 + A 32 + .... A 1

GATE 1998 ONE MARK


Q179 The Fourier transform of a function x (t) is X (f).
dX (t)
w , then the value of Limf (t) The Fourier transform of will be
Q173 If F (s) = df
s2 + w2 t"3
dX (f)
(A) cannot be determined (B) is zero (A) (B) j2pfX (f)
df
(C) is unity (D) is infinite X (f)
(C) jfX (f) (D)
jf
Get More Study Material by
Whatsapp. Send Your College Pin GATE 1997 ONE MARK

Code, Branch and BTech year to Q180 The function f (t) has the Fourier Transform g (w).
9414243489 by whatsapp The Fourier Transform
3
ff (t) g (t) e = # g (t) e-jwt dt o is
Q174 The trigonometric Fourier series of a even time -3

function can have only (A) 1 f (w) (B) 1 f (- w)


2p 2p
(A) cosine terms (B) sine terms
(C) cosine and sine terms (D) d.c and cosine terms (C) 2pf (- w) (D) None of the above

Q175 A periodic signal x (t) of period T0 is given by Q181 The Laplace Transform of eat cos (at) is equal to
1, t < T1 (s - a) (s + a)
(A) (B)
x (t) = * (s - a) 2 + a2 (s - a) 2 + a2
0, T1 < t < T0 1
2 (C) (D) None of the above
The dc component of x (t) is (s - a) 2
(A) T1 (B) T1
T0 2T0
GATE 1996 ONE MARK
(C) 2T1 (D) T0
T0 T1
Q182 The trigonometric Fourier series of an even function
of time does not have the
Q176 The unit impulse response of a linear time invariant
(A) dc term (B) cosine terms
system is the unit step function u (t). For t > 0 , the response
of the system to an excitation e-at u (t), a > 0 will be (C) sine terms (D) odd harmonic terms
(A) ae-at (B) (1/a) (1 - e-at)
(C) a (1 - e-at) (D) 1 - e-at Q183 The Fourier transform of a real valued time signal
has
3 (A) odd symmetry (B) even symmetry
Q177 The z-transform of the time function / d (n - k) is (C) conjugate symmetry (D) no symmetry
k=0

***********
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 4 Signals & Systems Page 117

SOLUTION
S1 Correct option is (C).
If the input to a system is its eigen signal, the response
has the same form as the eigen signal.

S2 Correct answer is (B).


A discrete time signal x (n) = cos (w 0 n) is said to be
periodic if w 0 is a rational number
2p Convolution of two sinc pulses is sinc pulse.
sin ^ t h
S3 Correct option is (B). x1 ^ t h =
pt
x (n) = (a) n x (n) + (b) n x (n), given 0 < a < b < 1
ROC = _ z > a i + _ z > b i x ^ t h x1 ^ t h* x1 ^ t h
= z > b X ^w h = X 1 ^w h $ X 1 ^w h
= X 1 ^w h
S4 Correct answer is 13. x ^ t h = x1 ^ t h
fm = 33 Hz , fs = 46 Hz sin ^ t h
=
The frequency in sampled signal are = ! 33 , 13, 79, 59, pt
125, .....
S8 Correct option is (C)
The above frequencies are passed to a LPF of cutoff
frequency 23 Hz. ^a hn x ^n h ) X c az m
The output frequency is = 13 Hz . a =- 1
but x ^n h = d 6n - 3@ + 2d 6n - 5@
S5 Correct answer is 0.25.
y ^n h = ^- 1hn x ^n h
= ^- 1hn 8d ^n - 3h + 2d 6n - 5@
y ^n h =- d ^n - 3h - 2d ^n - 5h
=- x ^n h

S9 Correct option is (B).


One period of signal
sin at ) rect w T
p a 2a k x1 (t) = u (t) - u bt - 2 l
w
X (w) = 1 rect a 8p k
-sT -sT
X1 (s) = 1 - e = 1-e
2 2
4 s s s
Ex (t) = 1 # X (w) 2 dw
3
-sT
2p - 3 X (s) = 1 1-e 2
-sT X1 (s) =
1-e s ^1 - e-sT h
= 1 # 1 # 8p = 1 = 0.25 1
2p 16 4 =
s _1 + e 2 i
-sT

S6 Correct option is (C)


x ^ t h = cos ^6pt h + sin ^8pt h S10 Correct option is (C).
y ^ t h = x ^2t + 5h H (s) = 1
1 + st
y ^ t h = cos ^12pt + 30ph + sin ^16pt + 40ph
fm1 = 6 V0 (s) = H (s) .VI (s)
fm2 = 8 (1) if Vi (t) = d (t)
fm = 8 Hz VI (s) = 1
^ hmin = 2fm = 16 Hz
fs V0 (s) = H (s) .VI (s) = 1
1 + st
t
S7 Correct option is (A) V0 (t) = e T
(2) If Vi (t) = u (t)
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 118 Signals & Systems Chapter 4

VI (s) = 1 h ^ t h = e-2t u ^ t h + e-4t $ u ^ t h


s
V0 (s) = 1 =1- 1 Ts = 1 = 1
s (1 + st) s s+ 1 Fs 2
t h ^nTs h = e -2nTs
u ^nTs h + e-4nTs , u ^nTs h
V0 (t) = ^1 - e h
-t/t
= e u ^n h + e-2n $ u ^n h
-n

(3) Vi (t) = r (t) H ^z h = z -1 + z -2


z-e z-e
VI (s) = 12
s
= z + z
V0 (s) = H (s) .VI (s) z - 0.367 z - 0.135
= 2 1 = 12 - t + t
s (1 + st) s s s+ 1 2 2
t H ^z h = z -2 0.135z + z - 0.367z
-t/t z - 0.5032z + 0.049
V0 (t) = t - t (1 - e )
2z2 - 0.5032z
Get More Study Material =
z2 - 0.5032z + 0.049
k = 0.049
by Whatsapp. Send
S13 Correct answer is 6.
Your College Pin Code, Interpolation in time domain equal to replication in
frequency domain.
Branch and BTech year to n
x1 ^n h = x a 3 k

9414243489 by whatsapp X1 ^k h = 612, 2j, 0, - 2j, 12, 2j, 0, - 2j, 12, 2j, 0, - 2j @X1 ^8 h
= 12
X1 ^11h =- 2j
X1 ^ 8 h
S11 Correct answer is 8. = 12
X1 ^ 8 h - 2j
Plancheral’s relation is
1 p X (e jw) .Y (e jw) dw =
3 =6
2p - p# /
x (n) y (n)
n =- 3
S14 Correct option is (B)
Y (e jw) = sin2 (2w) H ^e jw h = e jw + e-jw
1 - cos (4w)
= = 2 cos w
2
= 1 - 1 e j4w - 1 e-j4w
2 4 4
y (n) = d (n) - d (n + 4) - 1 d (n - 4)
1 1
2 2 4
1 1 1 It x ^ t h = 2 cos b 2p t l
y (n) = &- 4 , 0, 0, 0, 2 , 0, 0, 0, - 4 0 3

x (n) = "6, 3, 8, 7, 4, w 0 = 2p
3

1 p 3 H ^ jw 0h = 2 cos b 2p l
p #-p
X (e jw) .Y (e jw) dw = 2 / x (n) y (n) 3
= 2 b - 1 l =- 1
n =- 3
3
=2 / x (n) y (n) 2
y ^ t h = 2 cos b 2p t + 180cl
n =- 3

= 2#8#1 = 8 3
2
x ^ t h = cos pt
S12 Correct answer is 0.049 w0 = p
H ^s h = 2 2s + 6 = 2s + 6 H ^ jw 0h = 2 cos ^ph
s + 6s + 8 ^s + 2h^s + 4h =- 2
y ^ t h = 2 cos ^pt + 180ch
= 1 + 1
s+2 s+4
y ^ t h = 2 cos b 2p t + p l - 2 cos ^pt + ph
3
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 4 Signals & Systems Page 119

w 1 = 2p
3
w2 = p
T1 = 3 , T2 = 2 and T0 = 6
w 0 = 2p = p
T0 3
y ^ t h = 2 cos ^2w 0 t + ph - 2 cos ^2w 0 t + ph

y ^ t h = e j^2w t + ph + e-j^2w t + ph - e j^3w t + ph - e-j^3w t + ph


0 0 0 0

y ^ t h =- e j^ h - e-j^2w t h + e j^3w t h + e-j^3w t h


2w t0 0 0 0

C3 = 1

S15 Correct option is (D).


x ^y h = ^2 hn u ^n h + b 1 l u ^- n - 1h
n

2
roc = ^ z > 2h k _ z < 12 i
=f
No ROC

S16 Correct answer is 8.


The number of complex multiplications required for DIF-
FFT
= b N log 2 N l
2
Thus b N log 2 N l^20 m sech = 125 m sec
2
S17 Correct option is (C).
y ^n h = 5 6x ^n h - x ^n - 2h@ Now, average power is given by
Pav . = 1 g ^ t h dt
2
Y ^e jw h = 5 81 - e-2jw X ^e jw hB T T #
H ^e jw h = 5 61 - e-2jw We have the magnitude of waveform g ^ t h as shown below.

w H ^e jw h
Taking the sample period - 3 < t < 3 , we obtain
0 0
6 -3 ^ h
3
Pav. = 1 # 2
g t dt
p 10
2
6 -3 ^ h
3
Pav. = 1 # 2
g t dt
p 0
= 1 < b - 3 t + 3 l dt + b 3 t - 3 l dtF
1 2 3 2

So it is Band pass filter #


6 -1 2 2 1
# 2 2
= 3 < ^1 - t h2 dt + ^t - 1h2 dtF
1 3

S18 Correct answer is 2. #


8 -1 1
#
x b t - 1 l = x b 1 ^t - 1hl ^1 - t h3
1
^t - 1h3
3
2 2 = 3 *<- F +; E4
8 3 3
So, we first scale x ^ t h by 12 , and then shift the result by 1
-1 1

unit right. The resulting waveform is obtained as = 3 & 8 + 8 0 = 2.


8 3 3
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 120 Signals & Systems Chapter 4

S19 Correct option is (D).


From the convolution property, = 1 7rect ^ f - 20h - rect ^ f + 20hA
2j
x ^ t h * d ^t - t 0h = x ^t - t 0h
Now, X1 ^ f h repeats with a value f0 = 15 Hz and each
Now, we replace t by - t to obtain impulse value is 15/2. Thus, the sampled signal spectrum
x ^- t h * d ^- t - t 0h = x ^- t - t 0h and the spectrum of the filter is

S20 Correct option is (C).


Given f ^ t h = 0 outside interval T1 , T2 .
and f^t h < 3
So, it is a finite duration signal and for the finite duration
signal ROC is always entire s-plane.

S21 Correct answer is 0.


n
Given y 6n@ = / X6m@
n=0
This is accumulation property given as
y 6n@ = u 6n@ x 6n@
By z -transformation,
Y ^z h
Y ^z h =
^1 - z-1h Hence, we get
Since, Y ^z h = 2 XS ^ f h H ^ f h = 15 7d ^ f - 20h - d ^ f + 20hA
z ^z - 1h2 4j
2 X ^z h z xr ^ t h = 15 sin ^40pt h
So, 2 = 2
z ^z - 1h ^z - 1h
= 15 cos c 40pt - p m
-2 -3
or X ^z h = 2z = 2z -1 2 2
^z - 1h ^1 - z h
Again, taking inverse z -transform This is recovered signal. Hence, applying phase shift p/4
x 6n@ = 2u 6n - 3@ , we get
Hence, x 62@ = 0 xr ^ t h = 15 cos d 40pt - p + p n
2 2 4
S22 Correct option is (C). = 15 cos d 40pt - p n
1, 0 # t # b 2 4
Given f^t h = *
0, otherwise S24 Correct option is (A).
F ^s h = # 1e Since the magnitude spectrum is even then the
3 -st
So, dt
0 corresponding time-domain signal is real signal.
-st b -as -bs
= ;e E = e - e
-s a +s S25 Correct option is (B).
S23 Correct option is (A). We have the signal flow graph as
Given continuous time signal,
x ^ t h = cos d10pt + p n
4
Here, we neglect the phase-shift p/4 , as it can be inserted
in the final result. So, we have the Fourier transform pair,
x1 ^ t h = cos 10pt X1 ^ f h
L

= 7d ^ f - 5h + d ^ f + 5hA
1
2
Given filter impulse response, By Mason’s gain formula,
h ^ t h = b sin pt l cos b 40pt - p l T ^s h =
Spx T x
pt 2
T
= ^sin ct h sin ^40pt h
Single loops,
Taking its Fourier transform,
Q1 =- G1 G2 H1
H ^ f h = rect f * 1 7d ^ f - 20h - d ^ f + 20hA
2j
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 4 Signals & Systems Page 121

Q2 =- G 3 G 4 H2
Q 3 =- G2 G 3 H 3
Non touchy loops,
P11 = G1 G2 G 3 G 4 H1 H2
T = 1 + G 1 G 2 H1 + G 3 G 4 H 2 + G 2 G 3 H 3
+ G1 G 2 G 3 G 4 H1 H 2
Forward path = P11 = G1 G2 G 3 G 4
T1 = 1
Hence, T ^s h = G1 G 2 G 3 G 4
1 + G 1 G 2 H1 + G 3 G 4 H 2 + G 2 G 3 H
+ G1 G 2 G 3 G 4 H1 H 2
S26 Correct option is (A).
3
Given y [n] = / a x [ n - i]
i So, we obtain
H ^z h = 6 6
i=0
=
Taking the DTFT (Dicrete time touries transform), 6 b1 - z l + ^z h
5 -1 -1 ^6 - 5 z-1
h + z-1
Y (e jW) = a 0 X (e jW) + a 1 (e jW) -1 X (e jW) 6
= 2 6
+ a 2 (e jW) -2 X ^e jW h + a 3 (e jW) -3 X (e jW) 6z - 5z + 1
jW
Y (e ) jW jW -1 Hence, poles are at
or jW = H (e ) = a 0 + a 1 (e ) + a 2 (e jW) -2
X (e ) z = 1, 1
+ a 3 (e jW) -3 2 3
At zero frequency, put W = 0 S29 Correct answer is 350.
H (e j0) = a 0 + a 1 + a 2 + a 3 We have the input signal,
It should be null. x ^ t h = m ^ t h cos ^2400pt h
For H (e j0) = 0 , only condition required is = m ^ t h cos ^wt h
a 1 = a 2 = 0 ; a 0 =- a 3 and w = 2400 prad . 1200 Hz
So,y ^ t h = 10x ^ t h + x2 ^ t h
S27 Correct option is (B).
By property from LTI systems = 10m ^ t h cos ^2400pt h + m2 ^ t h cos2 ^2400pt h
d (s (t))
h (t) = cos ^2wt h + 1
dt = 10m ^ t h cos ^wt h + m2 ^ t h; E
2
h (t) = impulse response
m2 ^ t h m2 ^ t h cos ^2wt h
s (t) = step response. = + 10m ^ t h cos ^wt h +
2 2
S
+ve frequency
1 444 2 4 44 3 1 4 44 2
6w - W, w + W @ 4 44 3
62w - 2W, 2w + 2W @
S28 Correct option is (C). Ranges
6- q, 2W @
We have the discrete time system as shown in figure below.

From the frequency plot, we conclude the following results.


The circuit is minimized as Result 1 w - W > 700
1200 - W > 700
W < 500
Result 2 w + W < 1700
1200 + W < 1700
W < 500
Result 3 w - W > 2W
1200 > 3W
W < 400
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 122 Signals & Systems Chapter 4

Result 4 2w - 2W > 1700 = 1


2400 - 1700 > 2W ^s - 3h^s + 2h
2W < 700
= 1= 1 - 1 G
W < 350 5 ^s - 3h ^s + 2h
Thus, the above conclusions result in Given that h ^ t h is non causal so ROC should be left side
W < 350 of plane. Also, h ^ t h is instable, so ROC should not contain
jw axis
S30 Correct option is (A).
Given pole-zero diagram of causal and discrete time
system,

Hence H ^s h = 1 = 1 - 1 G
5 ^s - 3h ^s + 2h
and h ^ t h = 1 8- e-3t u ^- t h + e-2t u ^- t hB
5
From the pole-zero plot, we obtain the transfer function as = - 1 e-3t u ^- t h + 1 e-2t u ^- t h
Kz 4 5 5
H ^z h =
^z - 0.5 + j 0.5h^z - 0.5 - j 0.5h S32 Correct answer is 11.
# ^z + 0.5 + j 0.5h^z + 0.5 - j 0.5h Given x1 6n@ = "1, 2, 3, 0, , x2 6n@ = "1, 3, 2, 1,
Kz 4 and X 3 ^k h = X1 ^k h X2 ^k h
=
8^z - 0.5h2 - j 2 ^0.5h2B8^z + 0.5h2 - j 2 ^0.5h2B By convolution circular property of DFT
Circular convolution in time domain = Multiplication in
= Kz 4 fourier domain
^z - z + 0.5h^z2 + z + 0.5h
2
So x1 6n@ 7 x2 6n@ = X1 ^k h X2 ^k h = x 3 6n@

= Kz 4
^z + 0.5h - z2
2 2

= Kz 4
z 4 + ^0.5h2

= K
-4
1+z
4
x 3 60@ = 2 + 6 + 1 = 9
It’s inverse z -transform will be a real function. Thus, h 6n@
Now rotate for second term
is real for all n .

S31 Correct option is (B).


y m^ t h - yl^ t h - 6y ^ t h = x ^ t h
Given system is neither causal nor stable. Taking the
Laplace transform,
s2 y ^s h - sy ^s h - 6y ^s h = X ^s h
(Given initial condition = 0 )
Y ^s h^s - s - 6h = X ^s h
2

Y ^s h x 3 61@ = 6
= H ^s h = 2 1 So,
X ^s h s -s-6
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 4 Signals & Systems Page 123

Time domain convolution = frequency domain


multiplication
So, we obtain
3 3
/ d (t - 10n) * 101 / d (f - kfs)
n =- 3 n =- 3

f s = 1 = 0.1
Ts
Again, x 3 62@ = 2 + 6 + 3 = 11

S33 Correct answer is - 2 .


Given x ^ t h = as ^ t h + s ^- t h

s ^ t h = be-4t u ^ t h
So, x ^ t h = abe-4t u ^ t h + be+4t u ^- t h
Now, we have ROC - 4 < Re " s , < + 4 . Taking Laplace
Thus, the multiplication will result in maximum frequency
for given ROC, we have
ab b of 0.2. Hence,
X ^s h = - Nyquist rate = 2 fm = 2 (0.2) = 0.4 sample/sec
s+4 s-4
a ^s - 4h - ^s + 4h
b< F = 2 16 S36 Correct answer is 0.5.
s2 - 16 s - 16
Given
b ;as - 42 a - s - 4 E = 2 16 pn
s - 16 s - 16 x [n] = 1 + cos a 8 k, with N = 16
s ^a - 1h b - ^4 + 4ah b
= 2 16 j2pn
x [n] = 1 + 1 e j 16 + 1 e 16
2 2pn
s - 16 s - 16 2 2
Coefficient of s is zero. From above equation, we conclude
the result as, a1 = a-1 = 1 , a 0 = 1
2
^a - 1h b = 0 For discrete series,
a=1 ak = ak + N
and -^4 + 4ah b = 16 So, a 31 = 0.5 .
b = - 16
8
S37 Correct answer is 1.5.
b =- 2
x1 [n] = a (0.5) n u [n]
Energy of signal x1 [n] is
S34 Correct option is (C). 3 3
Given sequence is absolutely summable, so its Fourier = /x 1
2
[n] = / a (0.5)
2 2n

n=0 n=0
transform (DTFT) exists. Now, the poles are located
1 1
below.
/ b 12 l
2n
= a2 :1 + 4 + 16 .....D
3
= a2
n=0
1
= a ;1 - 1 E = 4 a2
2
4 3
Again, x2 [n] = $ 1.5 , 1.5 , 0, 0, .......
So, energy of signal x2 [n] is

= ^ 1.5 h + ^1.5h
22

= 1.5 + 1.5 = 3
Given that
Energy of signal x1 [n] = Energy of signal x2 [n]
Here, ROC is inside the circle including unit circle. Hence, 4 a2 = 3
it is a non-causal system. 3
a2 = 9
4
S35 Correct answer is 0.4.
sin ^ p2t h a = ! 3 = ! 1.5
x (t) = f pt p * 2
3

^2h /
d (t - 10n)
n =- 3
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 124 Signals & Systems Chapter 4

Since a is a positive real number, so we have value of N . Thus, the given signal is not periodic.
a = 1.5
Alternate Method
S38 Correct option is (C). In the given options (A), (B) and (C), we have the periods
We redraw the given system as respectively as
N1 = p
N 2 = p2
N 3 = p/3
None of the above period is an integer. Since, a discrete
time signal has its period an integer. So, all the three
options are incorrect. Hence, we are left with the option
(D). i.e. the discrete time signal x 6n@ = sin ^p2 n h is not
periodic.

S40 Correct answer is 3.


Given that the two signals x ^ t h and y ^ t h are bandlimited
From the circuit, we have to the frequencies given as
A [n] = x [n] + A [n - 1] - 2 A [n - 2] - 500 # fx # 500 Hz or - 0.5 # fx # 0.5 kHz
9
- 1 # fy # 1 kHz
2 -2
A (z) # :1 - z + 9 z D = X (z)
-1
So, we sketch the corresponding waveforms as
A (z) 1
= (1)
X (z) 1 - 2 + 29 z-2
-1

Again, Y [n] =- 5 A [n - 1] + 5 A [n - 2]
3 3
- 5 -1 5 -2
Y (z) = : 3 z + 3 z D A (z)
Y (z)
= - 5 z-1 + 5 z-2 (2)
A (z) 3 3
Multiplying equations (1) and (2), Hence, we have the waveform for the signal
Y (z) -5 -1
z + 5 z-2 z^t h = x^t hy^t h
= 3 -1 32 -2
X (z) 1 - z + 9 z given as
For unit step response,
X (z) = 1
1 - z-1
-5 -1 -1
3 z [1 - z ] 1
So, Y (z) = -1 2 -2 #
1-z + 9z 1 - z-1
= 5 - 5
1 - 13 z-1 1 - 23 z-1
1 n 2 n
Hence, y 6n@ = 5 b 3 l u [n] - 5 b 3 l u [n]
Thus, the signal z ^ t h is band limited to 6- 1.5 kHz, 1.5 kHz@
S39 Correct option is (D). Therefore, the maximum signal frequency for z ^ t h is
Given the discrete time signal, fm = 1.5 kHz
x 6n@ = sin ^p2 n h Hence, the nyquist sampling frequency for z ^ t h is
Let N be the period of the signal, then we have fs = 2fm
x 6n@ = x 6n + N @ = 2 # 1.5
or sin ^p2 n h = sin 6p2 n + p2 n@ = 3 kHz
or sin ^p2 n + 2pk h = sin ^p2 n + p2 N h
Where K is an integer. So, we get S41 Correct answer is 45.
2pk = p2 N Given the impulse response of LTI filter,
or N = 2p2k 3 for 0 # t # 3
p h^t h = )
0 otherwise
For any integer value of k , we cannot have an integer
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 4 Signals & Systems Page 125

and the input to the filter, Given signal,


x ^ t h = 5 for all t x ^ t h = cos ^10pt h + cos ^30pt h
So, we obtain the output of the filter as and sampling frequency is
y ^x h = x ^ t h * h ^ t h fs = 20 Hz
At the input of low pass filter, frequencies will be
# x ^t h h ^t - t h dt
3
= nfs ! fm
Corresponding to cos ^10pft h, the frequencies are
-3

= # x ^t - t h h ^t h dt
3

-3 fs - fm = 20 - 5 = 15
3 fs + fm = 20 + 5 = 25
= # 5 # 3dt for all t
0 fm = 5
= 15 6t@30 for all t Corresponding to cos ^30pft h, the frequencies are
= 15 ^3 - 0h for all t fs - fm = 20 - 15 = 5
= 45 for all t fs + fm = 20 + 15 = 35
Thus, the steady state value of output is fm = 15
lim y ^ t h = 45 Now, the signal is reconstructed using an ideal low-pass
t"3
filter with cut-off frequency of 20 Hz . So, the frequencies
S42 Correct option is (C). present at output are 5 Hz and 15 Hz.
Given the FIR system function,
H (z ) = 1 + 7 z-1 + 3 z-2 S46 Correct option is (A).
2 2 Given transfer function of all pass system,
-1
The zeros of the function are obtained as H ^z h = z - b-1
1 + 7 z-1 + 3 z-2 = 0 1 - az
2 2
Converting the z -transform into Fourier transform, we
2
2z + 7z + 3 = 3 have
2
2z + 6z + z + 3 = 0 jw

^2z + 1h^z + 3h = 0 H ^e-j w h = e - bj w ^z = e-j wh


1 - ae
z = - 1, - 3 Since, H ^e-j w h = 1
2
Since, one zero ^z =- 1/2h is inside the unit circle, and So, ejw - b = 1
one zero ^z =- 3h is outside the unit circle. So, it is a 1 - ae j w
mixed phase system. or 1 ejw - b = 1 (1)
Note: e j w e-jw - a
• For minimum phase system, all zeros are inside the Again, we have
unit circle. ejw = 1
• For maximum phase system all zeros are outside the and e - a = ejw - a
-j w

unit circle. So, equation (1) becomes


ejw - b
=1
S43 Correct option is (B). ejw - a
From the time revorsal property of Z -transform, we know The above equation satisfies only when
that a =b
if x [n ] = x [- n]
Then X (Z ) = X (Z-1) ....(i) S47 Correct answer is 3.375.
Since, (0.5 + j 0.25) is a zero of X (Z ), i.e. Given Fourier transform pair,
n
Ae-j 6pf
b 23 l u ^n + 2h
FT
X (0.5 + j 0.25) = 0 (1)
So, using equation (i), we may also write 1 - 23 e-j 2pf
an u ^n h 1
FT
Xb 1 Since,
0.5 + j 0.25 l
=0 1 - ae-j 2pf
n
b 23 l u ^n h 1
FT
i.e. b 1 So, (2)
0.5 + j 0.25 l
is also a zero of X (Z ). 1 - 23 e-j 2pf
Again, from Fourier transform property,
S44 Correct answer is 0.5.
x ^n h X ^w h
FT
If
S45 x ^n + k h e kw X ^ w h
FT
Correct option is (A). Then
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 126 Signals & Systems Chapter 4

So, from equation (2), we obtain The above series converges, if


e3w 3z < 1 or z < 1
n+3
b 23 l u ^n + 3h
FT

1 - 23 e-j2pf 3
and 1 < 1 or z > 1
n
e j 6pf 9z 9
b 23 l u ^n + 3h
3
b 23 l
FT

1 - 23 e-j 2pf Combining the two inequalities, we get


Comparing the above relation to equation (1), we get 1 < z <1
3 9 3
A = b 3 l . 3.375
2 This is the ROC of the z -transform.
S48 Correct option is (D).
The output of the system is given by S51 Correct answer is 10.
y^t h = x^t h * h^t h Given the discrete time periodic signal,
Z
]e-j 4pf X ^ f h, |f |< W x 6n@ = sin a pn k
5
Y^ f h = X^ f hH^ f h = [
2
W
x 6n@ = 1 8e j 5 - e- 5 B
p n jpn
] 0, |f |> or
2 2j
\
Using Fourier transform property, we get So, we have the fourier series coefficients
y ^ t h = x ^t - 2h
a1 = 1
2j
S49 Correct answer is 4. and a-1 =- 1
Given sequence, x 6n@ = ^0.5hn u 6n@ 2j
Taking z -transform, Also, we have the period of the function as
X ^z h = 1
N = 2p = 10
^1 - 12 z-1h p/5
Now, we have Since, the fourier series coefficients are also periodic, so
y 6n@ = x 6n@ * x 6n@ we have
So, Y ^z h = X ^z h X ^z h a1 = a1 + 10 = a1 + 20 .......... = 1
2j

= 1 (1) a-1 = a-1 + 10 = a-1 + 20 = ........ =- 1


2j
^1 - 12 z-1h
2

Again, we define i.e. the fourier series coefficients are non-zero for
k = 10 m ! 1 where m = 0 , 1, 2, ......
Y ^z h =
3
/ y6n@z -n
Given that the system coefficients are non-zero for
n =- 3
For z = 1, we get k = Bm ! 1
2 Hence, we get the value
y 6n@ = Y ^z h z = 1 = d 1 n = 4
3
/ 1 - 1/2 B = 10
n=3

S50 Correct option is (C). S52 Correct option is (A).


Given the discrete signal, Given the differential equation of the system,
x 6n@ = b- 1 l u ^n h - b- 1 l u ^- n - 1h y ^ t h + 5y ^ t h = u ^ t h
n n

9 3
Z 1 n Applying Laplace transform both the sides,
]]b- 9 l 3>n$0
6sY ^s h - y ^0h@ + 5Y ^s h = s1
=[
]-b- 13 l
n
- 3 < n #- 1 sY ^s h - 1 + 5Y ^s h = 1
\ s
So, the z -transform of signal is obtained as
Y ^s h = s + 1
X ^z h = / x6n@z s ^s + 5h
3
-n

n =- 3
Y ^s h = A + B ...(1)
-1 3 s s+5
= ;-b- 1 l E z-n + 1 n -n
n
/ 3 / b- 9 l z
We obtain the constants A and B as
-3 0
-1
A = lim s + 1 = 1
/ ^- 3z h
3
/ b- 91z l
n
-n
=- + s"0 s + 5 5
B = lim s + 1 = - 4 = 4
-3 0

/ ^- 3z h + / b- 91z l
3 3 n
=- n s "- 5 s -5 5
1 0
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 4 Signals & Systems Page 127

Substituting there values in equation (1), we get where p = 1 and q =- 1


2 4
Y ^s h = 1 + 4
5s 5 ^s + 5h Also,we have
Taking inverse Laplace transform, we get H ^z h = H1 ^z h + rH2 ^z h (1)
y ^ t h = 1 u ^ t h + 4 e-5t Since H ^z h has a zero on unit circle, i.e.
5 5
H (1) = 0
= 0.2 + 0.8e-5t Applying it in equation (1), we get
0 = H1 ^1 h + rH 2 ^1 h
S53 Correct answer is 10. 1 + r
or 0= or 0 = 2 + 4 r
Given the discrete time signal, _1 - 2 i ^
1
1 + 4h
1 5
n, for 0 # n # 10
x [n ] = *
0, otherwise Hence, r = - 2 # 5 =- 2.5
4
and the signal y [n ] is convolution of x [n ] with itself i.e.
y [n ] = x [n ] * x [n ] S56 Correct option is (A).
n
Given system transfer function,
= / x [k ] x [n - k ] H (s) = 1
s+1
k=0
Therefore, we obtain or h ^ t h = e-t u ^ t h (system is causal)
4
y [4 ] = / x [x ] x [4 - k ] Now, we check the given statements.
h ^ t h dt = e-t dt = 1 < 3
# #
3 3
k=0
= x [0] x [4] + x [1] x [3] + 3 [2] x [2] + x [3] x [1] + x [4] x [0] -3 0

= 0+1#3+2#2+3#1+0 So, the system is stable, i.e. S1 is true.


= 10 Again, we have
h ^t + 1h e-^t + 1h u ^t + 1h
= = e-1 for all t > 0
S54 Correct option is (A). h^t h e u^t h
-t

Hence, ^h^t h h is independent of t for t > 0 , i.e. S2 is true.


h t+1
Given the input-output relationship of the system,
y [n ] = ay [y - 1] + bx [n ] Thus, statements S1 and S2 are true.
Taking z -transform both the sides,
Y (z ) = az-1 Y (z ) + bX (z ) S57 Correct answer is 12.
Y (z ) b Given z -transform,
& =
X (z ) 1 - az-1
X ^z h = 1 (1)
b ^1 - 2z-1h
2
& H (z ) = ...(i)
1 - az-1
Since, 2n u ^n h * 1
This is the z -transform of impulse response h [n ]; which 1 - 2z-1
can also be expressed as So, n2n u ^n h *- z d c 1 m
3 dz 1 - 2z-1
H (z ) = / h [n ] z -n

&nx 6n@ *- z dz X ^z h0
d
0
Substituting z = 1 in above expression, we have
3
=z 2z-2 = 2z-1
H (1) = / h [n ] = 2 (given) ^1 - 2z-1h ^1 - 2z-1h
2 2

0
Again, we have the property
Again, Substituting z = 1 in equation (1), we get
b x ^n h * X ^z h
H (1) =
1-a x ^n + 1h * zX ^z h
b
& 2= So, 2n + 1 ^n + 1h u ^n + 1h * 2
1-a ^1 - 2z-1h
2

b
& 1-a = or 2n ^n + 1h u ^n + 1h * 1
2 ^1 - 2z h
-1 2

b Hence, we have
& a = 1-
2 x 6n@ = 2n ^n + 1h u ^n + 1h
S55 Correct answer is - 2.5 . At n = 2 , we obtain
Given H1 ^z h = ^1 - pz -1h-1 x 62@ = 22 ^3h = 4 # 3 = 12
and H2 ^z h = ^1 - qz-1h-1
S58 Correct option is (B).
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 128 Signals & Systems Chapter 4

Given transfer function,


R 0 0 0 0V R V
H ^s h = 2 1 = 1 Sw w w w W Sx ^0 hW
s + s - 6 ^s + 3h^s - 2h Sw0 w1 w2 w3W Sx ^1 hW
or 7X ^k hA = 2 S 0 2 4 6W S
1
Sw w w w W Sx ^2 hW
Cascading it with another LTI system having a transfer W
function H1 ^s h, we get Sw0 w3 w6 w9W Sx ^3hW
H1 ^ s h
T ^ s h = H ^ s h H1 ^ s h = RT XVTR XV
^s + 3h^s - 2h S1 1 1 1 W Sx ^0 hW
S1 - j - 1 j W Sx ^1 hW
Now, for T ^s h to be casual, we must have = 1S (1)
2 S1 - 1 1 - 1WW SSx ^2 hWW
H1 ^s h = ^s - 2h
S1 j - 1 - j W Sx ^3hW
T XT X
S59 Correct answer is 1. Now, we obtain the DFT 7X ^k hA as
Given differential equation, 7Y ^k hA = DFT 7X ^k hA
d 2 y ^ t h ady ^ t h
+ a2 y ^ t h = x ^ t h R VR V
S1 - 1 1 1 W SX ^0 hW
+
dt 2 dt
S1 - j - 1 j W SX ^1 hW
Taking Laplace transform, = 1S
2 S1 - 1 1 - 1WW SSX ^2 hWW
^s2 + as + a2h Y ^s h = X (s)
S1 j - 1 - j W SX ^3hW
So, the transfer function is R V XT
Sx ^0 hW
T X
Y ^s h
H ^s h = = 1 (1) Sx ^1 hW
X (s) ^s2 + as + a2h
= 1 5W ?5W ?S [using equation (1)]
Sx ^2 hW
4 W
Now, we have the signal
Sx ^3hW
g ^ t h = a2 h ^ t h dt + d h ^ t h + ah ^ t h (2)
t
# 0 dt T X
where
Since, system is causal, so R VR V
S1 1 1 1 W S1 1 1 1 W
h^t h = 0 for t < 0 S1 - j - 1 j W S1 - j - 1 j W
Taking Laplace transform of equation (2), we have 6W @6W @ = S1 - 1 1 - 1W S1 - 1 1 - 1W
a 2 H ^s h S WS W
G ^s h = + sH ^s h + aH ^s h S1 j - 1 - j W S1 j - 1 - j W
s TR V XT X
2 S4 0 0 0W
= c a + s + a m H ^s h S0 0 0 4W
s
=S W
^s2 + as + a2h 1 S0 0 4 0W
=
^s + as + a2h S0 4 0 0W
s # 2
Thus, we get T X
=1 R
4 0 0
VR
0W Sx ^0 hW
V
s S
S0 0 0 4W Sx ^1 hW
Hence, the number of poles of G ^s h is 1. 6Y ^k h@ = 14 S0 0 4 0W Sx ^2hW
S WS W
S60 Correct option is (B). S0 4 0 0W Sx ^3hW
T XT X
For the 4-point DFT, we have = 7x ^0 h x ^1 h x ^2 h x ^3hA
Given that
DFT ^DFT ^x hh = x

Y ^k h = x ^n h

7x ^0 h x ^3h x ^2 h x ^1 hA = 7x ^0 h x ^1 h x ^2 h x ^3hA
For this relation to be true, we must have
x ^1 h = x ^ 3 h
Observing the given options, we conclude that the result
given in option (B) satisfies the condition, i.e.
Given N -point DFT sequence, x = 81 2 3 2B
N-1
X ^k h = 1 x 6n@e-j N nk
2p

N n=0
/ S61 Option (C) is correct.
Let -j 2p
w =e N If the two systems with impulse response h1 ^ t h and h2 ^ t h
3
are connected in cascaded configuration as shown in figure,
So, X ^k h = 1 x ^n h w kn
/ (for N = 4 ) then the overall response of the system is the convolution
2n=0
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 4 Signals & Systems Page 129

of the individual impulse responses. fs $ fN


fs $ 10 kHz
only the option (A) doesn’t satisfy the condition therefore,
5 kHz is not a valid sampling frequency.

S65 Option (C) is correct.


For a system to be casual, the R.O.C of system transfer
function H ^s h which is rational should be in the right half
plane and to the right of the right most pole.
S62 Option (C) is correct.
For the stability of LTI system. All poles of the
Given, the input x ^ t h = u ^t - 1h
It’s Laplace transform is system should lie in the left half of S -plane and no repeated
-s pole should be on imaginary axis. Hence, options (A), (B),
X ^s h = e
s (D) satisfies an LTI system stability and causality both.
The impulse response of system is given But, Option (C) is not true for the stable system
h^t h = t u^t h as, S = 1 have one pole in right hand plane also.
Its Laplace transform is
H ^s h = 12 S66 Option (B) is correct.
s The Laplace transform of unit step fun n is
Hence, the overall response at the output is U ^s h = 1
-s s
Y ^s h = X ^s h H ^s h = e 3
s So, the O/P of the system is given as
Its inverse Laplace transform is Y ^s h = b 1 lb 1 l = 12
^t - 1h2 s s s
y^t h = u ^t - 1h
2 For zero initial condition, we check
dy ^ t h
u^t h =
S63 Option (A) is correct. dt
Given, the signal & U ^s h = SY ^s h - y ^0 h
v ^ t h = 30 sin 100t + 10 cos 300t + 6 sin ^500t + p4 h & U ^s h = s c 12 m - y ^0 h
So we have s
w1 = 100 rad/s , w2 = 300 rad/s or, U ^s h = 1 ^y ^0 h = 0h
s
and w3 = 500 rad/s
Therefore, the respective time periods are Hence, the O/P is correct which is
T1 = 2p = 2p sec , T2 = 2p = 2p sec Y ^s h = 12
w1 100 w2 300 s
its inverse Laplace transform is given by
and T3 = 2p sec y ^ t h = tu ^ t h
500
So, the fundamental time period of the signal is
LCM ^2p, 2p, 2ph S67 No Option is correct.
L.C.M. ^T1, T2 T3h =
HCF ^100, 300, 500h The matched filter is characterized by a frequency response
that is given as
or, T0 = 2p
100 H ^ f h = G * ^ f h exp ^- j2pfT h
g^t h G^f h
f
Hence, the fundamental frequency in rad/sec is where
w0 = 2p = 100 rad/s Now, consider a filter matched to a known signal g ^ t h
10 . The fourier transform of the resulting matched filter
output g 0 ^ t h will be
S64 Option (A) is correct. G0 ^ f h = H^ f hG^ f h
Given, the maximum frequency of the band-limited signal = G * ^ f h G ^ f h exp ^- j2pfT h
fm = 5 kHz = G ^ f h 2 exp ^- j2pfT h
According to the Nyquist sampling theorem, the sampling T is duration of g ^ t h
frequency must be greater than the Nyquist frequency Assume exp ^- j2pfT h = 1
which is given as So, G0 ^ f h = G_ f i 2
fN = 2fm = 2 # 5 = 10 kHz Since, the given Gaussian function is
g ^ t h = e- pt
2

So, the sampling frequency fs must satisfy


Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 130 Signals & Systems Chapter 4

Fourier transform of this signal will be P sY1 ^s h - P y1 ^0 h + Q Y1 ^s h = X1 ^s h


g ^ t h = e- pt
f
e- pf = G ^ f h or, - 2PSY ^s h - P y1 ^0 h - 2QY1 ^s h = X1 ^s h ....(2)
2 2

Therefore, output of the matched filter is Comparing Eq. (1) and (2), we conclude that
G 0 ^ f h = e- pf
2 2
X1 ^s h =- 2X ^s h
y1 ^0 h =- 2y ^0 h
S68 Option (B) is correct. Which makes the two equations to be same. Hence, we
Given, the impulse response of continuous time system require to change the initial condition to - 2y ^0 h and the
h ^ t h = d ^t - 1h + d ^t - 3h forcing equation to - 2x ^ t h
From the convolution property, we know
x ^ t h * d ^t - t 0h = x ^t - t 0h S71 Option (A) is correct.
So, for the input Given, the DFT of vector 8a b c dB as
x ^ t h = u ^ t h (Unit step fun n ) D.F.T. %8a b c dB/ = 8a b g dB
The output of the system is obtained as Also, we have
R V
y^t h = u^t h * h^t h Sa b c d W
Sd a b c W
= u ^ t h * 6d ^t - 1h + d ^t - 3h@ 8 p q r s B 8
= a b c d BSc d a b W ...(1)
S W
= u ^t - 1h + u ^t - 3h Sb c d aW
y ^2 h = u ^2 - 1h + u ^2 - 3h = 1 For matrix circular convolution, we T know X
At t = 2 Rh h h VRx V
S 0 2 1WS 0W
S69 Option (B) is correct. x 6n@ * h 6n@ = Sh1 h 0 h2WSx1W
SSh h h WWSSx WW
Given, the differential equation 2 1 0 1

where "x 0, x1, x2, are three point signals for x 6n@ and
T XT X
d2y dy
2 + 5 dt + 6y ^ t h = x ^ t h
dt similarly for h 6n@, h 0 , h1 and h2 are three point signals.
Taking its Laplace transform with zero initial conditions, Comparing this transformation to Eq(1), we get
R VT
we have Sa d c W
s2 Y ^s h + 5sY ^s h + 6Y ^s h = X ^s h ....(1) Sb a d W
Now, the input signal is 6p q r s@ = Sc b aW 8a b c dB
S W
1 0<t<2
x^t h = * Sd c b W
0 otherwise = T6a b c Xd @T * 6a b c d @T
i.e., x ^ t h = u ^ t h - u ^t - 2h R V
Sa W
R V
Sa W
Taking its Laplace transform, we obtain Sb W Sb W
-2s -2s =S W * S W
X ^s h = 1 - e = 1-e Sc W Sc W
s s s
Sd W Sd W
Substituting it in equation (1), we get T X T X
Now, we know that
X ^s h
Y ^s h = 2 x1 6n@ * x2 6n@ = X1DFT 6k @ X2, DFT 6k @
s + 5s + 6
R V R V R V R V
= 21 - e
2s
= 1 - e-2s Sa W Sa W SaW SaW
s ^s + 5s + 6h s ^s + 2h^s + 3h Sb W Sb W SbW SbW
So, Sc W * Sc W = SgW * SgW
S W S W S W S W
S70 Option (D) is correct. Sd W Sd W Sd W Sd W
The solution of a system described by a linear, constant T X T X T X T X
coefficient, ordinary, first order differential equation with = 9a b g d 2C
2 2 2

forcing function x ^ t h is y ^ t h so, we can define a function


relating x ^ t h and y ^ t h as below S72 Option (D) is correct.
dy Using s -domain differentiation property of Laplace
P + Qy + K = x ^ t h
dt transform.
L
where P , Q , K are constant. Taking the Laplace If f (t) F (s)
transform both the sides, we get L dF (s)
tf (t) -
P sY ^s h - Py ^0 h + Q Y ^s h = X ^s h ....(1) ds
Now, the solutions becomes L [tf (t)] = - d ; 2 1 2s + 1
ds s + s + 1E (s2 + s + 1) 2
So, =
y1 ^ t h =- 2y ^ t h
or, Y1 ^s h =- 2Y ^s h
So, Eq. (1) changes to S73 Option (C) is correct.
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 4 Signals & Systems Page 131

x [n] = b 1 l - b 1 l u [n]
n n

3 2
x [n] = b 1 l u [n] + b 1 l u [- n - 1] - b 1 l u (n)
n -n n

3 3 2
Taking z -transform
X 6z @ =
3 3
1 n -n 1 -n -n
/ b 3 l z u [ n] + /
b 3 l z u [ - n - 1] -
n =- 3 n =- 3
3
1 n -n
- / b 2 l z u [ n]
n =- 3

3 -1 3
1 n -n 1 -n -n 1 n -n
= / b3l z + /
b3l z - b2l z /
n=0 n =- 3 n=0

3 3 3
1 n 1 m 1 n
= / b 3z l + /
b3zl - /
b 2z l Taking m =- n
n=0 m=1 n=0
14
42 I
4 3 1 44 2
4 II
44 3 1 4
42III
4
43
1 < 1 or z > 1 So, inverse Fourier transform of H (jw)
Series I converges if
3z 3 h (t) = h1 (t) + h2 (t)
Series II converges if 1 z < 1 or z < 3 h (0) = h1 (0) + h2 (0) = 1 + 1 = 1
3 2 2

Series III converges if 1 < 1 or z > 1 S76 Option (A) is correct.


2z 2
Region of convergence of X (z) will be intersection of above Convolution sum is defined as
3
three y [n] = h [n] * g [n] = / h [n] g [n - k]
ROC : 1 < z < 3
k =- 3
So,
2 For causal sequence,
3
S74 Option (D) is correct. y [n] = / h [n] g [n - k]
t k=0
y (t) = # x (t) cos (3t) dt
-3
y [n] = h [n] g [n] + h [n] g [n - 1] + h [n] g [n - 2] + .....
For n = 0 ,
Time Invariance :
y [0] = h [0] g [0] + h [1] g [- 1] + ...........
Let, x (t) = d (t)
t y [0] = h [0] g [0] g [- 1] = g [- 2] = ....0
y (t) = # d (t) cos (3t) dt
-3
= u (t) cos (0) = u (t) y [0] = h [0] g [0] ...(i)
For n = 1,
For a delayed input (t - t 0) output is
t y [1] = h [1] g [1] + h [1] g [0] + h [1] g [- 1] + ....
#
y (t, t 0) = d (t - t 0) cos (3t) dt = u (t) cos (3t 0) y [1] = h [1] g [1] + h [1] g [0]
Delayed output, - 3 1 = 1 g [1] + 1 g [0] h [1] = 1 1 = 1
y (t - t 0) = u (t - t 0) 2 2 2 b2l 2
y (t, t 0) ! y (t - t 0) System is not time invariant. 1 = g [1] + g [0]
Stability : g [1] = 1 - g [0]
Consider a bounded input x (t) = cos 3t y [0] 1
From equation (i), g [0] = = =1
t t
1 - cos 6t h [0] 1
y (t) = #
-3
cos2 3t =
-3
# 2 So, g [1] = 1 - 1 = 0
= 1 1dt - 1 cos 6t dt
t t

2 -3# 2 -3 # S77 Option (A) is correct.


As t " 3, y (t) " 3 (unbounded) System is not d2 y dy
We have 100 2 - 20 + y = x (t)
stable. dt dt
Applying Laplace transform we get
S75 Option (C) is correct. 100s2 Y (s) - 20sY (s) + Y (s) = X (s)
(2 cos w) (sin 2w)
= sin 3w + sin w
Y (s) 1
H (jw) = or H (s) = =
w w w X (s) 100s2 - 20s + 1
We know that inverse Fourier transform of sin c function 1/100
= 2
is a rectangular function. s - (1/5) s + 1/100
= 2 A
s + 2xw n s + w2
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 132 Signals & Systems Chapter 4

S85 Option (C) is correct.


Here wn = 1/10 and 2xwn =- 1/5 giving x =- 1 For a function x (t) trigonometric fourier series is
Roots are s = 1/10, 1/10 which lie on Right side of s 3

plane thus unstable. x (t) = Ao + / [An cos nwt + Bn sin nwt]


n=1

Where, Ao 1 # x (t) dt T0 "fundamental period


S78 Option (C) is correct. T0 T
0

For an even function Fourier series contains dc term and


cosine term (even and odd harmonics). and An = 2 # x (t) cos nwt dt
T0 T
0

S79 Option (B) is correct. Bn = 2 # x (t) sin nwt dt


T0 T
Function h (n) = an u (n) stable if a < 1 and Unstable if 0

a H 1We have h (n) = 2n u (n - 2); For an even function x (t), Bn = 0


Here a = 2 therefore h (n) is unstable and since h (n) = 0 Since given function is even function so coefficient Bn = 0
for n < 0 , only cosine and constant terms are present in its fourier
Therefore h (n) will be causal. So h (n) is causal and not series representation
Constant term A 0 = 1 #
3T/4
stable. x (t) dt
T -T/4
= 1 : # Adt + # - 2AdtD
T/4 3T/4
S80 Option (A) is correct. T -T/4 T/4

Impulse response = d (step response) = 1 :TA - 2AT D =- A


dt T 2 2 2
= d (1 - e- at) = 0 + ae- at = ae- at Constant term is negative.
dt
S81 Option (D) is correct. S86 Option (C) is correct.
We have x (t) = exp (- 2t) m (t) + s (t - 6) and h (t) = u (t) We have h1 [n] = d [n - 1] or H1 [Z ] = Z - 1
Taking Laplace Transform we get and h 2 [n] = d [n - 2] or H2 (Z ) = Z - 2
X (s) = b 1 + e-6s l and H (s) = 1 Response of cascaded system
s+2 s
H (z ) = H1 (z ) : H2 (z ) = z-1 : z-2 = z-3
Now Y (s) = H (s) X (s) or, h [n] = d [n - 3]
-6s
= 1 : 1 + e-6sD = 1 +e
s s+2 s (s + 2) s
S87 Option (D) is correct.
-6s
or Y (s) = 1 - 1 +e For an N-point FET algorithm butterfly operates on one
2s 2 (s + 2) s
pair of samples and involves two complex addition and
Thus y (t) = 0.5 [1 - exp (- 2t)] u (t) + u (t - 6)
one complex multiplication.
S82 Option (B) is correct.
S88 Option (D) is correct.
y (n) = x (n - 1) 3s + 1
f (t) = L - 1 ; 3
s + 4s 2 + (k - 3) s E
or Y (z) = z-1 X (z) We have
Y (z)
or = H (z) = z-1 and lim f (t) = 1
X (z) t"3

Now H1 (z) H2 (z) = z-1 By final value theorem


1 - 0.4z-1 lim f (t) = lim sF (s) = 1
c 1 - 0.6z-1 m H2 (z) = z
-1
t"3 s"0

s. (3s + 1)
z-1 (1 - 0.6z-1) or lim =1
H2 (z) = s"0 s + 4s2 + (k - 3) s
3
(1 - 0.4z-1)
s (3s + 1)
or lim 2 =1
s " 0 s [s + 4s + (k - 3)]
S83 Option (B) is correct.
1 =1
For 8 point DFT, x* [1] = x [7]; x* [2] = x [6]; x* [3] = x [5] and k-3
it is conjugate symmetric about x [4], x [6] = 0 ; x [7] = 1 + j3 or k =4

S84 Option (A) is correct. S89 Option (B) is correct.


Inverse Z - transform
We know that aZ ! a ad [n ! a] System is described as
2 -1
Given that X (z) = 5z + 4z + 3 d 2 y (t) dt (t) dx (t)
Inverse z-transform x [n] = 5d [n + 2] + 4d [n - 1] + 3d [n] +4 + 3y (t) = 2 + 4x (t)
dt 2 dt dt
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 4 Signals & Systems Page 133

Taking Laplace transform on both side of given equation x [n] = b 1 l u (n) - b 1 l u (- n - 1)


n n

s 2 Y (s) + 4sY (s) + 3Y (s) = 2sX (s) + 4X (s) 3 2


(s 2 + 4s + 3) Y (s) = 2 (s + 2) X (s) s Taking z transform we have
n=3 n =- 1
Transfer function of the system n
X (z) = / b 1 l z-n - / b 1 l z-n
n

Y (s) 2 (s + 2) 2 (s + 2) 3 2
H (s) = = 2 = n=0 n =- 3
X (s) s + 4s + 3 (s + 3) (s + 1) n=3 n =- 1
= / b 1 z-1 l - / b 1 z-1 l
n n
-2t
Input x (t) = e u (t) n=0
3 n =- 3
2
or, X (s) = 1 First term gives 1 z-1 < 1 " 1 < z
(s + 2) 3 3
2 (s + 2)
Output Y (s) = H (s) : X (s) = : 1 Second term gives 1 z-1 > 1 " 1 > z
(s + 3) (s + 1) (s + 2) 2 2
By Partial fraction Thus its ROC is the common ROC of both terms. that is
1< z <1
Y (s) = 1 - 1
s+1 s+3 3 2
Taking inverse Laplace transform
y (t) = (e-t - e-3t) u (t) S94 Option (B) is correct.
By property of unilateral Laplace transform
S90 Option (C) is correct. t F (s) 1 0 -

2 - 34 z - 1 -3
#f (t) dt
L
s
+
s -3
f (t) dt #
We have H (z) =
1 - 34 z - 1 + 18 z - 2 Here function is defined for 0 < t < t , Thus
t F (s)
By partial fraction H (z ) can be written as #f (t)
L
s
H (z ) = 1 1 0
1 -1 +
^1 - 2 z h ^1 - 14 z h
-1

For ROC : z > 1/2 S95 Option (A) is correct.


We have h (2) = 1, h (3) =- 1 otherwise h (k) = 0 . The
h [n] = b 1 l u [n] + b 1 l u [n], n > 0 1
n n
n
2 4 - 1 = a u [n], z >a diagram of response is as follows :
1-z
Thus system is causal. Since ROC of H (z ) includes unit
circle, so it is stable also. Hence S1 is True
For ROC : z < 1
4
h [n] =-b 1 l u [- n - 1] + b 1 l u (n), z > 1 , z < 1
n n

2 4 4 2
System is not causal. ROC of H (z ) does not include
unity circle, so it is not stable and S 3 is True
It has the finite magnitude values. So it is a finite impulse
S91 Option (A) is correct. response filter. Thus S2 is true but it is not a low pass
The Fourier series of a real periodic function has only filter. So S1 is false.
cosine terms if it is even and sine terms if it is odd.
S96 Option (B) is correct.
S92 Option (B) is correct. Here h (t) ! 0 for t < 0 . Thus system is non causal. Again
Given function is any bounded input x (t) gives bounded output y (t). Thus
f (t) = sin2 t + cos 2t = 1 - cos 2t + cos 2t it is BIBO stable.
2
Here we can conclude that option (B) is correct.
= 1 + 1 cos 2t
2 2
S97 Option (D) is correct.
The function has a DC term and a cosine function. The
We have x [n] = {1, 0, 2, 3) and N = 4
frequency of cosine terms is
w = 2 = 2pf " f = 1 Hz
N-1

p X [k ] = / x [ n] e -j2pnk/N
k = 0, 1...N - 1
n=0
The given function has frequency component at 0 and 1
3

Hz.
p For N = 4 , X [k ] = / x [ n] e -j2pnk/4
k = 0, 1,... 3
n=0
3

S93 Option (A) is correct.


Now X [ 0] = / x [n] = x [0] + x [1] + x [2] + x [3]
n=0
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 134 Signals & Systems Chapter 4

= 1+0+2+3 = 6 S102 Option (A) is correct.


1 for - 1 # t # + 1
3 We have x (t) = )
x [ 1] = / x [ n] e -jpn/2
0 otherwise
n=0 Fourier transform is
= x [0] + x [1] e-jp/2 + x [2] e-jp + x [3] e-jp3/2
#- 33e-jwt x (t) dt = #-1 e-jwt 1dt = -1jw [e-jwt]-11
1

= 1 + 0 - 2 + j3 =- 1 + j3
3 = 1 (e-jw - e jw)
X [ 2] = / x [ n] e -jpn - jw
= 1 (- 2j sin w)
n=0
= x [0] + x [1] e-jp + x [2] e-j2p + x [3] e-jp3 - jw
= 1+0+2-3 = 0 = 2 sin w
3
w
X [ 3] = / x [ n] e -j3pn/2
This is zero at w = p and w = 2p
n=0
= x [0] + x [1] e-j3p/2 + x [2] e-j3p + x [3] e-j9p/2
S103 Option (D) is correct.
= 1 + 0 - 2 - j3 =- 1 - j3 Given h (n) = [1, - 1, 2]
Thus [6, - 1 + j3, 0, - 1 - j3] x (n) = [1, 0, 1]
y (n) = x (n)* h (n)
S98 Option (A) is correct. The length of y [n] is = L1 + L2 - 1 = 3 + 3 - 1 = 5
3
y (n) = x (n) * h (n) = / x (k) h (n - k)
S99 Option (C) is correct. 3
k =- 3

The output of causal system depends only on present and y (2) = / x (k) h (2 - k)
past states only. k =- 3
= x (0) h (2 - 0) + x (1) h (2 - 1) + x (2) h (2 - 2)
In option (A) y (0) depends on x (- 2) and x (4).
= h (2) + 0 + h (0) = 1 + 2 = 3
In option (B) y (0) depends on x (1).
There are 5 non zero sample in output sequence and the
In option (C) y (0) depends on x (- 1). value of y [2] is 3.
In option (D) y (0) depends on x (5).
Thus only in option (C) the value of y (t) at t = 0 depends S104 Option (B) is correct.
on x (- 1) past value. In all other option present value Mode function are not linear. Thus y (t) = x (t) is not
depends on future value. linear but this functions is time invariant. Option (A) and
(B) may be correct.
S100 Option (D) is correct.
The y (t) = t x (t) is not linear, thus option (B) is wrong
We have h (t) = eat u (t) + e bt u (- t)
and (a) is correct. We can see that
This system is stable only when bounded input has
R1: y (t) = t2 x (t) Linear and time variant.
bounded output For stability at < 0 for t > 0 that
implies a < 0 and bt > 0 for t > 0 that implies b > 0 . R2: y (t) = t x (t) Non linear and time variant.
Thus, a is negative and b is positive. R3: y (t) = x (t) Non linear and time invariant
R4: y (t) = x (t - 5) Linear and time invariant
S101 Option (C) is correct.
K (s + 1) S105 Option (A) is correct.
G (s) = , and R (s) = 1 N-1
(s + 2)( s + 4) s
Given : y (n) = 1 /x (r) x (n + r)
K (s + 1) N r=0
C (s) = G (s) R (s) =
s (s + 2)( s + 4) It is Auto correlation.
DFT
= K + K - 3K Hence y (n) = rxx (n) X (k) 2
8s 4 (s + 2) 8 (s + 4)
Thus c (t) = K :1 + 1 e-2t - 3 e-4tD u (t) S106 Option (B) is correct.
8 4 8
Current through resistor (i.e. capacitor) is
At steady-state, c (3) = 1 I = I (0+) e-t/RC
K = 1 or K = 8
Thus
8 Here, I (0+) = V = 5 = 25mA
R 200k
8 (s + 1)
Then, G (s) = = 12 - 4 RC = 200k # 10m = 2 sec
(s + 2)( s + 4) (s + 4) (s + 2)
I = 25e- m A = VR # R = 5e- V
t t
2 2

h (t) = L G (s) = (- 4e + 12e-4t) u (t)


-1 -2t
Here the voltages across the resistor is input to sampler at
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 4 Signals & Systems Page 135

frequency of 10 Hz. Thus S112 Option (B) is correct.


-n
x (n) = 5e = 5e-0.05n For t > 0
2 # 10
For discrete time Fourier transform (DTFT) when N " 3
x [n] = 1
p

S107 Option (C) is correct. 2p - p #


X (e jw) e jwn dw

Since x (n) = 5e-0.05n u (n) is a causal signal Putting n = 0 we get


x [0] = 1
p
Its z transform is
1 5z 2p - p #
X (e jw) e jw0 dw
X (z) = 5 : -0.05 -1 D =
1-e z z - e-0.05 = 1
p
#
X (e jw) dw
Its ROC is e-0.05 z-1 > 1 " z > e-0.05 2p - p
p
or #
-p
X (e jw) dw = 2px [0] = 2p # 5 = 10p
S108 Option (C) is correct.
h (t) = e-2t u (t)
S113 Option (B) is correct.
H (jw) = # h (t) e-jwt dt
3

-3
X (z) = 0.5 -1
1 - 2z
= #0
3 -2t -jwt
e e dt = #0 3e-(2 + jw)t dt
Since ROC includes unit circle, it is left handed system
= 1 x (n) =- (0.5) (2) -n u (- n - 1)
(2 + jw) x (0) = 0
If we apply initial value theorem
S109 Option (D) is correct.
x (0) = lim X (z) = lim 0.5 -1 = 0.5
H (jw) = 1 z"3 z " 31 - 2z
(2 + jw)
That is wrong because here initial value theorem is not
The phase response at w = 2 rad/sec is applicable because signal x (n) is defined for n < 0 .
+H (jw) =- tan-1 w
2
S114 Option (A) is correct.
=- tan-1 2 =- p =- 0.25p A Hilbert transformer is a non-linear system.
2 4
Magnitude response at w = 2 rad/sec is
1 S115 Option (B) is correct.
H (jw) = = 1 5
22 + w2 2 2 H (f) =
1 + j10pf
Input is x (t) = 2 cos (2t)
= 1 # 2 cos (2t - 0.25p) H (s) = 5 = 5 = 1
Output is 1 + 5s 5^s + 15 h s + 1
2 2 5

= 1 cos [2t - 0.25p] Step response Y (s) = 1 a 1


s ^s + 5 h
2
=1 11 =5- 51
s ^s + 5 h s s+ 5
S110 Option (D) is correct. -t/5
1 or y (t) = 5 (1 - e ) u (t)
Y (s) =
s (s - 1)
Final value theorem is applicable only when all poles of S116 Option (A) is correct.
F
system lies in left half of S -plane. Here s = 1 is right s - x (t) X (jw)
plane pole. Thus it is unbounded. Using scaling we have
1 X jw
5 c 5 m
F
x (5t)
S111 Option (A) is correct.
x (t) = e-t u (t) Using shifting property we get
Taking Fourier transform x ;5 bt - 3 lE 1 X jw e- j35w
5 b5l
F
5
X (jw) = 1
1 + jw
X (jw) = 1 2 S117 Option (D) is correct.
1+w Dirac delta function d (t) is defined at t = 0 and it has
Magnitude at 3dB frequency is 1
infinite value a t = 0 . The area of dirac delta function is
2
1 = 1 unity.
Thus
2 1 + w2
or w = 1 rad S118 Option (D) is correct.
or f = 1 Hz The ROC of addition or subtraction of two functions x1 (n)
2p
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 136 Signals & Systems Chapter 4

and x2 (n) is R1 + R2 . We have been given ROC of addition g (t) + g (- t)


Ev{g (t)} =
of two function and has been asked ROC of subtraction of 2
two function. It will be same. g (t) - g (- t)
odd{g (t)} =
2
S119 Option (A) is correct. Here g (t) = u (t)
As we have x (t) = sin t , thus w = 1 u (t) + u (- t) 1
Thus ue (t) = =
Now H (s) = 1 2 2
s+1 u (t) - u (- t) x (t)
uo (t) = =
or H (jw) = 1 = 1 2 2
jw + 1 j+1
or H (jw) = 1 + - 45c S126 Option (C) is correct.
2 Here x1 (n) = ` 5 jn u (n)
Thus y (t) = 1 sin (t - p4 ) 6
2 1
X1 (z) = ROC : R1 " z > 5
1 - ^ 65 z-1h 6
S120 Option (C) is correct.
x2 (n) =-` 6 jn u (- n - 1)
F (s) = 2 w0 2 5
s +w 1
-1
L F (s) = sin wo t X1 (z) = 1 - ROC : R2 " z < 6
1 - ^ 65 z-1h 5
f (t) = sin wo t
Thus the final value is - 1 # f (3) # 1 Thus ROC of x1 (n) + x2 (n) is R1 + R2 which is 5 < z < 6
6 5

S121 Option (C) is correct. S127 Option (D) is correct.


y (n) = b sin 5 pn l x (n) For causal system h (t) = 0 for t # 0 . Only (D) satisfy this
6
Let x (n) = d (n) condition.
Now y (n) = sin 0 = 0 (bounded) BIBO stable
S128 Option (D) is correct.
x (n) = b 1 l u (n)
n
S122 Option (B) is correct. 2
c (t) = 1 - e-2t
y (n) = x2 (n) = b 1 l u2 (n)
2n
Taking Laplace transform 2
C (s) 2
C (s) = = #s = 2 or
2 n
y (n) = ;b 1 l E u (n) = b 1 l u (n)
n
...(1)
U (s) s (s + 2) s+2 2 4
n=3 n=3
Y (e jw) = / y (n) e-jwn = / b 1 l e-jwn
n
S123 Option (C) is correct. 4
n =- 3 n=0
h (t) = e-t
L
H (s) = 1 n=3 n
s+1 1
or j0
Y (e ) = / `4j
X (s) = 1
L n=0
x (t) = u (t)
= 1 +b1l +b1l+b1l +b1l
1 3 4
s
4 4 4 4
Y (s) = H (s) X (s) = 1 # 1 = 1 - 1
s+1 s s s+1 = 11 =4
y (t) = u (t) - e -t 1- 4 3
In steady state i.e. t " 3, y (3) = 1
Alternative Method
S124 Option (C) is correct. Taking z transform of (1) we get
Y (z) = 1
Fourier series is defined for periodic function and constant.
1 - 14 z-1
3 sin (25t) is a periodic function.
Substituting z = e jw we have
4 cos (20t + 3) + 2 sin (710t) is sum of two periodic function 1
and also a periodic function. Y (e jw) =
1 - 14 e-jw
e- t sin (25t) is not a periodic function, so FS can’t be
Y (e j0) = 1 1 = 4
defined for it. 1- 4 3
1 is constant
S129 Option (A) is correct.
S125 Option (A) is correct. s (t) = 8 cos ` p - 20pt j + 4 sin 15pt
2
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 4 Signals & Systems Page 137

= 8 sin 20pt + 4 sin 15pt S134 Option (C) is correct.


Here A1 = 8 and A2 = 4 . Thus power is Here y (n) is scaled and shifted version of x (n) and again
2 2 2 2
P = A1 + A2 = 8 + 4 = 40 y (2n) is scaled version of y (n) giving
2 2 2 2 z (n) = y (2n) = x (n - 1)
= 1 d (n + 1) + d (n) + 2d (n -1) + d (n - 2) + 1 d (n - 3)
S130 Option (A) is correct. 2 2
y (t) = 0.5x (t - td + T) + x (t - td ) + 0.5x (t - td - T) Taking Fourier transform.
Taking Fourier transform we have Z (e jw) = 1 e jw + 1 + 2e-jw + e-2jw + 1 e-3jw
2 2
Y (w) = 0.5e-jw (- t + T) X (w) +e-jwt X (w) + 0.5e-jw (- t - T) X (w)
d d d

= e-jw b 1 e2jw + e jw + 2 + e-jw + 1 e-2jw l


Y (w) 2 2
or = e-jwt [0.5e jwT + 1 + 0.5e-jwT ]
d

X (w) 2jw -2jw


= e-jw b e + e + e jw + 2 + e-jw l
= e-jwt [0.5 (e jwT + e-jwT ) + 1]
d 2
= e-jwt [cos wT + 1]
d
or Z (e jw) = e-jw [cos 2w + 2 cos w + 2]
Y (w)
or H (w) = = e-jwt (cos wT + 1)
d
S135 Option (B) is correct.
X (w) F
x (t) X (f)
Using scaling we have
S131 Option (C) is correct.
1 X f
a ca m
F
For continuous and aperiodic signal Fourier representation x (at)
is continuous and aperiodic.
xb 1 f l
F
Thus 3X (3f)
For continuous and periodic signal Fourier representation 3
is discrete and aperiodic. Using shifting property we get
For discrete and aperiodic signal Fourier representation is e-j2pf t x (t) = X (f + f0)
0

continuous and periodic. 1 e-j 43 pt x 1 t


b3 l
F
For discrete and periodic signal Fourier representation is Thus X (3f + 2)
3
discrete and periodic.
e-j2p t x b 1 t l
2 F
3
3X (3 (f + 23 ))
3
S132 Option (B) is correct. 1 e-jp t x 1 t
b3 l
4 F
3
X [3 (f + 23 )]
y (n) = Ax (n - no) 3
Taking Fourier transform
Y (e jw) = Ae-jw n X (e jw)
o o
S136 Option (A) is correct.
Y (e jw) 3
or H (e jw) =
X (e jw)
= Ae-jw n o o
A system is stable if / h (n) < 3. The plot of given
n =- 3
h (n) is
Thus +H (e jw) =- wo no
For LTI discrete time system phase and frequency of
H (e jw) are periodic with period 2p. So in general form
q (w) =- no wo + 2pk

S133 Option (A) is correct.


From x (n) = [ 12 , 1, 2, 1, 1, 12 ]
y (n) = x ^ n2 - 1h, n even
= 0 , for n odd
n =- 2 , y (- 2) = x ( -22 - 1) = x (- 2) = 12 3 6
n =- 1, y (- 1) = 0 Thus / h (n) = /
n =- 3
h (n)
n =- 3
n = 0, y (0) = x ( 20 - 1) = x (- 1) = 1
= 1+1+1+1+2+2+2+2+2
n = 1, y (1) = 0
= 15 < 3
n=2 y (2) = x ( 22 - 1) = x (0) = 2
Hence system is stable but h (n) ! 0 for n < 0 . Thus it is
n = 3, y (3) = 0
not causal.
n=4 y (4) = x ( 24 - 1) = x (1) = 1
n = 5, y (5) = 0
S137 Option (D) is correct.
n=6 y (6) = x ( 26 - 1) = x (2) = 12 z
Hence H (z) = z < 0.2
z - 0.2
We know that
y (n) = 1 d (n + 2) + d (n) + 2d (n - 2) + d (n - 4) + 1 d (n - 6)
2 2
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 138 Signals & Systems Chapter 4

- an u [- n - 1] * 1 z <a S142 Option (B) is correct.


1 - az-1 From given graph the relation in x (t) and y (t) is
Thus h [n] =- (0.2) n u [- n - 1] y (t) =- x [2 (t + 1)]
F
x (t) X (f)
S138 Option (C) is correct. Using scaling we have
The Fourier transform of a conjugate symmetrical function 1 X f
a ca m
F
x (at)
is always real.
1X f
2 c2m
F
Thus x (2t)
S139 Option (A) is correct.
We have x (n) = [- 4 - j5, 1 + 2j, 4] Using shifting property we get
- x (t - t0) = e-j2pft X (f)
0

j2pf
x *( n) = [- 4 + j5, 1 - 2j, 4] f f
e-j2pf (- 1) 1 X b l = e X b l
F
Thus x [2 (t + 1)]
- 2 2 2 2
x *( - n) = [4, 1 - 2j, - 4 + j5] j 2p f f
-e Xc m
F
- - x [2 (t + 1)]
2 2
x (n) - x* (- n)
xcas (n) =
2
S143 Option (C) is correct.
= [- 4 - j 25 , 2j 4 - j 25 ]
- From the Final value theorem we have
lim i (t) = lim sI (s)
t"3 s"0
S140 Option (C) is correct.
= lim s 2 = lim 2 =2
We have 2y (n) = ay (n - 2) - 2x (n) + bx (n - 1) s"0 s (1 + s) s " 0 (1 + s)
Taking z transform we get
2Y (z) = aY (z) z-2 - 2X (z) + bX (z) z-1
S144 Option (D) is correct.
Y (z) bz-1 - 2
or =c m ...(i) Here C3 = 3 + j5
X (z) 2 - az-2
For real periodic signal
z ( b - z) C-k = Ck*
or H (z) = 22 a
(z - 2 ) Thus C-3 = Ck = 3 - j5
It has poles at ! a/2 and zero at 0 and b/2 . For a
stable system poles must lie inside the unit circle of z S145 Option (C) is correct.
plane. Thus y (t) = 4x (t - 2)
a <1 Taking Fourier transform we get
2
Y (e j2pf ) = 4e-j2pf2 X (e j2pf ) Time Shifting property
or a <2 j 2p f
Y (e )
But zero can lie anywhere in plane. Thus, b can be of or j 2p f
= 4e-4jpf
X (e )
any value.
Thus H (e j2pf ) = 4e-4jpf
S141 Option (D) is correct.
S146 Option (B) is correct.
We have x (n) = e jpn/4
We have h (n) = 3d (n - 3)
and
or H (z) = 2z-3 Taking z transform
h (n) = 4 2 d (n + 2) - 2 2 d (n + 1) - 2 2 d (n - 1) 4 2 -4
X (z) = z + z - 2z + 2 - 3z
+ 4 2 d (n - 2) Now Y (z) = H (z) X (z)
Now y (n) = x (n)* h (n)
3 2 = 2z-3 (z 4 + z2 - 2z + 2 - 3z-4)
= / x (n - k) h (k) = / x (n - k) h (k) = 2 (z + z-1 - 2z-2 + 2z-3 - 3z-7)
k =- 3 k =- 2
Taking inverse z transform we have
or y (n) = x (n + 2) h (- 2) + x (n + 1) h (- 1) + y (n) = 2[ d (n + 1) + d (n - 1) - 2d (n - 2)
+ x (n - 1) h (1) + x (n - 2) h (2) + 2d (n - 3) - 3d (n - 7)]
p p p
j (n + 2)
= 4 2e 4
- 2 2 e j (n + 1) - 2 2 e j (n - 1) +
4 4
At n = 4 ,y (4) = 0
p
+ 4 2 e j (n - 2) 4

= 4 2 6e j (n + 2) + e j (n - 2)@ - 2 2 6e j (n + 1) + e j (n - 1)@
p p p p

S147
4 4 4 4
Option (A) is correct.
= 4 2 e j n 6e j + e-j @ - 2 2 e j n 6e j + e-j @
p p p p p p
4 2 2 2 4 4

p p System is non causal because output depends on future


= 4 2 e j n [0] - 2 2 e j n [2 cos p4 ]
4 4

value
or y (n) =- 4e j n
r

For n # 1 y (- 1) = x (- 1 + 1) = x (0)
4

y (n - n0) = x (n - n0 + 1) Time varying


This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 4 Signals & Systems Page 139

y (n) = x (n + 1) Depends on Future


i.e. y (1) = x (2) None causal
For bounded input, system has bounded output. So it is S152 Option (C) is correct.
stable. From the duality property of fourier transform we have
FT
y (n) = x (n) for n $ 1 If x (t) X (f)
FT
= 0 for n = 0 Then X (t) x (- f)
= x (x + 1) for n #- 1 -t
Therefore if e u (t)
FT 1
So system is linear. 1 + j 2p f
Then 1 FT
e f u (- f)
1 + j2pt
S148 Option (C) is correct.
The frequency response of RC-LPF is
1 S153 Option (A) is correct.
H (f) = q (w) =- wt0
1 + j2pfRC
- q (w)
Now H (0) = 1 tp = = t0
w
H (f1) 1 dq (w)
= $ 0.95 and tg =- = t0
H (0) 1 + 4p2 f12 R2 C2 dw
Thus tp = tg = t0 = constant
or 1 + 4p2 f12 R2 C2 # 1.108
or 4p2 f12 R2 C2 # 0.108 S154 Option (*) is correct.
or 2pf1 RC # 0.329
X (s) = 2 5 - s = 5-s
or f1 # 0.329 s -s-2 (s + 1)( s - 2)
2pRC
= -2 + 1
or f1 # 0.329 s+1 s-2
2pRC
Here three ROC may be possible.
or f1 # 0.329 Re (s) < - 1
2p1k # 1m
Re (s) > 2
or f1 # 52.2 Hz
- 1 < Re (s) < 2
Thus f1 max = 52.2 Hz
Since its Fourier transform exits, only - 1 < Re (s) < 2
S149 Option (A) is correct. include imaginary axis. so this ROC is possible. For this
1 ROC the inverse Laplace transform is
H (w) =
1 + jwRC x (t) = [- 2e-t u (t) - 2e2t u (- t)]
q (w) =- tan-1 wRC
dq (w) RC S155 Option (B) is correct.
tg =- =
dw 1 + w2 R2 C2 For left sided sequence we have
10 -3
- an u (- n - 1)
z 1 where z < a
= = 0.717 ms 1 - az-1
1 + 4p2 # 10 4 # 10-6
Thus - 5n u (- n - 1)
z 1 where z < 5
1 - 5z-1
S150 Option (C) is correct.
or - 5n u (- n - 1)
z z where z < 5
If x (t)* h (t) = g (t) z-5
Then x (t - t1)* h (t - t2) = y (t - t1 - t2)
Since ROC is z < 5 and it include unit circle, system is
Thus x (t + 5)* d (t - 7) = x (t + 5 - 7) = x (t - 2)
stable.
Alternative :
S151 Option (B) is correct.
h (n) =- 5n u (- n - 1)
In option (B) the given function is not periodic and does 3 -1 -1

not satisfy H (z) = / h (n) z -n


= /-5 z n -n
=- / (5z-1 n
)
n =- 3 n =- 3 n =- 3
Dirichlet condition. So it cant be expansion in Fourier Let n =- m, then
series. -3 3

x (t) = 2 cos pt + 7 cos t H (z) =- / (5z -1 -m


) = 1- / (5 -1
z) -m
n =- 1 m=0
T1 = 2p = 2 1
w = 1- , 5-1 z < 1 or z < 5
1 - 5-1 z
T2 = 2p = 2p
1 = 1- 5 = z
5-z z-5
T1 = 1 = irrational
T2 p
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 140 Signals & Systems Chapter 4

S156 Option (B) is correct. S160 Option (B) is correct.


1 = 12 # 1 Since h1 (t) ! 0 for t < 0 , thus h1 (t) is not causal
2
s (s - 2) s s-2
h2 (t) = u (t) which is always time invariant, causal and
1 # 1 L stable.
(t * e2t) u (t)
s 2 s-2 u (t)
h3 (t) = is time variant.
Here we have used property that convolution in time 1+t
domain is multiplication in s - domain h 4 (t) = e-3t u (t) is time variant.
LT
X1 (s) X2 (s) x1 (t)* x2 (t)
S161 Option (B) is correct.
S157 Option (A) is correct. h (t) = f (t)* g (t)
We have h (n) = u (n) We know that convolution in time domain is
3
multiplication in s - domain.
H (z) = / x (n) .z -n
f (t)* g (t) = h (t)
L
H (s) = F (s) # G (s)
n =- 3
3 3
H (s) = s2+ 2 # s2 + 1 = 1
= / 1.z -n
= / (z -1 n
) Thus
s +1 (s + 2 )( s + 3 ) s+3
n=0 n=0
H (z) is convergent if
3
S162 Option (B) is correct.
/ (z-1) n < 3 Since normalized Gaussion function have Gaussion FT
n=0
and this is possible when z-1 < 1. Thus ROC is z-1 < 1 Thus e-at
2 FT
p e- p2 f2
a

or z > 1 a

S158 Option (A) is correct. S163 Option (B) is correct.


We know that d (t) x (t) = x (0) d (t) and # d (t) = 1
3
Let x (t) = ax1 (t) + bx2 (t)
-3 ay1 (t) = atx1 (t)
Let x (t) = cos ( 23 t), then x (0) = 1 by2 (t) = btx2 (t)
Adding above both equation we have
# d (t) x (t) = # x (0) d (t) dt # d (t) dt = 1
3 3 3
Now = ay1 (t) + by2 (t) = atx1 (t) + btx2 (t)
-3 -3 -3
= t [ax1 (t) + bx2 (t)] = tx (t)
or ay1 (t) + by2 (t) = y (t) Thus system is linear
S159 Option (B) is correct.
If input is delayed then we have
Let E be the energy of f (t) and E1 be the energy of f (2t)
yd (d) = tx (t - t0)
, then If output is delayed then we have
#
3
E = [f (t)] 2 dt y (t - t0) = (t - t0) x (t - t0)
-3
which is not equal. Thus system is time varying.
E1 = #
3
and [f (2t)] 2 dt
-3

Substituting 2t = p we get S164 Option (A) is correct.


dp 1 We have h (t) = e2t
LS 1 H (s) =
# #
3 3
E1 = [f (p)] 2 = [f (p)] 2 dp s-2
-3 2 2 -3
X (s) = 1
3t LS
=E and x (t) = e
2 s-3
Now output is Y (s) = H (s) X (s)
= 1 # 1 = 1 - 1
Get More Study Material s-2 s-3 s-3 s-2
Thus y (t) = e3t - e2t
by Whatsapp. Send S165 Option (C) is correct.
Your College Pin Code, We know that for a square wave the Fourier series
coefficient
Branch and BTech year to T
sin nw t
Cnsq = At nw t2 0
0

...(i)

9414243489 by whatsapp
2

Thus Cnsq \ 1
n
If we integrate square wave, triangular wave will be
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 4 Signals & Systems Page 141

obtained, -3 (z - 1) (z + 1) (z2 + 1) (z - 1)
= lim z
Hence Cntri \ 12 z"1 4 (z - 1) 2
n -3
= lim z (z + 1) (z2 + 1) = 1
z"1 4
S166 Option (B) is correct.
F (s) = 1 [1 - e-s]
L
u (t) - u (t - 1) = f (t) S173 Option (A) is correct.
s
We have F (s) = 2 w 2
G (s) = 1 [1 - e-2s]
L
u (t) - u (t - 2) = g (t) s +w
s
L lim f (t) final value theorem states that:
f (t)* g (t) F (s) G (s) t"3
1 lim f (t) = lim sF (s)
= 2 [1 - e-s] [1 - e-2s] t"3 s"0
s It must be noted that final value theorem can be applied
= 12 [1 - e-2s - e-s + e-3s] only if poles lies in –ve half of s -plane.
s
-2s -s -3s Here poles are on imaginary axis (s1, s2 = ! jw) so can not
= 12 - e 2 - e 2 + e 2
L
or f (t)* g (t) apply final value theorem. so lim f (t) cannot be determined.
s s s s t"3
Taking inverse Laplace transform we have
S174 Option (D) is correct.
f (t)* g (t) = t - (t - 2) u (t - 2) - (t - 1) u (t - 1) +
+ (t - 3) u (t - 3) Trigonometric Fourier series of a function x (t) is expressed
The graph of option (B) satisfy this equation. as :
3
x (t) = A 0 + / [An cos nwt + Bn sin nwt]
S167 Option (A) is correct. n=1

For even function x (t), Bn = 0


3
S168 Option (A) is correct. So x (t) = A 0 + / An cos nwt
We have f (nT) = anT n=1

Taking z -transform we get Series will contain only DC & cosine terms.
3 3
F (z) = / anT z-n = / (aT ) n z-n
n =- 3 n =- 3 S175 Option (C) is correct.
T n
Given periodic signal
/ b az l =
z
3
=
z - aT 1, t < T1
x (t) = *
n=0

0, T1 < t < T0
S169 Option (B) is correct. 2
If L [f (t)] = F (s) The figure is as shown below.
Applying time shifting property we can write
L [f (t - T)] = e-sT F (s)

S170 Option (A) is correct.

S171 Option (A) is correct.

S172 Option (C) is correct.


Given z transform
z-1 (1 - z-4) For x (t) fourier series expression can be written as
C (z) =
4 (1 - z-1) 2 x (t) = A 0 +
3
/ [An cos nwt + Bn sin nwt]
Applying final value theorem n=1

lim f (n) = lim (z - 1) f (z) where dc term


n"3 z"1
A 0 = 1 # x (t) dt = 1 # x (t) dt
T /2 0

-1 -4
z (1 - z ) T0 T T0 -T /2
lim (z - 1) F (z) = lim (z - 1)
0 0

z"1 z"1 4 (1 - z-1) 2 = 1 : # x (t) dt + # x (t) dt +


-T T T0 /2
x (t) dtD
T0 -T /2 0
1

-T
1

1
#T
1
-1 -4
z (1 - z ) (z - 1) 1
= lim = 60 + 2T1 + 0@
z"1 4 (1 - z-1) 2 T0
z-1 z-4 (z 4 - 1) (z - 1) A 0 = 2T1
= lim T0
z"1 4z-2 (z - 1) 2
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 142 Signals & Systems Chapter 4

S176 Option (B) is correct. S181 Option (B) is correct.


The unit impulse response of a LTI system is u (t) Given function
Let h (t) = u (t) x (t) = eat cos (at)
Taking LT we have H (s) = 1 Now cos (at)
L s
s s2 + a2
If the system excited with an input x (t) = e-at u (t), a > 0 If x (t)
L
X (s)
, the response then s t
e x (t)
0
L
X (s - s 0) shifting in s-domain
Y (s) = X (s) H (s)
(s - a)
X (s) = L [x (t)] = 1 eat cos (at)
L
so
(s + a) (s - a) 2 + a2
so Y (s) = 1 1 = 1 :1 - 1 D
(s + a) s a s s+a S182 Option (C) is correct.
Taking inverse Laplace, the response will be For a function x (t), trigonometric fourier series is :
y (t) = 1 61 - e-at@
3
a x (t) = A 0 + / [An cos nwt + Bn sin nwt]
n=1

where A0 = 1 #T x (t) dt T0 =Fundamental period


S177 Option (B) is correct. T0 0

An = 2
3
We have x [n] = / d (n - k) T0 #T x (t) cos nwtdt
k=0 0

Bn = 2
3
X (z) = / x [n] z-n T0 #T x (t) sin nwtdt
k=0 0

/ ; / d (n - k) z-nE For an even function x (t), coefficient Bn = 0


3 3
=
n =- 3 k = 0 for an odd function x (t), A0 = 0
Since d (n - k) defined only for n = k so An = 0
1 = z
3
X (z) = / z-k = so if x (t) is even function its Fourier series will not contain
k=0 (1 - 1/z) (z - 1) sine terms.

S178 Option (B) is correct. S183 Option (C) is correct.


The conjugation property allows us to show if x (t) is real,
S179 Option (B) is correct. then X (jw) has conjugate symmetry, that is
F
x (t) X (f) X (- jw) = X)(jw) [ x (t) real]
by differentiation property; Proof :
dx (t)
F;
dt E
3
= jwX (w) X (jw) = # x (t) e-jwt dt
-3
dx (t)
F;
dt E
or = j2pfX (f) replace w by - w then
3
X (- jw) = # x (t) e jwt dt
-3
S180 Option (C) is correct. 3 ) 3
X (jw) = = # x (t) e-jwt dtG = # x)(t) e jwt dt
F
We have f (t) g (w) )

-3 -3
by duality property of fourier transform we can write
F if x (t) real x (t) = x (t)
)
g (t) 2pf (- w) 3

so F [g (t)] =
3
# g (t) e -jwt
dt = 2pf (- w)
then X)(jw) = # x (t) e jwt dt = X (- jw)
-3
-3

***********
To Get Updated Solved Paper by Whatsapp, Send Your
Branch and BTech year to 9414243489 by whatsapp
Chapter 5 Electronic Devices Page 143

CHAPTER 5
ELECTRONIC DEVICES

GATE 2016 EC01 ONE MARK Q4 A long-channel NMOS transistor is biased in the
linear region with VDS = 50 mV and is used as a resistance.
Q1 A small percentage of impurity is added to intrinsic Which one of the following statements is NOT correct?
semiconductor at 300 K. Which one of the following (A) If the device width W is increased, the resistance
statements is true for the energy band diagram shown in decreases
the following figure? (B) If the threshold voltage is reduced, the resistance
decrease
(C) If the device length L is increased, the resistance
increases
(D) If VGS is increased, the resistance increases.

Get More Study Material by


Whatsapp. Send Your College Pin
(A) Intrinsic semiconductor doped with pentavalent atoms
to form n -type semiconductor Code, Branch and BTech year to
(B) Intrinsic semiconductor doped with trivalent atoms to 9414243489 by whatsapp
form n -type semiconductor
(C) Intrinsic semiconductor doped with pentavalent atoms
GATE 2016 EC03 ONE MARK
to form p-type semiconductor
(D) Intrinsic semiconductor doped with trivalent atoms to
Q5 The I - V characteristics of three types of diodes at
form p-type semiconductor
the room temperature, made of semiconductors X, Y and
Z are shown in the figure. Assume that the diodes are
Q2 Consider the following statements for a metal oxide uniformly doped and identical in all respects except their
semiconductor field effect transistor (MOSFET): materials. If EgX , EgY and EgZ are the band gaps of X, Y
P: As channel length reduces, OFF-state current in- and Z respectively, then
creases (A) EgX > EgY > EgZ
Q: As channel length reduces, output resistance increas- (B) EgX = EgY = EgZ
es (C) EgX < EgY < EgZ
R: As channel length reduces, threshold voltage remains (D) no relationship among these band gaps exists
constant
S: As channel length reduces, ON current increases.
Which of the above statements are INCORRECT?
(A) P and Q (B) P and S
(C) Q and R (D) R and S

GATE 2016 EC02 ONE MARK

Q3 The Eberts – Moll model of a BJT is valid


(A) only in active mode Q6 The figure shows the band diagram of a Metal Oxide
(B) only in active and saturation modes Semiconductor (MOS). The surface region of this MOS is
(C) only in active and cut-off modes in
(A) inversion (B) accumulation
(D) in active, saturation and cut-off modes
(C) depletion (D) flat band
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 144 Electronic Devices Chapter 5

Q11 Consider a silicon sample at T = 300 K , with a


uniform donor density Nd = 5 # 1016 cm-3 illuminated
uniformly such that the optical generation rate is
G opt = 1.5 # 1020 cm-3 s-1 through out the sample. The
incident radiation is turned off at t = 0 . Assume low-level
injection to be valid and ignore surface effects. The carrier
lifetimes are t po = 0.1 and t no = 0.5 ms .

Q7 The figure shows the I - V characteristic of a solar


cell illuminated uniformly with solar light of power 100
mW/cm2 . The solar cell has an area of 3 cm2 and a fill
factor of 0.7. The maximum efficiency (in%) of the device
is ______
The hole concentration at t = 0 and the hole concentration
at t = 0.3 ms , respectively, are
(A) 1.5 # 1013 cm-3 and 7.47 # 1011 cm-3
(B) 1.5 # 1013 cm-3 and 8.23 # 1011 cm-3
(C) 7.5 # 1013 cm-3 and 3.73 # 1011 cm-3
(D) 7.5 # 1013 cm-3 and 4.12 # 1011 cm-3

GATE 2016 EC02 TWO MARKS


GATE 2016 EC01 TWO MARKS
Q12 A voltage VG is applied across a MOS capacitor with
Q8 Consider a silicon p-n junction with a uniform metal gate and p-type silicon substrate at T =300 K. The
acceptor doping concentration of 1017 cm-3 on the p-side inversion carrier density (in number of carriers per unit
and a uniform donor doping concentration of 1016 cm-3 on area) for VG = 0.8 V is 2 # 1011 cm-2 . For VG = 1.3 V, the
the n-side. No external voltage is applied to the diode. inversion carrier density is 4 # 1011 cm-2 . What is the
Given: kT/q = 26 mV , ni = 1.5 # 1010 cm-3 , e si = 12e 0 , value of the inversion carrier density for VG = 1.8 V?
e 0 = 8.85 # 10-14 F/m , and q = 1.6 # 10-19 C . The charge (A) 4.5 # 1011 cm-2
per unit junction area (nC cm-2) in the depletion region on (B) 6.0 # 1011 cm-2
the p-side is _____. (C) 7.2 # 1011 cm-2
(D) 8.4 # 1011 cm-2
Q9 Consider an n-channel metal oxide semiconductor field
effect transistor (MOSFET) with a gate-to-source voltage
Q13 Consider avalanche breakdown in a silicon p+ n
of 1.8 V. Assume that W = 4 , m N COX = 70 # 10-6 AV-2 junction. The n -region is uniformly doped with a donor
L
, the threshold voltage is 0.3 V, and the channel length density ND . Assume that breakdown occurs when the
modulation parameter is 0.09 V-1 , In the saturation region, magnitude of the electric field at any point in the device
the drain conductance (in micro siemens) is _____. becomes equal to the critical filed E crit . Assume E crit to
be independent of ND . If the built-in voltage of the p+ n
Q10 The figure below shows the doping distribution in a junction is much smaller than the breakdown voltage, VBR
P-type semiconductor in log scale. , the relationship between VBR and ND is given by
(A) VBR # ND = constant
(B) ND # VBR = constant
(C) ND # VBR = constant
(D) ND /VBR = constant

Q14 Consider a region of silicon devoid of electrons and


holes, with an ionized donor density of N d+ = 1017 cm-3 .
The magnitude of the electric field (in kV/cm) in the The electric filed at x = 0 is 0 V/cm and the electric filed
semiconductor due to non uniform doping is _____.
To Get Updated Solved Paper by Whatsapp, Send Your
Branch and BTech year to 9414243489 by whatsapp
Chapter 5 Electronic Devices Page 145

at x = L is 50 kV/cm in the positive x direction. Assume Y (of thickness t2 = 3 nm and dielectric constant e 2 = 20
that the electric filed is zero in the y and z directions at ). The capacitor in Figure II has only insulator material X
all points. of thickness tEq. . If the capacitors are of equal capacitors,
then the value of tEq (in nm) is ______

Given, q = 1.6 # 10-19 coulomb


e 0 = 8.85 # 10-14 F/cm
e r = 11.7 for silicon,
the value of L in nm is ______

Q15 Consider a long-channel NMOS transistor with GATE 2015 EC01 ONE MARK
source and body connected together. Assume that the
electron mobility is independent of VGS and VDS . Given,
Q18 A region of negative differential resistance is
gm = 0.5 mA/V for
observed in the current voltage characteristics of a silicon
VDS = 50 mV and
PN junction if
VGS = 2V
(A) Both the P-region and N-region are heavily doped
gd = 8 mA/V for VGS = 2 V and
VDS = 0 V (B) The N-region is heavily doped compared to the
2I p P-region
where, gm = and
2VGS (C) The P-region is heavily doped compared to the
N-region
gd = 2ID
2VDS (D) An intrinsic silicon region is inserted between the
The threshold voltage (in volts)of the transistor is P-region and the N-region
Get More Study Material by Whatsapp. Send
GATE 2016 EC03 TWO MARKS Your College Pin Code, Branch and BTech year
to 9414243489 by whatsapp
Q16 The injected excess electron concentration profile
in the base region of an npn BJT, biased in the active
region, is linear, as shown in the figure. If the area of the
Q19 A silicon sample is uniformly doped with donor type
emitter-base junction is 0.001 cm2 , m n = 800 cm2 /(V-s) in
impurities with a concentration of 1016/cm3. The electron
the base region and depletion layer widths are negligible,
and hole mobilities in the sample are 1200 cm2/V-s and
then the collector current Ic (in mA) at room temperature
400 cm2/V-s respectively. Assume complete ionization of
is ______
impurities. The charge of an electron is 1.6 # 10-19 C. The
(Given: thermal voltage VT = 26 mV at room temperature,
resistivity of the sample (in W -cm) is_______.
electronic charge q = 1.6 # 10-19 C )

GATE 2015 EC02 ONE MARK

Q20 A piece of silicon is doped uniformly with phosphorous


with a doping concentration of 1016 /cm3 . The expected
value of mobility versus doping concentration for silicon
assuming full dopant ionization is shown below. The charge
of an electron is 1.6 # 10-19 C. The conductivity (in S-
cm-1 ) of the silicon sample at 300 K is ________.

Q17 Figures I and II show two MOS capacitors of unit


area. The capacitor in Figure I has insulator materials X
(of thickness t1 = 1 nm and dielectric constant e 1 = 4 ) and
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 146 Electronic Devices Chapter 5

cm2) injected from P region to N regions is _______.

Q25 For the NMOSFET in the circuit shown, the


threshold voltage is Vth , where Vth > 0 . The source voltage
Vss is varied from 0 to VDD . Neglecting the channel length
modulation, the drain current ID as a function Vss is
represented by.

Q21 An n-type silicon sample is uniformly illuminated


with light which generates 1020 electron hole pairs per cm3
per second. The minority carrier lifetime in the sample
is 1 ms . In the steady state, the hole concentration in the
sample is approximately 10x , where x is an integer. The
value of x is _______.

GATE 2015 EC03 ONE MARK

Q22 If the base width in a bipolar junction transistor is


doubled, which one of the following statements will be
TRUE?
(A) Current gain will increase
(B) Unity gain frequency will increase
(C) Emitter-base junction capacitance will increase
(D) Early Voltage will increase Q26 A MOSFET in saturation has a drain current of
1 mA for VDS = 0.5 V. If the channel length modulation
coefficient is 0.05 V-1 , the output resistance (in kW ) of the
Q23 Which one of the following processes is preferred to MOSFET is ________.
form the gate dielectric (SiO 2 ) of MOSFETs?
(A) Sputtering
Q27 The built-in potential of an abrupt p-n junction
(B) Molecular beam epitaxy is 0.75 V. If its junction capacitance (CJ ) at a reverse
(C) Wet oxidation bias (VR ) of 1.25 V is 5pF, the value of CJ (in pF) when
(D) Dry oxidation VR = 7.25 V is_______.

GATE 2015 EC01 TWO MARKS GATE 2015 EC02 TWO MARKS

Q24 For a silicon diode with long P and N regions, the Q28 In a MOS capacitor with an oxide layer thickness
accepter and donor impurity concentrations are 1 # 1017 of 10 mm, the maximum depletion layer thickness is 100
cm-3 and 1 # 1015 cm-3 , respectively. The lifetimes of mm. The permittivities of the semiconductor and the oxide
electrons in P region and holes in N region are both 100 layer are e s and e ox respectively. Assuming e s /e ox = 3 ,
ms. The electron and hole diffusion coefficients are 49 the ratio of the maximum capacitance to the minimum
cm2/s and 36 cm2/s, respectively. Assume kT/q = 26 mV, capacitance of this MOS capacitor is _______.
the intrinsic carrier concentration is 1 # 1010 cm-3 and
q = 1.6 # 10-19 C. When a forward voltage of 208 mV is Q29 The energy band diagram and electron density
applied across the diode, the hole current density (in nA/ profile n (x) in a semiconductor are shown in the figure.
To Get Updated Solved Paper by Whatsapp, Send Your
Branch and BTech year to 9414243489 by whatsapp
Chapter 5 Electronic Devices Page 147

qax
Q33 The electric field profile in the depletion region of a
Assume that n (x) = 1015 eb kT lcm , with a = 0.1V/cm and
-3

x expressed in cm. Given kTq = 0.026 V, Dn = 36 cm2 s-1 p-n junction in equilibrium is shown in the figure. Which
, and Dm = kTq . The electron current density (in A/cm2) at one of the following statements is NOT TRUE?
x = 0 is

(A) The left side of the junction is n-type and the right
side is p-type
(A) - 4.4 # 10-2 (B)- 2.2 # 10-2 (B) Both the n-type and p-type depletion regions are
(C) 0 (D) 2.2 # 10-2 uniformly doped
(C) The potential difference across the depletion region is
Q30 A dc voltage of 10V is applied across an n -type silicon 700 mV
bar having a rectangular cross-section and a length of 1 (D) If the p-type region has a doping concentration of 1015
cm as shown in figure. The donor doping concentration cm-3 , then the doping concentration in the n-type
ND and the mobility of electrons m n are 1016 cm-3 and region will be 1016 cm-3
1000 cm2 V-1 s-1 , respectively. The average time (in ms )
taken by the electrons to move from one end of the bar to
other end is ________. GATE 2014 EC01 ONE MARK

Q34 When the optical power incident on a photodiode is


10 mW and the responsivity is 0.8 A/W , the photocurrent
generated (in mA ) is _____.

Q35 If fixed positive charges are present in the gate oxide


of an n-channel enhancement type MOSFET, it will lead
to
(A) a decrease in the threshold voltage
GATE 2015 EC03 TWO MARKS
(B) channel length modulation
(C) an increase in substrate leakage current
Q31 An npn BJT having reverse saturation current
Is = 10-15 A is biased in the forward active region with (D) an increase in accumulation capacitance
VBE = 700 mV. The thermal voltage (VT ) is 25 mV and
the current gain (b ) may vary from 50 to 150 due to GATE 2014 EC02 ONE MARK
manufacturing variations. The maximum emitter current
(in mA ) is_______.
Q36 A silicon bar is doped with donor impurities
ND = 2.25 # 1015 atoms/cm3 . Given the intrinsic carrier
Q32 The current in an enhancement mode NMOS concentration of silicon at T = 300 K is ni = 1.5 # 1010 cm-3
transistor biased in saturation mode was measured to be . Assuming complete impurity ionization, the equilibrium
1 mA at a drain-source voltage of 5 V. When the drain- election and hole concentrations are
source voltage was increased to 6 V while keeping gate- (A) n 0 = 1.5 # 106 cm-3 , p 0 = 1.5 # 105 cm-3
source voltage same, the drain current increased to 1.02
(B) n 0 = 1.5 # 1010 cm-3 , p 0 = 1.5 # 1015 cm-3
mA. Assume that drain to source saturation voltage is
much smaller than the applied drain-source voltage. (C) n 0 = 2.25 # 1015 cm-3 , p 0 = 1.5 # 1010 cm-3
The channel length modulation parameter l (in V-1 ) (D) n 0 = 2.25 # 1015 cm-3 , p 0 = 1 # 105 cm-3
is_______.
Q37 An increase in the base recombination of a BJT will
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 148 Electronic Devices Chapter 5

increase Q43 In the figure, ln ^r i h is plotted as a function of 1/T


(A) the common emitter dc current gain b , where r i is the intrinsic resistivity of silicon, T is the
(B) the breakdown voltage BVCEO temperature, and the plot is almost linear.
(C) the unity-gain cut-off frequency fT
(D) the transconductance gm

Q38 In CMOS technology, shallow P -well or N -well


regions can be formed using
(A) low pressure chemical vapour deposition
(B) low energy sputtering
(C) low temperature dry oxidation
(D) low energy ion-implantation
The slope of the line can be used to estimate
(A) band gap energy of silicon ^Eg h
GATE 2014 EC03 ONE MARK
(B) sum of electron and hole mobility in silicon ^m n + m p h
(C) reciprocal of the sum of electron and hole mobility in
Q39 In MOSFET fabrication, the channel length is
silicon ^m n + m p h-1
defined during the process of
(A) isolation oxide growth (D) intrinsic carrier concentration of silicon ^ni h
(B) channel stop implantation
(C) poly-silicon gate patterning Q44 The cut-off wavelength (in mm ) of light that can be
(D) lithography step leading to the contact pads used for intrinsic excitation of a semiconductor material of
bandgap Eg = 1.1 eV is _______.

Q40 A thin p-type silicon sample is uniformly


illuminated with light which generates excess carriers. The GATE 2014 EC01 TWO MARKS
recombination rate is directly proportional to
(A) the minority carrier mobility Q45 A BJT is biased in forward active mode. Assume
(B) the minority carrier recombination lifetime VBE = 0.7 V kT/q = 25 mV and reverse saturation
currents IS = 10-13 A . The transconductance of the BJT
(C) the majority carrier concentration
(in mA/V) is _____.
(D) the excess minority carrier concentration
Q46 The doping concentrations on the p-side and n -side
Q41 At T = 300 K , the hole mobility of a semiconductor of a silicon diode are 1 # 1016 cm-3 and 1 # 1017 cm-3 ,
m p = 500 cm2 /V - s and kT
q = 26 mV . The hole diffusion respectively. A forward bias of 0.3 V is applied to the
2
constant D p in cm /s is _______. diode. At T = 300 K , the intrinsic carrier concentration of
silicon ni = 1.5 # 1010 cm-3 and kTq = 26 mV . The electron
concentration at the edge of the depletion region on the p
GATE 2014 EC04 ONE MARK
-side is
(A) 2.3 # 109 cm-3 (B) 1 # 1016 cm-3
Q42 At T = 300 K , the band gap and the intrinsic
(C) 1 # 1017 cm-3 (D) 2.25 # 106 cm-3
carrier concentration of GaAs are 1.42 eV and 106 cm-3
, respectively. In order to generate electron hole pairs in
GaAs, which one of the wavelength ^lC h ranges of incident Q47 A depletion type N-channel MOSFET is biased in its
radiation, is most suitable ? (Given that: Plank’s constant linear region for use as a voltage controlled resistor. Assume
is 6.62 # 10-34 J - s , velocity of light is 3 # 1010 cm/s and threshold voltage VTH =- 0.5 V , VGS = 2.0 V , VDS = 5 V
charge of electron is 1.6 # 10-19 C ) , W/L = 100 , Cox = 10-8 F/cm2 and m n = 800 cm2 /V - s
(A) 0.42 mm < lC < 0.87 mm . The value of the resistance of the voltage controlled
(B) 0.87 mm < lC < 1.42 mm resistor (in W ) is ______.
(C) 1.42 mm < lC < 1.62 mm
(D) 1.62 mm < lC < 6.62 mm
To Get Updated Solved Paper by Whatsapp, Send Your
Branch and BTech year to 9414243489 by whatsapp
Chapter 5 Electronic Devices Page 149

GATE 2014 EC02 TWO MARKS the same device, neglecting channel length modulation,
the slope of the ID vs. VGS curve (in A/V ) under
Q48 Assume electronic charge q = 1.6 # 10-19 C , saturation regime is approximately_______.
kT/q = 25 mV and electron mobility m n = 1000 cm2 /V - s
. If the concentration gradient of electrons injected into a Q53 An ideal MOS capacitor has boron doping-
P -type silicon sample is 1 # 1021 /cm 4 , the magnitude of concentration of 1015 cm-3 in the substrate. When a gate
electron diffusion current density ^in A/cm2h is _____. voltage is applied, a depletion region of width 0.5 mm is
formed with a surface (channel) potential of 0.2 V . Given
Q49 Consider an abrupt PN junction (at T = 300 K ) the e 0 = 8.854 # 10-14 F/cm and the relative permittivities
shown in the figure. The depletion region width Xn on of silicon and silicon dioxide are 12 and 4, respectively,
the N -side of the junction is 0.2 mm and the permittivity the peak electric field (in V/mm ) in the oxide region is
of silicon ^e si h is 1.044 # 10-12 F/cm . At the junction, the _______.
approximate value of the peak electric field (in kV/cm)
is_____.
GATE 2014 EC04 TWO MARKS

Q54 Consider a silicon sample doped with


ND = 1 # 1015 /cm3 donor atoms. Assume that the intrinsic
carrier concentration ni = 1.5 # 1010 /cm3 . If the sample
is additionally doped with NA = 1 # 1018 /cm3 acceptor
atoms, the approximate number of electrons/cm3 in the
sample, at T = 300 K , will be _______.

Q50 When a silicon diode having a doping concentration Q55 Consider two BJTs biased at the same collector current
of NA = 9 # 1016 cm-3 on p-side and ND = 1 # 1016 cm-3 with area A1 = 0.2 mm # 0.2 mm and A2 = 300 mm # 300 mm
on n -side is reverse biased, the total depletion width is . Assuming that all other device parameters are identical,
found to be 3 mm . Given that the permittivity of silicon kT/q = 26 mV , the intrinsic carrier concentration is
is 1.04 # 10-12 F/cm , the depletion width on the p-side 1 # 1010 cm-3 , and q = 1.6 # 10-19 C , the difference
and the maximum electric field in the depletion region, between the base-emitter voltages (in mV) of the two
respectively, are BJTs (i.e., VBE1 - VBE2 ) is _______.
(A) 2.7 mm and 2.3 # 105 V/cm
(B) 0.3 mm and 4.15 # 105 V/cm Q56 An N -type semiconductor having uniform doping is
biased as shown in the figure.
(C) 0.3 mm and 0.42 # 105 V/cm
(D) 2.1 mm and 0.42 # 105 V/cm

GATE 2014 EC03 TWO MARKS

Q51 The donor and accepter impurities in an abrupt


junction silicon diode are 1 # 1016 cm-3 and 5 # 1018 cm-3 , If EC is the lowest energy level of the conduction band, EV
respectively. Assume that the intrinsic carrier concentration is the highest energy level of the valance band and EF is
in silicon ni = 1.5 # 1010 cm-3 at 300 K , kTq = 26 mV and the Fermi level, which one of the following represents the
the permittivity of silicon e si = 1.04 # 10-12 F/cm . The energy band diagram for the biased N -type semiconductor
built-in potential and the depletion width of the diode ?
under thermal equilibrium conditions, respectively, are
(A) 0.7 V and 1 # 10-4 cm
(B) 0.86 V and 1 # 10-4 cm
(C) 0.7 V and 3.3 # 10-5 cm
(D) 0.86 V and 3.3 # 10-5 cm

Q52The slope of the ID vs. VGS curve of an n -channel


MOSFET in linear regime is 10-3 W-1 at VDS = 0.1 V . For
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 150 Electronic Devices Chapter 5

GATE 2013 TWO MARKS

Q60 The small-signal resistance (i.e., dVB /dID ) in


kW offered by the n-channel MOSFET M shown in
the figure below, at a bias point of VB = 2 V is (device
data for M: device transconductance parameter
kN = mn C 0' x ^W/L h = 40 mA/V2 , threshold voltage VTN = 1 V
, and neglect body effect and channel length modulation
GATE 2013 ONE MARK effects)

Q57 In a forward biased pn junction diode, the sequence


of events that best describes the mechanism of current
flow is
(A) injection, and subsequent diffusion and recombination
of minority carriers
(B) injection, and subsequent drift and generation of
minority carriers
(A) 12.5 (B) 25
(C) extraction, and subsequent diffusion and generation of
minority carriers (C) 50 (D) 100
(D) extraction, and subsequent drift and recombination of
minority carriers GATE 2012 TWO MARKS

Q58 In IC technology, dry oxidation (using dry oxygen) as Q61 The source of a silicon (ni = 1010 per cm3) n -channel
compared to wet oxidation (using steam or water vapor) MOS transistor has an area of 1 sq mm and a depth of
produces 1 mm . If the dopant density in the source is 1019 /cm3 ,
(A) superior quality oxide with a higher growth rate the number of holes in the source region with the above
(B) inferior quality oxide with a higher growth rate volume is approximately
(A) 107 (B) 100
(C) inferior quality oxide with a lower growth rate
(C) 10 (D) 0
(D) superior quality oxide with a lower growth rate

Get More Study Material Q62 In the CMOS circuit shown, electron and hole
mobilities are equal, and M1 and M2 are equally sized.
by Whatsapp. Send The device M1 is in the linear region if

Your College Pin Code,


Branch and BTech year to
9414243489 by whatsapp
(A) Vin < 1.875 V
(B) 1.875 V < Vin < 3.125 V
Q59 In a MOSFET operating in the saturation region,
the channel length modulation effect causes (C) Vin > 3.125 V
(A) an increase in the gate-source capacitance (D) 0 < Vin < 5 V
(B) a decrease in the transconductance
(C) a decrease in the unity-gain cutoff frequency
Common Data Question 63 and 64
(D) a decrease in the output resistance
In the three dimensional view of a silicon n -channel MOS
transistor shown below, d = 20 nm . The transistor is of
width 1 mm . The depletion width formed at every p-n
To Get Updated Solved Paper by Whatsapp, Send Your
Branch and BTech year to 9414243489 by whatsapp
Chapter 5 Electronic Devices Page 151

junction is 10 nm. The relative permittivity of Si and SiO 2 GATE 2011 TWO MARKS
, respectively, are 11.7 and 3.9, and e0 = 8.9 # 10-12 F/m .
Common Data Question 68 and 69
The channel resistance of an N-channel JFET shown in
the figure below is 600 W when the full channel thickness
(tch ) of 10 μm is available for conduction. The built-in
voltage of the gate P+ N junction (Vbi ) is - 1 V . When the
gate to source voltage (VGS ) is 0 V, the channel is depleted
by 1 μm on each side due to the built in voltage and hence
the thickness available for conduction is only 8 μm

Q63 The gate source overlap capacitance is approximately


(A) 0.7 fF (B) 0.7 pF
(C) 0.35 fF (D) 0.24 pF

Q68 The channel resistance when VGS =- 3 V is


Q64 The source-body junction capacitance is (A) 360 W (B) 917 W
approximately
(C) 1000 W (D) 3000 W
(A) 2 fF (B) 7 fF
(C) 2 pF (D) 7 pF
Q69 The channel resistance when VGS = 0 V is
(A) 480 W (B) 600 W
GATE 2011 ONE MARK (C) 750 W (D) 1000 W

Q65 Drift current in the semiconductors depends upon


(A) only the electric field GATE 2010 ONE MARK

(B) only the carrier concentration gradient


Q70 At room temperature, a possible value for the
(C) both the electric field and the carrier concentration
mobility of electrons in the inversion layer of a silicon n
(D) both the electric field and the carrier concentration -channel MOSFET is
gradient (A) 450 cm2 / V-s (B) 1350 cm2 / V-s
(C) 1800 cm2 / V-s (D) 3600 cm2 / V-s
Q66 A Zener diode, when used in voltage stabilization
circuits, is biased in
Q71 Thin gate oxide in a CMOS process in preferably
(A) reverse bias region below the breakdown voltage
grown using
(B) reverse breakdown region (A) wet oxidation (B) dry oxidation
(C) forward bias region (C) epitaxial oxidation (D) ion implantation
(D) forward bias constant current mode

GATE 2010 TWO MARKS


Q67 A silicon PN junction is forward biased with a constant
current at room temperature. When the temperature is
increased by 10ºC, the forward bias voltage across the PN Q72 In a uniformly doped BJT, assume that NE , NB and
junction NC are the emitter, base and collector doping in atoms/
(A) increases by 60 mV (B) decreases by 60 mV cm3 , respectively. If the emitter injection efficiency of the
BJT is close unity, which one of the following condition is
(C) increases by 25 mV (D) decreases by 25 mV
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 152 Electronic Devices Chapter 5

TRUE Q77 The ratio of the mobility to the diffusion coefficient


(A) NE = NB = NC in a semiconductor has the units
(B) NE >> NB and NB > NC (A) V - 1 (B) cm.V1
(C) NE = NB and NB < NC (C) V.cm - 1 (D) V.s
(D) NE < NB < NC
GATE 2009 TWO MARKS
14 3
Q73 Compared to a p-n junction with NA = ND = 10 /cm
, which one of the following statements is TRUE for a p-n Q78 Consider the following two statements about the
junction with NA = ND = 1020 /cm3 ? internal conditions in a n -channel MOSFET operating
(A) Reverse breakdown voltage is lower and depletion in the active region.
capacitance is lower S1 : The inversion charge decreases from source to drain
(B) Reverse breakdown voltage is higher and depletion S2 : The channel potential increases from source to drain.
capacitance is lower Which of the following is correct?
(C) Reverse breakdown voltage is lower and depletion (A) Only S2 is true
capacitance is higher (B) Both S1 and S2 are false
(D) Reverse breakdown voltage is higher and depletion (C) Both S1 and S2 are true, but S2 is not a reason for S1
capacitance is higher (D) Both S1 and S2 are true, and S2 is a reason for S1

Statements for Linked Answer Question 74 and 75 Common Data Question 79 and 80
The silicon sample with unit cross-sectional area shown Consider a silicon p - n junction at room temperature
below is in thermal equilibrium. The following information having the following parameters:
is given: T = 300 K electronic charge = 1.6 # 10-19 C Doping on the n -side = 1 # 1017 cm - 3
, thermal voltage = 26 mV and electron mobility Depletion width on the n -side = 0.1mm
= 1350 cm2 / V-s
Depletion width on the p -side = 1.0mm
Intrinsic carrier concentration = 1.4 # 1010 cm - 3
Thermal voltage = 26 mV
Permittivity of free space = 8.85 # 10 - 14 F.cm - 1
Dielectric constant of silicon = 12

Q79 The built-in potential of the junction


(A) is 0.70 V
(B) is 0.76 V
Q74 The magnitude of the electric field at x = 0.5 mm is
(C) is 0.82 V
(A) 1 kV/cm (B) 5 kV/cm
(D) Cannot be estimated from the data given
(C) 10 kV/cm (D) 26 kV/cm

Q80 The peak electric field in the device is


Q75 The magnitude of the electron of the electron drift
(A) 0.15 MV . cm - 1, directed from p -region to n -region
current density at x = 0.5 mm is
(A) 2.16 # 10 4 A/cm2 (B) 1.08 # 10 4 A/m2 (B) 0.15 MV . cm - 1, directed from n -region to p -region
(C) 4.32 # 103 A/cm2 (D) 6.48 # 102 A/cm2 (C) 1.80 MV . cm - 1, directed from p-region to n -region
(D) 1.80 MV . cm - 1, directed from n -region to p -region

GATE 2009 ONE MARK


GATE 2008 ONE MARK
Q76 In an n-type silicon crystal at room temperature,
which of the following can have a concentration of 4 # 1019 Q81 Which of the following is NOT associated with a
cm - 3 ? p - n junction ?
(A) Silicon atoms (B) Holes (A) Junction Capacitance
(C) Dopant atoms (D) Valence electrons (B) Charge Storage Capacitance
To Get Updated Solved Paper by Whatsapp, Send Your
Branch and BTech year to 9414243489 by whatsapp
Chapter 5 Electronic Devices Page 153

(C) Depletion Capacitance Q86 Silicon is doped with boron to a concentration


(D) Channel Length Modulations of 4 # 1017 atoms cm3 . Assume the intrinsic carrier
concentration of silicon to be 1.5 # 1010 / cm 3 and the value
of kT/q to be 25 mV at 300 K. Compared to undopped
Q82 Which of the following is true? silicon, the fermi level of doped silicon
(A) A silicon wafer heavily doped with boron is a p+ (A) goes down by 0.31 eV (B) goes up by 0.13 eV
substrate
(C) goes down by 0.427 eV (D) goes up by 0.427 eV
(B) A silicon wafer lightly doped with boron is a p+
substrate
Q87 The cross section of a JFET is shown in the following
(C) A silicon wafer heavily doped with arsenic is a p+
substrate figure. Let Vc be - 2 V and let VP be the initial pinch -off
voltage. If the width W is doubled (with other geometrical
(D) A silicon wafer lightly doped with arsenic is a p+ parameters and doping levels remaining the same), then
substrate the ratio between the mutual trans conductances of the
initial and the modified JFET is
Q83 A silicon wafer has 100 nm of oxide on it and is
furnace at a temperature above 1000c C for further
oxidation in dry oxygen. The oxidation rate
(A) is independent of current oxide thickness and
temperature
(B) is independent of current oxide thickness but depends
on temperature
(C) slows down as the oxide grows
(D) is zero as the existing oxide prevents further oxidation 1 - 2/Vp
(B) 1 e
2 1 - 1/2Vp o
(A) 4

Q84 The drain current of MOSFET in saturation is given 1 - 2/Vp 1 - (2 - Vp )


(C) e o (D)
by ID = K (VGS - VT ) 2 where K is a constant. 1 - 1/2Vp 1 - [1 (2 Vp )]
The magnitude of the transconductance gm is

K (VGS - VT ) 2 Q88 Consider the following assertions.


(A) (B) 2K (VGS - VT ) S1 : For Zener effect to occur, a very abrupt junction is
VDS
required.
Id K (VGS - VT ) 2
(C) (D) S2 : For quantum tunneling to occur, a very narrow ener-
VGS - VDS VGS
gy barrier is required.
GATE 2008 TWO MARKS
Which of the following is correct ?
(A) Only S2 is true
Q85 The measured trans conductance gm of an NMOS (B) S1 and S2 are both true but S2 is not a reason for S1
transistor operating in the linear region is plotted against (C) S1 and S2 and are both true but S2 is not a reason
the gate voltage VG at a constant drain voltage VD . Which for S1
of the following figures represents the expected dependence (D) Both S1 and S2 are false
of gm on VG ?

GATE 2007 ONE MARK

Q89 The electron and hole concentrations in an intrinsic


semiconductor are ni per cm3 at 300 K. Now, if acceptor
impurities are introduced with a concentration of NA per
cm3 (where NA >> ni , the electron concentration per cm3
at 300 K will be)
(A) ni (B) ni + NA
2
(C) NA - ni (D) ni
NA
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 154 Electronic Devices Chapter 5

Q90 In a p+ n junction diode under reverse biased the that the emitter injection efficiency is 0.995, the base
magnitude of electric field is maximum at transport factor is
(A) the edge of the depletion region on the p-side (A) 0.980 (B) 0.985
(B) the edge of the depletion region on the n -side (C) 0.990 (D) 0.995
(C) the p+ n junction
(D) the centre of the depletion region on the n -side
Common Data Question 95 to 97
The figure shows the high-frequency capacitance -
GATE 2007 TWO MARKS voltage characteristics of Metal/Sio 2 /silicon (MOS)
capacitor having an area of 1 # 10 - 4 cm 2 . Assume that the
Q91 Group I lists four types of p - n junction diodes. permittivities (e0 er ) of silicon and Sio2 are 1 # 10 - 12 F/cm
Match each device in Group I with one of the option in and 3.5 # 10 - 13 F/cm respectively.
Group II to indicate the bias condition of the device in its
normal mode of operation.

Group-I Group-II
P. Zener Diode 1. Forward bias
Q. Solar cell 2. Reverse bias
R. Laser Diode
S. Avalanche Photodiode
Q95 The gate oxide thickness in the MOS capacitor is
(A) P - 1, Q - 2, R - 1, S - 2 (A) 50 nm (B) 143 nm
(B) P - 2, Q - 1, R - 1, S - 2 (C) 350 nm (D) 1 mm
(C) P - 2, Q - 2, R - 1, S- -2
(D) P - 2, Q - 1, R - 2, S - 2 Q96 The maximum depletion layer width in silicon is
(A) 0.143 mm (B) 0.857 mm
Q92 Group I lists four different semiconductor devices. (C) 1 mm (D) 1.143 mm
match each device in Group I with its charactecteristic
property in Group II
Q97 Consider the following statements about the C - V
characteristics plot :
Group-I Group-II S1 : The MOS capacitor has as n -type substrate
P. BJT 1. Population inversion S2 :If positive charges are introduced in the oxide, the
Q. MOS capacitor 2. Pinch-off-voltage C - V polt will shift to the left.
R. Laser Diode 3. Early effect Then which of the following is true?
(A) Both S1 and S2 are true
S. JFET 4. Flat-band voltage
(B) S1 is true and S2 is false
(A) P - 3, Q - 1, R - 4, S - 2 (C) S1 is false and S2 is true
(B) P - 1, Q - 4, R - 3, S - 2 (D) Both S1 and S2 are false
(C) P - 3, Q - 4, R - 1, S - 2
(D) P - 3, Q - 2, R - 1, S - 4 GATE 2006 ONE MARK

Q93 A p+ n junction has a built-in potential of 0.8 V. The Q98 The values of voltage (VD) across a tunnel-diode
depletion layer width a reverse bias of 1.2 V is 2 mm. For corresponding to peak and valley currents are Vp, VD
a reverse bias of 7.2 V, the depletion layer width will be respectively. The range of tunnel-diode voltage for VD
(A) 4 mm (B) 4.9 mm which the slope of its I - VD characteristics is negative
(C) 8 mm (D) 12 mm would be
(A) VD < 0 (B) 0 # VD < Vp
(C) Vp # VD < Vv (D) VD $ Vv
Q94 The DC current gain (b) of a BJT is 50. Assuming
To Get Updated Solved Paper by Whatsapp, Send Your
Branch and BTech year to 9414243489 by whatsapp
Chapter 5 Electronic Devices Page 155

Q99 The concentration of minority carriers in an extrinsic E. Varactor diode 1. Voltage reference
semiconductor under equilibrium is
(A) Directly proportional to doping concentration F. PIN diode 2. High frequency switch
(B) Inversely proportional to the doping concentration G. Zener diode 3. Tuned circuits
(C) Directly proportional to the intrinsic concentration H. Schottky diode 4. Current controlled
(D) Inversely proportional to the intrinsic concentration attenuator

(A) E - 4, F - 2, G - 1, H - 3
Q100 Under low level injection assumption, the injected (B) E - 3, F - 4, G - 1, H - 3
minority carrier current for an extrinsic semiconductor is
(C) E - 2, F - 4, G - 1, H - 2
essentially the
(A) Diffusion current (B) Drift current (D) E - 1, F - 3, G - 2, H - 4
(C) Recombination current (D) Induced current
Q105 A heavily doped n - type semiconductor has the
following data:
Q101 The phenomenon known as “Early Effect” in a
Hole-electron ratio : 0.4
bipolar transistor refers to a reduction of the effective
Doping concentration : 4.2 # 108 atoms/m3
base-width caused by
Intrinsic concentration : 1.5 # 10 4 atoms/m 3
(A) Electron - hole recombination at the base
The ratio of conductance of the n -type semiconductor to
(B) The reverse biasing of the base - collector junction that of the intrinsic semiconductor of same material and
(C) The forward biasing of emitter-base junction ate same temperature is given by
(D) The early removal of stored base charge during (A) 0.00005 (B) 2000
saturation-to-cut off switching (C) 10000 (D) 20000

GATE 2006 TWO MARKS GATE 2005 ONE MARK

Q102 In the circuit shown below, the switch was connected Q106 The bandgap of Silicon at room temperature is
to position 1 at t < 0 and at t = 0 , it is changed to position (A) 1.3 eV (B) 0.7 eV
2. Assume that the diode has zero voltage drop and a (C) 1.1 eV (D) 1.4 eV
storage time ts . For 0 < t # ts, vR is given by (all in Volts)

Q107 A Silicon PN junction at a temperature of 20c C


has a reverse saturation current of 10 pico - Ameres (pA).
The reserve saturation current at 40cC for the same bias
is approximately
(A) 30 pA (B) 40 pA
(C) 50 pA (D) 60 pA
(A) vR =- 5 (B) vR =+ 5
(C) 0 # vR < 5 (D) - 5 # vR < 0 Q108 The primary reason for the widespread use of
Silicon in semiconductor device technology is
Q103 The majority carriers in an n-type semiconductor (A) abundance of Silicon on the surface of the Earth.
have an average drift velocity v in a direction perpendicular (B) larger bandgap of Silicon in comparison to Germanium.
to a uniform magnetic field B . The electric field E induced (C) favorable properties of Silicon - dioxide (SiO2)
due to Hall effect acts in the direction (D) lower melting point
(A) v # B (B) B # v
(C) along v (D) opposite to v
GATE 2005 TWO MARKS

Q104 Find the correct match between Group 1 and


Group 2 Q109 A Silicon sample A is doped with 1018 atoms/cm
3 of boron. Another sample B of identical dimension is

doped with 1018 atoms/cm 3 phosphorus. The ratio of


Group-I Group-II
electron to hole mobility is 3. The ratio of conductivity of
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 156 Electronic Devices Chapter 5

the sample A to B is S1 : The b of a bipolar transistor reduces if the base


(A) 3 (B) 1 width is increased.
3
S2 : The b of a bipolar transistor increases if the dopoing
(C) 2 (D) 3 concentration in the base is increased.
3 2
Which remarks of the following is correct ?
Q110 A Silicon PN junction diode under reverse bias has (A) S1 is FALSE and S2 is TRUE
depletion region of width 10 mm. The relative permittivity (B) Both S1 and S2 are TRUE
of Silicon, er = 11.7 and the permittivity of free space (C) Both S1 and S2 are FALSE
e0 = 8.85 # 10 - 12 F/m. The depletion capacitance of the (D) S1 is TRUE and S2 is FALSE
diode per square meter is
(A) 100 mF (B) 10 mF
Q116 Given figure is the voltage transfer characteristic of
(C) 1 mF (D) 20 mF

Q111 A MOS capacitor made using p type substrate is


in the accumulation mode. The dominant charge in the
channel is due to the presence of
(A) holes
(B) electrons
(C) positively charged icons
(A) an NOMS inverter with enhancement mode transistor
(D) negatively charged ions
as load
(B) an NMOS inverter with depletion mode transistor as
Q112 For an n -channel MOSFET and its transfer curve load
shown in the figure, the threshold voltage is
(C) a CMOS inverter
(D) a BJT inverter

Q117 Assuming VCEsat = 0.2 V and b = 50 , the minimum


base current (IB) required to drive the transistor in the
figure to saturation is
(A) 1 V and the device is in active region
(B) - 1 V and the device is in saturation region
(C) 1 V and the device is in saturation region
(D) - 1 V and the device is an active region

GATE 2004 ONE MARK

(A) 56 mA (B) 140 mA


Q113 The impurity commonly used for realizing the base
(C) 60 mA (D) 3 mA
region of a silicon n - p - n transistor is
(A) Gallium (B) Indium
(C) Boron (D) Phosphorus GATE 2004 TWO MARKS

Q114 If for a silicon npn transistor, the base-to-emitter Q118 In an abrupt p - n junction, the doping
voltage (VBE ) is 0.7 V and the collector-to-base voltage concentrations on the p -side and n -side are NA = 9 # 1016
(VCB) is 0.2 V, then the transistor is operating in the /cm 3 respectively. The p - n junction is reverse biased and
(A) normal active mode (B) saturation mode the total depletion width is 3 mm. The depletion width on
(C) inverse active mode (D) cutoff mode the p -side is
(A) 2.7 mm (B) 0.3 mm
(C) 2.25 mm (D) 0.75 mm
Q115 Consider the following statements S1 and S2.
To Get Updated Solved Paper by Whatsapp, Send Your
Branch and BTech year to 9414243489 by whatsapp
Chapter 5 Electronic Devices Page 157

Q119 The resistivity of a uniformly doped n -type silicon GATE 2003 ONE MARK
sample is 0.5W - mc. If the electron mobility (mn) is 1250
cm 2 /V-sec and the charge of an electron is 1.6 # 10 - 19 Q125 n -type silicon is obtained by doping silicon with
Coulomb, the donor impurity concentration (ND) in the (A) Germanium (B) Aluminium
sample is (C) Boron (D) Phosphorus
(A) 2 # 1016 /cm 3 (B) 1 # 1016 /cm 3
(C) 2.5 # 1015 /cm 3 (D) 5 # 1015 /cm 3
Q126 The Bandgap of silicon at 300 K is
(A) 1.36 eV (B) 1.10 eV
Q120 Consider an abrupt p - n junction. Let Vbi be the (C) 0.80 eV (D) 0.67 eV
built-in potential of this junction and VR be the applied
reverse bias. If the junction capacitance (Cj ) is 1 pF for
Q127 The intrinsic carrier concentration of silicon sample
Vbi + VR = 1 V, then for Vbi + VR = 4 V, Cj will be
(A) 4 pF (B) 2 pF at 300 K is 1.5 # 1016 /m 3 . If after doping, the number
of majority carriers is 5 # 1020 /m 3 , the minority carrier
(C) 0.25 pF (D) 0.5 pF
density is
(A) 4.50 # 1011/m 3 (B) 3.333 # 10 4 /m 3
Q121 Consider the following statements Sq and S2. (C) 5.00 # 1020 /m 3 (D) 3.00 # 10 - 5 /m 3
S1 : The threshold voltage (VT ) of MOS capacitor de-
creases with increase in gate oxide thickness.
Q128 Choose proper substitutes for X and Y to make the
S2 : The threshold voltage (VT ) of a MOS capacitor de-
following statement correct Tunnel diode and Avalanche
creases with increase in substrate doping concentra-
photo diode are operated in X bias ad Y bias respectively
tion.
(A) X: reverse, Y: reverse (B) X: reverse, Y: forward
Which Marks of the following is correct ?
(C) X: forward, Y: reverse (D) X: forward, Y: forward
(A) S1 is FALSE and S2 is TRUE
(B) Both S1 and S2 are TRUE
Q129 For an n - channel enhancement type MOSFET,
(C) Both S1 and S2 are FALSE
if the source is connected at a higher potential than that
(D) S1 is TRUE and S2 is FALSE of the bulk (i.e. VSB > 0 ), the threshold voltage VT of the
MOSFET will
Q122 The drain of an n-channel MOSFET is shorted to (A) remain unchanged (B) decrease
the gate so that VGS = VDS . The threshold voltage (VT ) of (C) change polarity (D) increase
the MOSFET is 1 V. If the drain current (ID) is 1 mA for
VGS = 2 V, then for VGS = 3 V, ID is
(A) 2 mA (B) 3 mA GATE 2003 TWO MARKS

(C) 9 mA (D) 4 mA
Q130 An n -type silicon bar 0.1 cm long and 100 mm2 i
cross-sectional area has a majority carrier concentration
Q123 The longest wavelength that can be absorbed by of 5 # 1020 /m 2 and the carrier mobility is 0.13 m2 /V-s at
silicon, which has the bandgap of 1.12 eV, is 1.1 mm. If 300 K. If the charge of an electron is 1.5 # 10 - 19 coulomb,
the longest wavelength that can be absorbed by another then the resistance of the bar is
material is 0.87 mm, then bandgap of this material is (A) 106 Ohm (B) 10 4 Ohm
(A) 1.416 A/cm 2 (B) 0.886 eV
(C) 10 - 1 Ohm (D) 10 - 4 Ohm
(C) 0.854 eV (D) 0.706 eV

Q131 The electron concentration in a sample of uniformly


Q124 The neutral base width of a bipolar transistor, biased doped n -type silicon at 300 K varies linearly from 1017 /cm
in the active region, is 0.5 mm. The maximum electron 3 at x = 0 to 6 # 1016 /cm 3 at x = 2mm . Assume a situation
concentration and the diffusion constant in the base are that electrons are supplied to keep this concentration
1014 / cm 3 and Dn = 25 cm 2 /sec respectively. Assuming gradient constant with time. If electronic charge is
negligible recombination in the base, the collector current 1.6 # 10 - 19 coulomb and the diffusion constant Dn = 35 cm
density is (the electron charge is 1.6 # 10 - 19 Coulomb) 2 /s, the current density in the silicon, if no electric field is
(A) 800 A/cm 2 (B) 8 A/cm 2 present, is
(C) 200 A/cm 2 (D) 2 A/cm 2 (A) zero (B) -112 A/cm 2
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 158 Electronic Devices Chapter 5

(C) +1120 A/cm 2 (D) -1120 A/cm 2 best be represented as a


(A) Current controlled current source
Q132 Match items in Group 1 with items in Group 2, (B) Current controlled voltage source
most suitably. (C) Voltage controlled voltage source
(D) Voltage controlled current source
Group-I Group-II
P. LED 1. Heavy doping GATE 2002 ONE MARK
Q. Avalanche photo diode 2. Coherent radiation
R. Tunnel diode Spontaneous emission Q138 In the figure, silicon diode is carrying a constant
S. Laser Current gain emission current of 1 mA. When the temperature of the diode is
20cC, VD is found to be 700 mV. If the temperature rises
(A) P - 1, Q - 2, R - 4, S - 3 to 40cC, VD becomes approximately equal to
(B) P - 2, Q - 3, R - 1, S - 4
(C) P - 3 Q - 4, R - 1, S - 2
(D) P - 2, Q - 1, R - 4, S - 3

Q133 At 300 K, for a diode current of 1 mA, a certain


germanium diode requires a forward bias of 0.1435 V,
whereas a certain silicon diode requires a forward bias
of 0.718 V. Under the conditions state above, the closest (A) 740 mV (B) 660 mV
approximation of the ratio of reverse saturation current in (C) 680 mV (D) 700 mV
germanium diode to that in silicon diode is
(A) 1 (B) 5
3 Q139 If the transistor in the figure is in saturation, then
(C) 4 # 10 (D) 8 # 103

Q134 A particular green LED emits light of wavelength


5490 Ac. The energy bandgap of the semiconductor
material used there is
(Plank’s constant = 6.626 # 10 - 34 J - s )
(A) 2.26 eV (B) 1.98 eV
(C) 1.17 eV (D) 0.74 eV
(A) IC is always equal to bdc IB
Q135 When the gate-to-source voltage (VGs) of a (B) IC is always equal to - bde IB
MOSFET with threshold voltage of 400 mV, working in (C) IC is greater than or equal to bdc IB
saturation is 900 mV, the drain current is observed to be (D) IC is less than or equal to bdc IB
1 mA. Neglecting the channel width modulation effect and
assuming that the MOSFET is operating at saturation,
the drain current for an applied VGS of 1400 mV is GATE 2001 ONE MARK
(A) 0.5 mA (B) 2.0 mA
(C) 3.5 mA (D) 4.0 mA Q140 MOSFET can be used as a
(A) current controlled capacitor
Q136 If P is Passivation, Q is n -well implant, R is (B) voltage controlled capacitor
metallization and S is source/drain diffusion, then the (C) current controlled inductor
order in which they are carried out in a standard n -well (D) voltage controlled inductor
CMOS fabrication process, is
(A) P - Q - R - S (B) Q - S - R - P
Q141 The effective channel length of MOSFET in
(C) R - P - S - Q (D) S - R - Q - P saturation decreases with increase in
(A) gate voltage (B) drain voltage
Q137 The action of JFET in its equivalent circuit can (C) source voltage (D) body voltage
To Get Updated Solved Paper by Whatsapp, Send Your
Branch and BTech year to 9414243489 by whatsapp
Chapter 5 Electronic Devices Page 159

GATE 1999 ONE MARK Q147 The static characteristic of an adequately forward
biased p-n junction is a straight line, if the plot is of
Q142 The early effect in a bipolar junction transistor is (A) log I vs log V (B) log I vs V
caused by (C) I vs log V (D) I vs V
(A) fast turn-on
(B) fast turn-off
Q148 A long specimen of p-type semiconductor material
(C) large collector-base reverse bias (A) is positively charged
(D) large emitter-base forward bias (B) is electrically neutral
(C) has an electric field directed along its length
Get More Study Material (D) acts as a dipole

by Whatsapp. Send Q149 Two identical FETs, each characterized by the


Your College Pin Code, parameters gm and rd are connected in parallel. The
composite FET is then characterized by the parameters
g g
Branch and BTech year to (A) m and 2rd
2
(B) m and rd
2 2

9414243489 by whatsapp (C) 2gm and rd


2
(D) 2gm and 2rd

q
GATE 1999 TWO MARKS Q150 The units of are
kT
(A) V (B) V-1
Q143 An n -channel JEFT has IDSS = 2 mA and Vp =- 4 V (C) J (D) J/K
. Its transconductance gm (in milliohm) for an applied
gate-to-source voltage VGS of - 2 V is
GATE 1997 ONE MARK
(A) 0.25 (B) 0.5
(C) 0.75 (D) 1.0
Q151 For a MOS capacitor fabricated on a p-type
semiconductor, strong inversion occurs when
Q144 An npn transistor (with C = 0.3 pF ) has a unity- (A) surface potential is equal to Fermi potential
gain cutoff frequency fT of 400 MHz at a dc bias current (B) surface potential is zero
Ic = 1 mA . The value of its Cm (in pF) is approximately
(C) surface potential is negative and equal to Fermi
(VT = 26 mV)
potential in magnitude
(A) 15 (B) 30
(D) surface potential is positive and equal to twice the
(C) 50 (D) 96
Fermi potential

GATE 1998 ONE MARK Q152 The intrinsic carrier density at 300 K is
1.5 # 1010 /cm3 , in silicon. For n -type silicon doped to
Q145 The electron and hole concentrations in a intrinsic 2.25 # 1015 atoms/cm3 , the equilibrium electron and hole
semiconductor are ni and pi respectively. When doped with densities are
a p-type material, these change to n and p, respectively, (A) n = 1.5 # 1015 /cm3, p = 1.5 # 1010 /cm3
Then (B) n = 1.5 # 1010 /cm3, p = 2.25 # 1015 /cm3
(A) n + p = ni + pi (B) n + ni = p + pi (C) n = 2.25 # 1015 /cm3, p = 1.0 # 1015 /cm3
(C) npi = ni p (D) np = ni pi (D) n = 1.5 # 1010 /cm3, p = 1.5 # 1010 /cm3

Q146 The fT of a BJT is related to its gm, C p and C m as


follows GATE 1996 ONE MARK

Cp + Cm 2p (C p + C m) Q153 The p-type substrate in a conventional pn -junction


(A) fT = (B) fT =
gm gm
isolated integrated circuit should be connected to
gm gm (A) nowhere, i.e. left floating
(C) fT = (D) fT =
Cp + Cm 2p (C p + C m)
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 160 Electronic Devices Chapter 5

(B) a DC ground potential GATE 1996 TWO MARKS

(C) the most positive potential available in the circuit


Q157 In a bipolar transistor at room temperature, if the
(D) the most negative potential available in the circuit
emitter current is doubled the voltage across its base-
emitter junction
Q154 If a transistor is operating with both of its junctions (A) doubles
forward biased, but with the collector base forward bias (B) halves
greater than the emitter base forward bias, then it is
(C) increases by about 20 mV
operating in the
(A) forward active mode (D) decreases by about 20 mV
(B) reverse saturation mode
(C) reverse active mode Q158 An npn transistor has a beta cut-off frequency fb
(D) forward saturation mode of 1 MHz and common emitter short circuit low-frequency
current gain bo of 200 it unity gain frequency fT and the
alpha cut-off frequency fa respectively are
Q155 The common-emitter short-circuit current gain b (A) 200 MHz, 201 MHz (B) 200 MHz, 199 MHz
of a transistor (C) 199 MHz, 200 MHz (D) 201 MHz, 200 MHz
(A) is a monotonically increasing function of the collector
current IC
Q159 A silicon n MOSFET has a threshold voltage of
(B) is a monotonically decreasing function of IC
1 V and oxide thickness of Ao.
(C) increase with IC , for low IC , reaches a maximum and [er (SiO 2) = 3.9, e0 = 8.854 # 10-14 F/cm, q = 1.6 # 10-19 C]
then decreases with further increase in IC The region under the gate is ion implanted for threshold
(D) is not a function of IC voltage tailoring. The dose and type of the implant
(assumed to be a sheet charge at the interface) required
Q156 A n -channel silicon (Eg = 1.1 eV) MOSFET was to shift the threshold voltage to - 1 V are
fabricated using n +poly-silicon gate and the threshold (A) 1.08 # 1012 /cm2 , p-type
voltage was found to be 1 V. Now, if the gate is changed (B) 1.08 # 1012 /cm2 , n-type
to p+ poly-silicon, other things remaining the same, the (C) 5.4 # 1011 /cm2 , p-type
new threshold voltage should be (D) 5.4 # 1011 /cm2 , n-type
(A) - 0.1 V (B) 0 V
(C) 1.0 V (D) 2.1 V

***********
To Get Updated Solved Paper by Whatsapp, Send Your
Branch and BTech year to 9414243489 by whatsapp
Chapter 5 Electronic Devices Page 161

SOLUTION
S1 Correct option is (A). S8 Correct answer is 4.836.
Donor energy level close to conduction band.

S2 Correct option is (C).


P : TRUE
Q: FALSE, As channel length reduces, output resistance
reduces
R: FALSE: As channel length reduces, threshold e = 12e 0 = 12 # 8.85 # 10-14 F/m
voltage reduces ND = 1016 cm-3 = 1022 m-3
NA = 1017 cm-3 = 1023 m-3
S: TRUE NA ND
V0 = kT ln ; n 2 E
q i
S3 Correct option is (D). 23 22
= 0.026 ln = 10 # 1016 2 G = 0.757 V
(1.5 # 10 )
S4 Correct option is (D).
(A) TRUE W = 2e V0 b 1 + 1 l
q NA ND
(B) TRUE
= 2 # 12 # 8.85 # 10-14 0.757 b 123 + 122 l
(C) TRUE 1.6 # 10-19
# 10 10
(D) FALSE = 3.325 # 10-8 m
rds ^onh = 1
= 3.325 # 10-6 cm
m n cos 6Vgs - VT @
W
ND w
L Wp =
NA + ND
S5 Correct option is (C) 22

Where Vr is cut in voltage = 2210 23 # 3.325 # 10-8


10 + 10
Vr > Vr > Vr
3 2 1
= 3.023 # 10-9 m
Vr \ Eg = 3.023 # 10-7 cm
So, EgZ > EgY > EgX Q = WP NA eA
Q
= WP NA e
A
= 3.023 # 10-7 # 1017 # 1.6 # 10-19
= 4.836 # 10-9 cm-2
= 4.836 nc - cm-2

S9 Correct answer is 28.35.


Drain conductance in saturation region is,
gd = 1 = l.ID
rd
ID = 1 m m Cox W 6Vgs - VT @
2
S6 Correct option is (A). 2 L
S7 Correct answer is 21 = 1 # 70 # 10-6 # 4 [1.8 V - 0.3 V] 2
2
Fill factor = 0.7
= 0.315 mA
gd = 0.09 # 0.315 mA/V
= Pmax = Pmax
PT ISC $ VOC gd = 28.35 # 10-6 A/V
" Pmax -3
= 63 # 10 W = 28.35 m Seimens
(PT " Theoretical power)
h max = Pmax = 63 # 10-3 W S10 Correct answer is 0.013.
Pin 2 # 100
100 # 10-3 cm
W
2# 3 cm
= 21%
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 162 Electronic Devices Chapter 5

50 kv/cm - 0
=; E
L-0
-19 17
= 1.6 # 10 # 10-14
11.7 # 8.85 # 10
& L = 3.2358 # 10-6 cm
= 3.2358 # 10-8 m
= 32.358 # 10-9 m
= 32.358 nm
dp
qD p = qm p pe
dx
S15 Correct answer is 1.2 Volts.
dp
m p VT = m p pe I 0 = m n cox W :^Vgs - VT h VDS - 1 V DS
2
D
dx L 2

e = VT
dp
p b NA gm = dID = m n cox W $ VDS
p dx dVgs L
-6
g
& m n cox W = m = 0.5 # 10-3
= VT dNA = VT d ln [NA (x)] L VDS 50 # 10
NA dx dx
= 10 # 10-6
log 10 x1 = 1 mm gd = dID
x1 = 101 mm = 0.001 cm dVgs
log 10 x2 = 2 mm = m n cox W $ 6Vgs - VT @
x2 = 102 mm = 0.01 cm L
ln (1014) = 32.23 8 # 10 = 10 # 10-6 7Vgs - VTA
-6
-6
ln (1016) = 36.84 Vgs - VT = 10 # 10-6
36.84 - 32.23 10 # 10
e = 0.026 : 0.01 - 0.001 D
& VT = Vgs - 0.8
= 0.0133/cm VT = 2 V - 0.8 V
= 1.2 V
S11 Correct option is (C). VT = 1.2 V
Pn (t) = Pn = Pn (0) e-t/t
0
p

at low level injuction Pn negative S16 Correct answer is 6.656 MA.


IC = AeDn dn
0

P (0)
GR = n dx
tn 0

Pn (0) = GR # t n = Aem n dn
0 0
dx
= 1.5 # 1020 # 0.5 # 10-6
IC = 0.001 # 1.6 # 10-19 # 800 # 0.026
= 7.5 # 1013 /cm3
10 4 - 0 n
At t = 0 #d
0.5 # 10-4
P (t) = Pn (0) .e0 = 7.5 # 1013 /cm3 IC = 6.656 mA
At t = 0.3 ms
0.3 S17 Correct answer is 1.55 to 1.65.
P (t) = Pn (0) e 0.1 = 3.73 # 1011 /cm3
C = eA
d
S12 Correct option is (B).
C = C1 C 2
C1 + C 2
S13 Correct option is (C). 4
# 3 #2010
= > 1 # 410 H 8.8521 # 10-12
-9 -9
2
V0 + VBR = eE CRIT : 1 + 1 D + 20
2q ND NA 1 # 10 -9 -9
3 # 10
= 2.5 # 109 e 0
;P + N " NA >> ND " N1 << N1 E C = er e 0
A D teq
VBR.ND = Constant teq = er e 0 = 4 # e 09
9
Since ECRIT is Constant 2.5 # 10 e 0 2.5 # 10 e 0
-9
= 1.6 # 10 m
S14 Correct answer is 32.358 nm. = 1.6 m
E = eND x & dE = eND
e dx e S18 Correct option is (A).
To Get Updated Solved Paper by Whatsapp, Send Your
Branch and BTech year to 9414243489 by whatsapp
Chapter 5 Electronic Devices Page 163

In case of Tunnel diode formed by PN junction, tunnel Lifetime, t n = t p = 100ms = 10-4 sec.
diode gives negative resistance and works in forward bias, Electron diffusion coefficient, Dn = 49 cm2 /s
and in tunnel diode both N and P regions are heavily Hole diffusion coefficient, D p = 36 cm2 /s
doped. Intrinsic carrier concentration, ni = 1 # 1010 cm-3
Applied forward voltage, Va = 208 mV
S19 Correct answer is 0.5208. The hole current density injected from P region to N
Since, we know region is given by
eD p pno
Resistivity ^r h = 1 exp d eva n - 1G
Lp =
Jp = (1)
conductivity (s) kT
Given doped with donor type impurities i.e. n -type. So, Now, we obtain the hole concentration in n -region as
2 20
conductivity will be given by pno = ni = 1 # 1015 = 105 cm-3
s N = em n N D ND 1 # 10
where e = 1.6 # 10-19 C and Lp = Dp t p = 36 # 10-4
(charge on an electron)
ND = 1016 /cm3 (given) = 6 # 10-2 cm
2
m n = 1200 cm /V s (given) Substituting the values in equation (1), we get
^1.6 # 10-19h^36h^105h
-

;exp b 26 l - 1E
Therefore, s N = 1.6 # 10-19 # 1200 # 1016 Jp = 208
= 1.92 ^6 # 10-2h
Hence, r = 1 = 1 = .5208 W-cm = 2.86 # 10-8 A/cm2
sN 1.92 = 28.6 nA/cm2
S20 Correct answer is 1.92.
S25 Correct option is (A).
Semiconductor is doped with phosphorous, so it is N type
Given NMOSFET circuit is
semiconductor.
Given, donor concentration = 1016 /cm3
At this donor concentration, mobility of electron, obtained
from the graph, is
= 1200 cm2 v-1 s-1
So, Conductivity = 6N = em n ND
= 1.6 # 10-19 # 1200 # 1016
= 1.92 cm-1

S21 Correct answer is 14.


Rate of generation is We have the following conditions
= 1020 electron hole pairs per cm3 per second. VGS - VTh > 0 (FET to be ON)
At steady state (at the end of lifetime) t = 1m sec , VGS - VTh # 0 (FET is OFF)
concentration of hole-electron pair in 1 m sec is VDD - VSS - VTh # 0 (FET is OFF)
= 1020 # 10-6 = 1014 Since it is in saturation, current ID is given by
^VGS - VTh h2
So, x = 14 ID = m n cos bW l
L 2
S22 Correct option is (D). ^VDD - VSS - VTh h2
or ID = m n cos bW l
On increasing the base width slope of the IC vs VCE curve L 2
decreases due to less ^IC h. Hence, decrease in slope means Thus, ID -VSS graph shows Parabolic relation for
increase in early effect. So, early voltage will increase. VSS < VDD - VTh and zero for VSS > VDD - VTh . Only graph
shown in option (A) satisfies this result.
S23 Correct option is (D).
Dry oxidation is better then wet oxidation, so dry oxidation S26 Correct answer is 20.
is always preferred. Given ID = 1 mA ; VDS = 0.5 V ;
l = 0.05 V-1
S24 Correct answer is 28.6. (channel length modulation factor)
Given Since, ID = IDsat ^1 + lVDS h
Acceptor concentration, NA = 1 # 1017 cm-3 So, dI D
= IDsat ^lh
dVDS
Donor concentration, ND = 1 # 1015 cm-3
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 164 Electronic Devices Chapter 5

Hence, Rout = 1 = 1 = 20 kW Also, we may define


lIDsat 0.05 # 10-3 IC = bIB
S27 Correct answer is 2.5. or IB = IC
b
Given Vbi = 0.75 = built in potential
and IE = (b + 1) IB
Since CJ = e r So, for maximum IE , IB and b should be maximum.
W
er Therefore, we obtain
or CJ =
2e r ^Vbi + VRh Na + Nd 1/2 (b + 1) IC
) e b Na Nd l3 IE = (b + 1) IB =
b
1 b+1 b+1
or CJ \ IE = (IC ) = (10-15 A) exp (28)
Vbi + VR b b
So, we may write Here, we will take b = 50 for maximum IE . Hence,
IE = 1475.51 mA
5 pF .75 V + 7.25 = 8
=
CJ2 .75 V + 1.25 V 2
S32 Correct answer is 0.022.
Hence, CJ2 = 2.5 pF .
Given transistor is in saturation region, and
Current = 1 mA at VDS = 5 V
S28 Correct answer is 4.33. Current = 1.02 mA at VDS = 6 V
The maximum capacitance per unit area is given by Assuming VG constant, current in saturation region is
C max = e ox
t ox ID = k (VGS - VTN ) 2 (1 + lVDS )
2
C min occurs at maximum value of xd (width). When both ID = (1 + lVDS )
1 1
capacitance are in parallel. So, we have ID = (1 + lVDS )
e ox es 2 2

a tox ka xd max k
(1 + lVDS )
C min =
e ox es So, 1.02 mA = 2

a tox k + a xd max k 1 mA (1 + lVDS )1

Hence, we obtain the ratio as 1.02 = + 6l


1
1 + 5l
R e ox V
S a k + a e s kW l = 0.022 V-1
C max = e ox S tox xd max
a tox k W
C min SS a ka e s k WW
e ox
tox xd max S33 Correct option is (C).
Tx X
= ox ox d max + e s tox
e e We know that the electric field is the negative gradient of
tox e ox e s electric potential, i.e.
= <1 + xd max e ox F = ;1 + 100 # 1 E = 4.33 E = - dV
tox e s 10 3 dx
S29 Correct option is (C). or V =- # Edx
S30 Correct answer is 100.
v
Ev = V = 10 V = 10 V/cm
d 1 cm
Given Vapplied = 10 V
d = 1 cm (length)
Vd = drift velocity = mEv
= 1000 cm2 V-1 S-1 # 10 V/cm
= 10 4 cm/s
length
time = = 14 cm = 10-4 sec Area under the curve given in figure will give the built in
Vd 10 cm/s
= 100 m sec potential as
Ubi = 1 (0.1) mm # 10 4 V/cm + 1 # 1 mm # 10 4
2 2
S31 Correct answer is 1475.5.
Collector current is given by = 1 (0.1) # 10-6 # 10 4 # 100 + 1 # 10-4 # 10 4 m
2 2
VBE 700 mV
IC = IS exp : VT D = 1015 A exp : 25 mV D = 0.55 V
In option (C) it is given as 700 mV, so it is not true.
= 10-15 A exp [28]
To Get Updated Solved Paper by Whatsapp, Send Your
Branch and BTech year to 9414243489 by whatsapp
Chapter 5 Electronic Devices Page 165

S34 Correct answer is 8. i.e. the recombination rate is directly proportional to


The responsivity is define as excess minority carrier concentration.
R = IP
P S41 Correct answer is 13.
where IP is photocurrent, and P is optical power incident For a semiconductor, we have the relation
on photodiode. Hence, D = kT
IP = PR = ^10 mWh^0.8 A/Wh m q
= 10 # 10-6 # 0.8 = 8 # 10-6 A = 8 mA mkT
Hence, D= = 500 # 26 # 10-3 = 13
q
S35 Correct option is (A). S42 Correct option is (A).
If fixed positive charges are present is the gate oxide of an Given Eg = 1.42 eV
n -channel enhancement type MOSFET, it will lead to a Now, the energy generated by the photon with wavelength
decrease in the threshold voltage. l is given by
E = hc
S36 Correct option is (D). l
Given the concentration of donor impurities, In order to generate electron-hole pairs, energy of photon
ND = 2.25 # 1015 atoms/cm3 should be greater than the band gap, i.e.
Intrindic carrier concentration, E > Eg
ni = 1.5 # 1010 cm-3 or hc > Eg
Since, we have lc
ND >> ni 1242 ^eVnmh
or $ Eg (nm)
So, the equilibrium electron concentration is l ^nmh
n 0 = ND = 2.25 # 1015 cm-3
or l < 1242 = 1242 = 874.6 nm
Hence, using mass action law, we obtain the hole Eg 1.42
concentration as Hence, l c < 0.874 mm

n 2
^1.5 # 1010h2 5 -3
S43 Correct option is (A).
p0 = i
= 15 = 1 # 10 cm
no 2.25 # 10
Conductivity in intrinsic semiconductor is defined as
S37 Correct option is (B). s = ^m p ni e + m n ni e h

and ri = 1 (1)
S38 Correct option is (D).
eni ^m p + m n h
S39 Correct option is (C). Also, we have
ni = KT 3/2 e-E /2kT
g
(2)
S40 Correct option is (D). Taking logarithm of both sides in equation (1),
For p-type material, the concentration profile appears as ln ^r i h =- ln ^ni h - ln ^m p + m n h e

=- ln ^kT 3/2 e-E /2kT h - ln ^m p + m n h e


g

E
=- ln k - 3 ln T + g - ln ^m p + m n h e
2 2kT
In the given graph, ln ^r i h is a linear function (approx.) of
1/T . So, we have
E
ln ^r i h = g + constant
2kT
Hence, the slope of the graph will be equal to slope
Since Pn >> ni Eg
All recombination rate is directly proportional to the area =
2k
under diffusion curve. Also, we have area under diffusion Thus, the slope of the line can be used to estimate band
curve of majority carrier is very less than that of minority gap energy of silicon.
carrier. So,
Recombination rate \ A2 = 1 Tn w S44 Correct answer is 1.129.
2
Given Eg = 1.1 eV
or R \ Tn
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 166 Electronic Devices Chapter 5

The photon energy is given by


Oxidation capacitance, COX = 10-8 F/cm2
E = hc = 1242 eV nm
-

l l ^nmh mobility, m n = 800 cm2 /V -s


Hence, the cut-off wavelength is obtained as So, we have
Eg = E VGS > VTH
VDS > VGS - VTH
1.1 = 1242 eV nm
-
or
l ^nmh Therefore, the MOSFET operates in saturation region.
or l c = 1242 = 1242 = 1129.09 nm Hence, the drain current is given by
Eg 1.1 ID = Kn ^VGS - VTH h2
l c = 1.129 mm m C
Hence, = n ox W ^VGS - VTH h2
2 L
S45 Correct answer is 5.785. The transconductance is obtained as
m C
Given the base-emitter voltage, gm = 2ID = n ox W 2 ^VGS - VTH h
VBE = 0.7 V 2VGS 2 L
Thermal voltage, VT = kT = 25 mV
q = m n Cox W ^VGS - VTH h
L
Saturation current, IS = 10-13 A So, we obtain the resistance of voltage controlled resistor
We define the collector current as as
IC = IS ^eV /V - 1h
BE T

rd = 21I = 1
So, the transconductance is given as m n Cox ^VGS - VTH h
W
D
2VGS
L
gm = 2IC 1
2VBE =
V /V 800 # 10 # 100 ^2 + 0.5h
-8

= IS e
BE T

VT = 500 W
-3
-13 0.7/25 # 10
= 10 e S48 Correct answer is 4000 A/cm2 .
25 # 10-3
Given the electronic charge, q = 1.6 # 10-19 C
= 5.785 A/V
electron mobility, m n = 1000 cm2 /V -S
S46 Correct option is (A). electron concentration gradient, dn = 1 # 1021 /cm 4
dx
Given the doping concentration on p-side, Thermal voltage, kT = 25 mV
NA = 1 # 1016 cm-3 q
Now, from einstein equation, we have
Forward bias voltage, Dn = kT
V = 0.3 V mn q
Intrinsic carrier concentration,
Dn = 25 # 10-3 Volt
ni = 1.5 # 1010 cm-3 &
1000 cm2 /V- S
Thermal voltage, VT = kT = 26 mV Dn = 25 cm2 /S
q &
Hence, the diffusion current density is
So, the equilibrium electron concentration on the p-side is
2
^1.5 # 1010h
2 Jn = qDn dn
n0 = n 1 = dx
NA 1 # 1016 = 1.6 # 10-19 # 25 # 1021
= 2.25 # 10 4 cm-3 = 4000 A/cm2
Therefore, the electron at the edge of the depletion region
on the p-side is obtained as S49 Correct answer is 30.7.
n = n 0 eV /V
bi T
Given the depletion region width of N-side of the function,
= ^2.25 # 10 4h e0.3/26 # 10
-3

xn = 0.2 mm = 0.2 # 10-4 cm


= 2.3 # 109 cm-3 permittivity of silicon,
e = 1.044 # 10-12 F/cm
S47 Correct answer is 500. Donor concentration,
For the depletion type n -channel MOSFET, we have ND = 1016 /cm3
Thresheld voltage, VTH =- 0.5 V Since, we have NA >> ND and we know that
gate-to-source voltage VGS = 2 V xn N D = x p N A
Drain-to-source voltage VDS = 5 V So, the deption region width in p-side is much less than
Width to length ratio, W = 100 that on N -side, i.e.
L
To Get Updated Solved Paper by Whatsapp, Send Your
Branch and BTech year to 9414243489 by whatsapp
Chapter 5 Electronic Devices Page 167

x p << xn 2 # ^1.04 # 10-12h^0.858h ^5 # 1018h + 1016


=
Therefore, the electric field is given as ^1.6 # 10-19h ^5 # 1018h # 1016
E = eND xn = 3.3 # 10-5 cm
e
(1.6 # 10-19) (1016) (0.2 # 10-4) S52 Correct answer is 0.071.
=
1.044 # 10-12 In linear region, drain current is defined as
= 0.3065 # 105 V/cm ID = K 82 ^VGS - VT h VDS - V DS
2
B (1)
= 30.7 kV/cm and drain current in saturation region is defined as
ID = K ^VGS - VT h2 (2)
S50 Correct option is (B). At VDS = 0.1 v, linear region we have
Given the doping concentrations, NA = 9 # 1016 cm-3 , dID = 10-3
ND = 1 # 1016 cm-3 dVGS
The permittivity of silicon is e = 1.04 # 10-12 F/cm Applying is to equation (1),
Total depletion width, W = 3 mm = 3 # 10-4 cm 10-3 = 2K ^0.1h
Let the depletion width on n -side and p-side be xn and x p or K = 0.5 # 10-2
respectively. So, we have Again, at VDS = 0.1 V in saturation region,
xn + x p = W = 3 # 10-4 cm ...(1) We have
Again, we have the relation d ID = ?
dVGS
xn N D = x p N A
&xn (1 # 1016) = x p (9 # 1016) Since, ID = K ^VGS - VT h
& xn = 9x p ...(2) So, d ID = K = 0.5 10-2 = 0.071
dVGS #
Substituting it in equation (i), we get
9x p + x p = 3 # 10-4 S53 Correct answer is 2.4.
-4
x p = 3 # 10 We have the MOS architecture as
10
= 0.3 # 10-4 cm = 0.3 mm
Hence, the maximum electric field in depletion region is
given as
eN x
E max = A p
e
(1.6 # 10-19) # (9 # 1016) # (0.3 # 10-4)
=
1.04 # 10-2
= 4.15 # 105 V/cm

S51 Correct option is (D). The electric fields ESiO and ESi can be obtained using
2
Given boundary conditions. We have
Donor impurity concentration ND = 1 # 1016 cm-3 e
e r,SiO ESiO = r, si E Si
Acceptor impurity concentration, NA = 5 # 1018 cm-3 2 2
e r, SiO
2

Intrinsic carrier concentration, ni = 1.5 # 1010 cm-3 or E SiO = 12 ESi = 3ESi (1)
2
4
-12
Permittivity of silicon, e si = 1.04 # 10 F/cm
Area under ESi - x graph gives the channel potential, i.e.
Thermal voltage, kT = 26 mv Vt = 1 Esi # w
q 2

So, we obtain the built in potential So, Esi = 0.2 # 2-6 = 4 # 106 V/m
0.5 # 10 5
Vbi = kT ln dVa V
2 n
d
Substituting it in equation (1), we get
q ni
16 18 ESiO = 3 # 4 # 106 V/m
= 26 # 10-3 ln d 10 # 5 # 10 n 5
2

2.25 # 1020
= 0.858 V = 12 V/mm = 2.4 V/mm
5
Hence, the total space change width is obtained as
2e s Vbi Na + Nd S54 Correct answer is 225.
q b Na + Nd l
W Given ND = 1 # 1015 /cm3
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 168 Electronic Devices Chapter 5

NA = 1 # 1018 /cm3 will be same throughout the sample.


ni = 1.5 # 1010 /cm3 Also, we have VA > VB . Since electron flows from lower
Since, NA >> ND , the sample is of p-type. So, we have potential to higher, so the electron will flow from B to A
p = NA = 1018 . Hence, the energy of electron at B will be higher than
Now, we have the relation that at A.
np = n i2 Thus, from above conclusions, we obtain the energy band
20 diagram for the biased N -type semiconductor as
Hence, n = 2.25 #1810 = 225
10
S55 Correct answer is 380.28.
Consider the BJT circuit shown below.

S57 Option (A) is correct.


The potential barrier of the pn junction is lowered when a
forward bias voltage is applied, allowing electrons and holes
to flow across the space charge region (Injection) when
The collector current is related with VBE as holes flow from the p region across the space charge region
IC = Is eV /VBE T
(1) into the n region, they become excess minority carrier
where Is is saturation current, which is proportional to holes and are subject to diffuse, drift and recombination
area of the transistor. processes.
For Q1 transistor, A1 = 0.2 # 0.2
For Q2 transistor, A2 = 300 # 300 S58 Option (D) is correct.
In IC technology, dry oxidation as compared to wet
So, Is1 = 0.2 # 0.2 (2)
Is 2 300 # 300 oxidation produces superior quality oxide with a lower
Now, from equation (1), we have growth rate
IC 1 = Is1 eV /V BE 1 T

S59 Option (D) is correct.


VBE2 /VT
and IC 2 = Is 2 e In a MOSFET operating in the saturation region, the
channel length modulation effect causes a decrease in
Given that Ic1 = Ic2
output resistance.
or Is1 eV BE1 /VT
= Is2 eV BE2 /VT

S60 Option (A) is correct.


or e ^VBE1 - VBE2h/VT
= Is2 Given,
Is1
VB = 2V
Hence, ^VBE1 - VBE2h = VT ln c Is2 m VTN = 1V
I s1
So, we have
= VT ln b 300 # 300 l = 380.28 Drain voltage VD = 2 volt
0.2 # 0.2
VG = 2 volt
S56 Correct option is (D). VS = 0 (Ground)
We redraw the given biased circuit of N -type semiconductor Therefore, VGS = 2 > VTN
as and VDS = 2 > VGS - VTN
So, the MOSFET is in the saturation region. Therefore,
drain current is
ID = kN ^VGS - VTN h2

ID = kN ^VB - 1h
2
or,
Differentiating both side with respect to ID
1 = kN 2 ^VB - 1hdVB
Here, the material in only of N -type semiconductor, so dID
the separation between conduction band and fermi level Since, VBQ = 2 volt (at D.C. Voltage)
Hence, we obtain
To Get Updated Solved Paper by Whatsapp, Send Your
Branch and BTech year to 9414243489 by whatsapp
Chapter 5 Electronic Devices Page 169

dVB = 1 mp COX W
2 b L l8 SD ^ SG
Since, I1 = 2V V - VTp h - V SD 2
B
dID 2kN ^VB - 1h
1 m C
=
2 # 40 # 10-6 # ^2 - 1h & 0 = p OX bW l [2VSD ^VSG - VTp h - V SD
2
]
2 L
= 12.5 # 103 W = 12.5 kW Solving it we get,
2 ^VSG - VTp h = VSD
Get More Study Material & 2 ^5 - Vin - 1h = 5 - VD
Vin = VD + 3
by Whatsapp. Send &
For
2
I1 = 0 , VD = 5 V
Your College Pin Code, So, Vin = 5 + 3 = 4 V
2
So for the NMOS
Branch and BTech year to VGS = Vin - 0 = 4 - 0 = 4 V and
VGS > VTn
9414243489 by whatsapp So it can’t be in cutoff region.
Case 2 : M2 must be in saturation region.
So, I1 = I 2
S61 Option (D) is correct. mp COX W m C
6 @ = n OX W (VGS - VTn) 2
2
For the semiconductor, n 0 p 0 = n i2 2 (VSG - VTp) VSD - V SD
2 20
2 L 2 L
p 0 = n i = 1019 = 10 per cm3 & 2
2 (VSG - VTp) VSD - V SD = (VGS - VTn) 2
n 0 10
& 2 (5 - Vin - 1) (5 - VD) - (5 - VD) = (Vin - 0 - 1) 2
2
Volume of given device, V = Area # depth
& 2 (4 - Vin) (5 - VD) - (5 - VD) 2 = (Vin - 1) 2
= 1 mm 2 # 1 mm
Substituting VD = VDS = VGS - VTn
= 10-8 cm2 # 10-4 cm
and for N -MOS & VD = Vin - 1
= 10-12 cm3
& 2 (4 - Vin) (6 - Vin) - (6 - Vin) 2 = (Vin - 1) 2
So total no. of holes is,
& 48 - 36 - 8Vin =- 2Vin + 1
p = p 0 # V = 10 # 10-12
& 6Vin = 11
= 10-11
Which is approximately equal to zero. & Vin = 11 = 1.833 V
6
So for M2 to be in saturation Vin < 1.833 V or Vin < 1.875 V
S62 Option (A) is correct.
Given the circuit as below : S63 Option (B) is correct.
Gate source overlap capacitance.
Co = dWeox e0 (medium Sio 2 )
tox
-9 -6 -12
= 20 # 10 # 1 # 10 #-93.9 # 8.9 # 10
1 # 10
= 0.69 # 10-15 F

S64 Option (B) is correct.


Source body junction capacitance.
Cs = Aer e0
Since all the parameters of PMOS and NMOS are equal. d
So, mn = mp A = (0.2 mm + 0.2 mm + 0.2 mm) # 1 mm
COX b l = COX bW l = COX bW l
W + 2 (0.2 mm # 0.2 mm)
L M1 L M2 L = 0.68 mm2
Given that M1 is in linear region. So, we assume that M2 d = 10 nm (depletion width of all junction)
-12
is either in cutoff or saturation. Cs = 0.68 # 10 # 11.7 -# 8.9 # 10-12
9
Case 1 : M2 is in cut off 10 # 10
-15
So, I 2 = I1 = 0 = 7 # 10 F
Where I1 is drain current in M1 and I2 is drain current in
M2 .
S65 Option (C) is correct.
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 170 Electronic Devices Chapter 5

Drift current Id = qnmn E At room temperature mobility of electrons for Si sample is


It depends upon Electric field E and carrier concentration given mn = 1350 cm2 /Vs . For an n -channel MOSFET to
n create an inversion layer of electrons, a large positive gate
voltage is to be applied. Therefore, induced electric field
S66 Option (B) is correct. increases and mobility decreases.
Zener diode operates in reverse breakdown region. So, Mobility mn < 1350 cm2 /Vs for n -channel MOSFET

S71 Option (B) is correct.


Dry oxidation is used to achieve high quality oxide growth.

S72 Option (B) is correct.


Emitter injection efficiency is given as
g= 1
1 + NB
NE
To achieve g = 1, NE >> NB
S67 Option (D) is correct.
For every 1c C increase in temperature, forward bias S73 Option (C) is correct.
voltage across diode decreases by 2.5 mV. Thus for 10c C Reverse bias breakdown or Zener effect occurs in highly
increase, there us 25 mV decreases. doped PN junction through tunneling mechanism. In a
highly doped PN junction, the conduction and valence
S68 Option (B) is correct. bands on opposite sides of the junction are sufficiently
Full channel resistance is close during reverse bias that electron may tunnel directly
r L from the valence band on the p-side into the conduction
r # = 600 W ...(1)
W#a
band on n -side.
If VGS is applied, Channel resistance is
r L Breakdown voltage VB \ 1
VGS NA ND
where b = a c1 -
Vp m
rl = #
W#b
So, breakdown voltage decreases as concentration increases
Pinch off voltage, Depletion capacitance
qN ees NA ND 1/2
Vp = D a2 ...(2) C =' 1
2e 2 (Vbi + VR) (NA + ND)
If depletion on each side is d = 1 μm at VGS = 0 . Thus C \ NA ND
qN Depletion capacitance increases as concentration increases
Vj = D d2
2e
qN qND S74 Option (C) is correct.
or 1 = D (1 # 10-6) 2 & = 1012
2e 2e
Sample is in thermal equilibrium so, electric field
Now from equation (2), we have
Vp = 1012 # (5 # 10-6) 2 E = 1 = 10 kV/cm
or Vp =- 25 V 1 mm
At VGS =- 3 V ; S75 Option (A) is correct.
b = 5 b1 - - 3 mm = 3.26 mm
- 25 l Electron drift current density
Jd = ND mn eE
rL rL a = 600 5
rl = = = 1016 # 1350 # 1.6 # 10-19 # 10 # 1013
W # b Wa # b # 3.26
= 2.16 # 10 4 A/cm2
= 917 W
S76 Option (C) is correct.
S69 Option (C) is correct. Only dopant atoms can have concentration of 4 # 1019 cm
- 3 in n -type silicon at room temperature.
At VGS = 0 V , b = 4 mm since 2b = 8 mm
rL a = 600 5 = 750 W S77 Option (A) is correct.
Thus rl =
Wa # b #4
2
Unit of mobility mn is = cm
V. sec
S70 Option (A) is correct. 2
Unit of diffusion current Dn is = cm
sec
To Get Updated Solved Paper by Whatsapp, Send Your
Branch and BTech year to 9414243489 by whatsapp
Chapter 5 Electronic Devices Page 171

m 2 2 E2 - E1 = kT ln NA
Thus unit of n is = cm / cm = 1 = V-1 ni
Dn V $ sec sec V 17
NA = 4 # 10
ni = 1.5 # 1010
S78 Option (D) is correct. 17
E2 - E1 = 25 # 10-3 e ln 4 # 10 10 = 0.427 eV
Both S1 and S2 are true and S2 is a reason for S1. 1.5 # 10
Hence fermi level goes down by 0.427 eV as silicon is
S79 Option (B) is correct. doped with boron.
We know that
NA WP = ND WN S87 Option (C) is correct.
NA = ND WN
2
or
WP Pinch off voltage VP = eW ND
es
17 -6
= 1 # 10 # 0.1-6# 10 = 1 # 1016 Let VP = VP1
1 # 10 V 2 2

The built-in potential is


Now P1
= W12 = W 2
VP2 W2 (2W)
Vbi = VT lnc NA N
n i2 m
D
or 4VP1 = VP2
17 16
Initial transconductance
= 26 # 10-3 ln e 1 # 10 # 1 10
# 10 = 0.760
o gm = Kn ;1 - Vbi - VGS E
(1.4 # 10 ) 2 Vp
For first condition
S80 Option (B) is correct. 0 - (- 2)
gm1 = Kn =1 - 2
VP1 G
= Kn ;1 -
The peak electric field in device is directed from p to n VP1 E
and is For second condition
E =- eND xn from p to n 0 - (- 2) 2
gm2 = Kn =1 -
VP2 G
= K2 ;1 -
es 4VP1 E
= eND xn from n to p g 1 - 2/VP1
es Dividing m1 = f p
-19 17 -5 gm2 1 - 1/ (2VP1)
= 1.6 # 10 # 1 #-10 14
# 1 # 10 = 0.15 MV/cm
Hence VP = VP1
8.85 # 10 # 12

S81 Option (D) is correct. S88 Option (A) is correct.


Channel length modulation is not associated with a
S89 Option (D) is correct.
p - n junction. It is being associated with MOSFET in
As per mass action law
which effective channel length decreases, producing the
np = ni2
phenomenon called channel length modulation.
If acceptor impurities are introduces
p = NA
S82 Option (A) is correct.
Thus nNA = ni2
Trivalent impurities are used for making p - type 2

semiconductors. So, Silicon wafer heavily doped with or n = ni


NA
boron is a p+ substrate.
S90 Option (C) is correct.
S83 Option (D) is correct. The electric field has the maximum value at the junction
Oxidation rate is zero because the existing oxide prevent of p+ n .
the further oxidation.
S91 Option (B) is correct.
S84 Option (B) is correct. Zener diode and Avalanche diode works in the reverse bias
gm = 2ID = 2 K (VGS - VT ) 2 = 2K (VGS - VT ) and laser diode works in forward bias.
2VGS 2VGS
In solar cell diode works in forward bias but photo current
S85 Option (C) is correct. is in reverse direction. Thus
As VD = constant Zener diode : Reverse Bias
Thus gm \ (VGS - VT ) Which is straight line. Solar Cell : Forward Bias
Laser Diode : Forward Bias
S86 Option (C) is correct. Avalanche Photo diode : Reverse Bias
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 172 Electronic Devices Chapter 5

S92 Option (C) is correct.


In BJT as the B-C reverse bias voltage increases, the B-C
space charge region width increases which xB (i.e. neutral
base width) > A change in neutral base width will change
the collector current. A reduction in base width will causes
the gradient in minority carrier concentration to increase,
which in turn causes an increased in the diffusion current.
This effect si known as base modulation as early effect.
In JFET the gate to source voltage that must be applied to When applied voltage is 0 volts, there will be no depletion
achieve pinch off voltage is described as pinch off voltage region and we get
and is also called as turn voltage or threshold voltage. C1 = 7 pF
In LASER population inversion occurs on the condition When applied voltage is V , a depletion region will be
when concentration of electrons in one energy state formed as shown in fig an total capacitance is 1 pF. Thus
is greater than that in lower energy state, i.e. a non CT = 1 pF
equilibrium condition. or CT = C1 C2 = 1 pF
C1 + C2
In MOS capacitor, flat band voltage is the gate voltage 1 = 1 + 1
or
that must be applied to create flat ban condition in which CT C1 C2
there is no space charge region in semiconductor under Substituting values of CT and C1 we get
oxide. C2 = 7 pF
Therefore 6
- 12 -4
BJT : Early effect Now D2 = e0 er2 A = 1 # 710 #- 1210 = 6 # 10 - 4 cm
MOS capacitor : Flat-band voltage C2 6 # 10
7
LASER diode : Population inversion = 0.857 mm
JFET : Pinch-off voltage
S97 Option (C) is correct.
S93 Option (A) is correct. Depletion region will not be formed if the MOS capacitor
W = K V + VR has n type substrate but from C-V characteristics, C
Now 2m = K 0.8 + 1.2 reduces if V is increased. Thus depletion region must be
From above two equation we get formed. Hence S1 is false
W = 0.8 + 7.2 = 8 = 2 If positive charges is introduced in the oxide layer, then to
2m 0.8 + 1.2 2 equalize the effect the applied voltage V must be reduced.
or W2 = 4 m m Thus the C - V plot moves to the left. Hence S2 is true.
S94 Option (B) is correct. S98 Option (C) is correct.
b
a= = 50 = 50 For the case of negative slope it is the negative resistance
b + 1 50 + 1 51
region
Current Gain = Base Transport Factor # Emitter
injection Efficiency
a = b1 # b2
or b1 = a = 50 = 0.985
b2 51 # 0.995

S95 Option (A) is correct.


At low voltage when there is no depletion region and
capacitance is decide by SiO2 thickness only,
C = e0 er1 A S99 Option (A) is correct.
D For n -type p is minority carrier concentration
-13
or D = e0 er1 A = 3.5 # 10 -# 10-4 = 50 nm np = ni2
C 7 # 10 12
np = Constant Since ni is constant
p\ 1
S96 Option (B) is correct. n
The construction of given capacitor is shown in fig below Thus p is inversely proportional to n .
To Get Updated Solved Paper by Whatsapp, Send Your
Branch and BTech year to 9414243489 by whatsapp
Chapter 5 Electronic Devices Page 173

S100 Option (A) is correct. S106 Option (C) is correct.


Diffusion current, since the drift current is negligible for For silicon at 0 K,
minority carrier. Eg0 = 1.21 eV
At any temperature
S101 Option (B) is correct. EgT = Eg0 - 3.6 # 10 - 4 T
In BJT as the B-C reverse bias voltage increases, the B-C At T = 300 K,
space charge region width increases which xB (i.e. neutral Eg300 = 1.21 - 3.6 # 10 - 4 # 300 = 1.1 eV
base width) > A change in neutral base width will change This is standard value, that must be remembered.
the collector current. A reduction in base width will causes
S107 Option (B) is correct.
the gradient in minority carrier concentration to increases,
which in turn causes an increases in the diffusion current. The reverse saturation current doubles for every 10cC rise
This effect si known as base modulation as early effect. in temperature as follows :
I0 (T) = I 01 # 2(T - T )/10
1

S102 Option (A) is correct. Thus at 40c C, I0 = 40 pA


For t < 0 diode forward biased and VR = 5 . At t = 0 diode
S108 Option (A) is correct.
abruptly changes to reverse biased and current across
Silicon is abundant on the surface of earth in the from of
resistor must be 0. But in storage time 0 < t < ts diode
SiO2 .
retain its resistance of forward biased. Thus for 0 < t < ts
it will be ON and S109 Option (B) is correct.
VR =- 5 V sn = nqmn
sp = pqmp (n = p)
S103 Option (B) is correct. sp m
According to Hall effect the direction of electric field is = p =1
sn mn 3
same as that of direction of force exerted.
E =- v # B S110 Option (B) is correct.
or E = B#v
C = e0 er A
d
S104 Option (B) is correct. C = e0 er = 8.85 # 10-12 # 11.7 = 10.35 m F
or
The varacter diode is used in tuned circuit as it can provide A d 10 # 10-6
frequently stability.
PIN diode is used as a current controlled attenuator. S111 Option (B) is correct.
Zener diode is used in regulated voltage supply or fixed In accumulation mode for NMOS having p -substrate,
voltage reference. when positive voltage is applied at the gate, this will
Schottkey diode has metal-semiconductor function so it induce negative charge near p - type surface beneath the
has fast switching action so it is used as high frequency gate. When VGS is made sufficiently large, an inversion
switch of electrons is formed and this in effect forms and n -
Varactor diode : Tuned circuits channel.
PIN Diode : Current controlled attenuator
Zener diode : Voltage reference S112 Option (C) is correct.
Schottky diode : High frequency switch From the graph it can be easily seen that Vth = 1 V
Now VGS = 3 - 1 = 2 V
S105 Option (D) is correct. and VDS = 5 - 1 = 4 V
mP Since VDS > VGS $ VDS > VGS - Vth
We have = 0.4
mn Thus MOSFET is in saturation region.
Conductance of n type semiconductor
sn = nqmn S113 Option (C) is correct.
Conductance of intrinsic semiconductor Trivalent impurities are used for making p type
si = ni q (mn + mp) semiconductor. Boron is trivalent.
s nmn n
Ratio is n
= =
si ni (mn + mp) ni ^1 + mm hp
n
S114 Option (A) is correct.
= 4.2 # 108 = 2 # 10 4 Here emitter base junction is forward biased and base
1.5 # 10 4 (1 + 0.4)
collector junction is reversed biased. Thus transistor is
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 174 Electronic Devices Chapter 5

operating in normal active region. S121 Option (C) is correct.


Increase in gate oxide thickness makes difficult to induce
S115 Option (D) is correct. charges in channel. Thus VT increases if we increases gate
We have b= a oxide thickness. Hence S1 is false.
1-a
Increase in substrate doping concentration require more
Thus a -" b -
gate voltage because initially induce charges will get
a ." b .
combine in substrate. Thus VT increases if we increase
If the base width increases, recombination of carrier in base
substrate doping concentration. Hence S2 is false.
region increases and a decreases & hence b decreases. If
doping in base region increases, recombination of carrier
S122 Option (D) is correct.
in base increases and a decreases thereby decreasing b .
We know that
Thus S1 is true and S2 is false. ID = K (VGS - VT ) 2
2
Thus IDS = (VGS2 - VT )
S116 Option (C) is correct. IDI (VGS1 - VT ) 2
Substituting the values we have
S117 Option (A) is correct. 2
ID2 = (3 - 1) = 4
Applying KVL we get
ID1 (2 - 1) 2
VCC - IC RC - VCE = 0
or ID2 = 4IDI = 4 mA
or IC = VCC - VCE = 3 - 0.2 = 2.8 mA
RC 1k
S123 Option (A) is correct.
Now IB = IC = 2.8m = 56 mA
b 50 Eg \ 1
l
Eg2
S118 Option (B) is correct. Thus = l1 = 1.1
Eg1 l2 0.87
We know that 1 . 1
Wp NA = Wn ND or Eg2 = # 1.12 = 1.416 eV
0.87
or Wp = Wn # ND
NA
S124 Option (B) is correct.
3 m # 1016
= = 0.3 m m Concentration gradient
9 # 1016
dn = 1014 = 2 # 1018
dx 0.5 # 10 -4
S119 Option (B) is correct.
q = 1.6 # 10 - 19 C
Conductivity s = nqun
Dn = 25
or resistivity r= 1 = 1 dn = 1014
s nqmn
dx 0.5 # 10 - 4
Thus n= 1
qrmn JC = qDn dn
dx
= 1
1.6 # 10 -19 # 0.5 # 1250 = 1.6 # 10 - 19 # 25 # 2 # 1018 = 8 A/cm 2
= 1016 /cm3
For n type semiconductor n = ND S125 Option (D) is correct.
Pentavalent make n -type semiconductor and phosphorous
S120 Option (D) is correct. is pentavalent.
We know that
eeS NA ND S126 Option (C) is correct.
1

Cj = ;
2 (Vbi + VR)( NA + ND) E
2

For silicon at 0 K Eg0 = 1.21 eV


Thus Cj \ 1 At any temperature
(Vbi + VR) EgT = Eg0 - 3.6 # 10 - 4 T
C j2 (Vbi + VR) 1 1 =1 At T = 300 K,
Now = =
C j1 (Vbi + VR) 2 4 2 Eg300 = 1.21 - 3.6 # 10 - 4 # 300 = 1.1 eV
C This is standard value, that must be remembered.
or Cj2 = j1 = 1 = 0.5 pF
2 2
S127 Option (A) is correct.
To Get Updated Solved Paper by Whatsapp, Send Your
Branch and BTech year to 9414243489 by whatsapp
Chapter 5 Electronic Devices Page 175

-34
By Mass action law 8
= 6.626 # 10 # -310# 10 = 3.62 J
np = ni2 54900 # 10
2 16
p = ni = 1.5 # 10 # 120.5 # 1016 = 4.5 # 1011 Eg (J) -19
In eV Eg (eV) = = 3.62 # 10-19 = 2.26 eV
n 5 # 10 e 1.6 # 10
Alternatively
S128 Option (C) is correct. Eg = 1.24 eV = 1.24 = 2.26 eV
Tunnel diode shows the negative characteristics in forward l (mm) 5490 # 10-4 mm
bias. It is used in forward bias.
Avalanche photo diode is used in reverse bias. S135 Option (D) is correct.
We know that
S129 Option (D) is correct. ID = K (VGS - VT ) 2
2
Thus ID2 = (VGS2 - VT )
S130 Option (A) is correct. ID1 (VGS1 - VT ) 2
rl Substituting the values we have
We that R = , r = 1 and a = nqun
A s 2
ID2 = (1.4 - 0.4) = 4
From above relation we have ID1 (0.9 - 0.4) 2
R= 1 or ID2 = 4IDI = 4 mA
nqmn A
= 0.1 # 10 - 2 S136 Option (B) is correct.
5 # 10 # 1.6 # 10 - 19 # 0.13 # 100 # 10 - 12
20
In n -well CMOS fabrication following are the steps :
= 106 W (A) n - well implant
(B) Source drain diffusion
S131 Option (D) is correct. (C) Metalization
dn = 6 # 1016 - 1017 =- 2 # 1020 (D) Passivation
dx 2 # 10 - 4 - 0
Now Jn = nqme E + Dn q dn S137 Option (D) is correct.
dx For a JFET in active region we have
Since no electric field is present, E = 0 and we get
IDS = IDSS c1 - VGS m
2

So, Jn = qDn dn VP
dx From above equation it is clear that the action of a
= 1.6 # 10 - 19 # 35 # (- 2 # 1020) JFET is voltage controlled current source.
=- 1120 A/cm 2
S138 Option (B) is correct.
S132 Option (C) is correct. At constant current the rate of change of voltage with
LED works on the principal of spontaneous emission. respect to temperature is
dV =- 2.5 mV per degree centigrade
In the avalanche photo diode due to the avalanche effect dT
there is large current gain. Here 3 T = T2 - T1 = 40 - 20 = 20cC
Tunnel diode has very large doping. Thus 3 VD =- 2.5 # 20 = 50 mV
LASER diode are used for coherent radiation. Therefore, VD = 700 - 50 = 650 mV

S133 Option (C) is correct. S139 Option (D) is correct.


I = Io `e h V - 1j
V D1
We know that si T Condition for saturation is IC < bIB
where h = 1 for germanium and h = 2 silicon. As per
question S140 Option (B) is correct.
Io `e e - 1j = Io `e hV - 1j
V
Dsi V DGe

n
hVT
Ge T The metal area of the gate in conjunction with the insulating
V 0.718
Io e hV - 1
Dsi

e 2 # 26 # 10 - 1
dielectric oxide layer and semiconductor channel, form a
= V = = 4 # 103
-3
or si T

Io 0.1435
e 26 # 10 - 1 parallel plate capacitor. It is voltage controlled capacitor
e hV - 1
DGe
si -3
T

because in active region the current voltage relationship


is given by
S134 Option (A) is correct. IDS = K (VGS - VT ) 2
Eg = hc
l
S141 Option (D) is correct.
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 176 Electronic Devices Chapter 5

In MOSFET the body (substrate) is connected to power A specimen of p - type or n - type is always electrical
supply in such a way to maintain the body (substrate) neutral.
to channel junction in cutoff condition. The resulting
reverse bias voltage between source and body will have an S149 Option (C) is correct.
effect on device function. The reverse bias will widen the
depletion region resulting the reduction in channel length. S150 Option (B) is correct.
The unit of q is e and unit of kT is eV. Thus unit of e/kT
S142 Option (C) is correct. is e/eV = V-1 .
At a given value of vBE , increasing the reverse-bias voltage
on the collector-base junction and thus increases the S151 Option (D) is correct.
width of the depletion region of this junction. This in turn
S152 Option (C) is correct.
results in a decrease in the effective base width W . Since
We have ni = 1.5 # 1010 /cm3
IS is inversely proportional to W , IS increases and that iC
Nd = 2.25 # 1015 atoms/cm3
increases proportionally. This is early effect.
For n type doping we have electron concentration
n - Nd = 2.25 # 1015 atom/cm3
S143 Option (B) is correct.
For a given temperature
For an n -channel JEFT trans-conductance is
-3 (- 2) np = n i2
gm = - 2IDSS b1 - VGS l = - 2 # 2 # 10 =1 -
(- 4)G
2
(1.5 # 1010) 2
VP VP -4 Hole concentration p = n i =
n 2.25 # 1015
= 10-3 # 1 = 0.5 mho = 1.0 # 105 /cm3
2

S144 Option (A) is correct. S153 Option (D) is correct.


We have gm = IC = 1 In p n -junction isolated circuit we should have high
VT 26
gm impedance, so that p n junction should be kept in reverse
Now fT = bias. (So connect p to negative potential in the circuit)
2p (C p + C m)
1/26
or 400 = S154 Option (B) is correct.
2p (0.3 # 10-12 + C m)
or (0.3 # 10-12 + C m) = 1 = 15.3 # 10-12
2p # 26 # 400
or C m 15.3 # 10-12 - 0.3 # 10-12 = 15 # 10-12
= 15 pF

S145 Option (D) is correct.


If both junction are forward biased and collector base
For any semiconductor (Intrinsic or extrinsic) the product
junction is more forward biased then IC will be flowing out
n p remains constant at a given temperature so here
wards (opposite direction to normal mode) the collector
np = ni pi
and it will be in reverse saturation mode.
S146 Option (D) is correct.
gm S155 Option (C) is correct.
fT = For normal active mode we have
2p (C p + C m)
b = IC
IB
S147 Option (B) is correct.
For small values of IC , if we increases IC , b also increases
For a Forward Bias p-n junction, current equation
until we reach (IC ) saturation. Further increases in
I = I 0 (eV/kT - 1)
I + 1 = eV/kT IC (since transistor is in saturation mode know) will
or increases IB and b decreases.
I0
or kT log b I + 1l = V S156 Option (C) is correct.
I0
So if we plot log I vs V we get a straight line. For a n -channel mosfet thresholds voltage is given by
VTN = VGS - VDS (sat)
S148 Option (B) is correct. for p-channel [p+ polysilicon used in gate]
To Get Updated Solved Paper by Whatsapp, Send Your
Branch and BTech year to 9414243489 by whatsapp
Chapter 5 Electronic Devices Page 177

VTP = VSD (sat) - VGS (VBE ) 2 = (VBE ) 1 + (25 # 0.60) m volt


so VTP =- VDS (sat) + VGS = (VBE ) 1 + 15 m volt
so threshold voltage will be same. Thus if emitter current is doubled the base emitter
junction voltage is increased by 15 mV.
S157 Option (C) is correct.
Emitter current is given by S158 Option (A) is correct.
IE = I 0 (eV /kT - 1)
BE
Unity gain frequency is given by
or IE = I 0 eV /kT eV /kT >> 1
BE BE
fT = fB # b = 106 # 200 = 200 MHz
or VBE = kT ln b IE l a-cutoff frequency is given by
I0 f fb
fa = b = = fb (b + 1)
Now (VBE ) 1 = kT ln b IE 1 l 1-a 1 - b +b 1
I0 = 106 # (200 + 1) = 201 MHz
(VBE ) 2 = kT ln b IE 2 l
I0
S159 Option (A) is correct.
or (VBE ) 2 - (VBE ) 1 = kT ;ln b IE 2 lE = kT ln b 2IE 1 l
IE 1 IE 1
Now if emitter current is double i.e. IE 2 = 2IE1

***********
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 178 Analog Circuits Chapter 6

CHAPTER 6
ANALOG CIRCUITS

GATE 2016 EC01 ONE MARK

Q1 Consider the constant current source shown in the


figure below. Let b represent the current gain of the
transistor.

The number of times the LED glows is

Q3 Consider the oscillator circuit shown in the figure.


The function of the network (shown in dotted lines)
consisting of the 100 kW resistor in series with the two
diodes connected back-to-back is to:

The load current I 0 through RL is


b+1 b
(A) I 0 = c b mVref (B) I 0 = c b + 1 mVref
R R
b+1 b
(C) I 0 = c b mVref (D) I 0 = c b + 1 mVref
2R 2R
Get More Study Material by
Whatsapp. Send Your College
Pin Code, Branch and BTech
year to 9414243489 by whatsapp

Q2 The following signal Vi of peak voltage 8 V is (A) introduce amplitude stabilization by preventing the
applied to the non-inverting terminal of an ideal Opamp. op-amp from saturating and thus producing sinusoidal
The transistor has VBE = 0.7 V , b = 100 ; VLED = 1.5 V , oscillations of fixed amplitude
VCC = 10 V and - VCC =- 10 V . (B) introduce amplitude stabilization by forcing the op-
amp to swing between positive and negative saturation
and thus producing square wave oscillations of fixed
amplitude
(C) introduce frequency stabilization by forcing the circuit
to oscillate at a single frequency
(D) enable the loop gain to take on a value that produces
square wave oscillations

Q4 What is the voltage Vout in the following circuit?


This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 6 Analog Circuits Page 179

about an ac-coupled common-emitter amplifier operating


in the mid-band region?
(A) The device parasitic capacitances behave like open
circuits, whereas coupling and bypass capacitances
behave like short circuits.
(B) The device parasitic capacitances, coupling
capacitances and bypass capacitances behave like
open circuits.
(C) The device parasitic capacitances, coupling
capacitances and bypass capacitances behave like
short circuits.
(A) 0 V
(D) The device parasitic capacitances behave like short
_ VT of PMOS + VT of NMOSi circuits, whereas coupling and bypass capacitances
(B)
2 behave like open circuits.
(C) Switching threshold of inverter
(D) VDD Q8 Transistor geometries in a CMOS inverter have been
adjusted to meet the requirement for worst case charge and
discharge times for driving a load capacitor C . This design
GATE 2016 EC02 ONE MARK
is to be converted to that of a NOR circuit in the same
technology, so that its worst case charge and discharge
Q5 Assume that the diode in the figure has Von = 0.7 V , times while driving the same capacitor are similar. The
but is otherwise ideal. channel length of all transistors is to be kept unchanged.
Which one of the following statements is correct?

The magnitude of the current i2 (in mA) is equal to


_____

Q6 Resistor R1 in the circuit below has been adjusted


(A) Widths of PMOS transistors should be doubled, while
so that I1 = 1 mA . The bipolar transistor Q1 and Q2 are
widths of NMOS transistors should be halved.
perfectly matched and have very high current gain, so
their base current are negligible. The supply voltage Vcc is (B) Widths of PMOS transistors should be doubled, while
6 V. The thermal voltage kT/q is 26 mV. widths of NMOS transistors should not be changed.
(C) Widths of PMOS transistors should be halved, while
widths of NMOS transistors should not be changed.
(D) Widths of PMOS transistors should be unchanged,
while widths of NMOS transistors should be halved.

GATE 2016 EC03 ONE MARK

Q9 The diodes D1 and D2 in the figure are ideal and


the capacitors are identical. The product RC is very large
compared to the time period of the ac voltage. Assuming
The value of R2 (in W ) for which I2 = 100 mA is _____ that the diodes do not breakdown in the reverse bias, the
output voltage V0 (in volt) at the steady state is ______
Q7 Which one of the following statements is correct
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 180 Analog Circuits Chapter 6

Q10 Consider the circuit shown in the figure. Assuming


VBE1 = VEB2 = 0.7 volt, value of the dc voltage VC2 (in volt) Rms current I rms (in mA) through the diode is _____.
is ______
Q13 An idel opamp has voltage sources V1 , V2 , V3 , V5 ,
...., VN - 1 connected to the non-inverting input and V2 , V4
, V6 .... VN connected to the inverting input as shown in
the figure below ( + VCC = 15 volt, - VCC =- 15 volt). The
voltage V1 , V2 , V3 , V4 , V5 , V6 ....are 1, –1/2, 1/3, –1/4, 1/5,
–1/6, .... volt, respectively. As N approaches infinity, the
output voltage (in volts) is _____

Q11 In a stable multivibrator circuit shown in the figure,


the frequency of oscillation (in kHz) at the output pin 3
is ______

Q14 A p-i-n photo diode of responsivity 0.8 A/W is


connected to the inverting input of an ideal opamp as
shown in the figure, + VCC = 15 V , - VCC =- 15 V , Load
resistor RL = 10 kW . If 10 mW of power is incident on the
photodiode, then the value of the photocurrent (in mA )
through the load is _____.

GATE 2016 EC01 TWO MARKS

Q12 An AC voltage source V = 10 sin (t) volts is applied to


the following network. Assume that R1 = 3 kW , R2 = 6 kW
and R 3 = 9 kW , and that the diode is ideal.
GATE 2016 EC02 TWO MARKS

Q15 The switch S in the circuit shown has been closed


This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 6 Analog Circuits Page 181

for a long time. It is opened at time t = 0 and remains Q18 A opamp has a finite open loop voltage gain of 100.
open after that. Assume that the diode has zero reverse Its input offset voltage Vios ^=+ 5mVh is modeled as shown
current and zero forward voltage drop. in the circuit below. The amplifier is ideal in all other
respects. Vinput is 25 mV.

The steady state magnitude of the capacitor voltage vC


(in volts) is ______

Q16 The figure shows a half-wave rectifier with a 475 mF


filter capacitor. The load draws a constant current IO = 1
A from the rectifier. The figure also shows the input
The output voltage (in millivolts) is ______
voltage Vt , the output voltage VC and the peak-to-peak
voltage ripple u on VC . The input voltage Vi is a triangle-
wave with an amplitude of 10 V and a period of 1 ms. GATE 2016 EC03 TWO MARKS

Q19 The I - V characteristics of the zener diodes D1 and


D2 are shown in Figure I. These diodes are used in the
circuit given in Figure II. If the supply voltage is varied
from 0 to 100 V. then breakdown occurs in

The value of the ripple u (in volts) is ______

Q17 In the opamp circuit shown, the Zener diodes Z1 and (A) D1 only (B) D2 only
Z2 clamp the output voltage V0 to +5V or - 5 V. The
(C) Both D1 & D2 (D) None of D1 & D2
switch S is initially closed and is opened at time t = 0

Q20 For the circuit shown in the figure, R1 = R2 = R 3 = 1W


, L = 1mH and C = 1mF . If the input Vin = cos ^106 t h, then
the overall voltage gain _VV i of the circuit is ______
out
in

The time t = t1 (in seconds) at which V0 changes state is


______
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 182 Analog Circuits Chapter 6

Q21 In the circuit shown in figure, the channel length


modulation of all transistor is non-zero ^l ! 0h. Also all
transistors operate in saturation and have negligible body
effect. The ac small signal voltage gain _VV i of the circuit
0
in

is

(A) - gm1 ^r01 //r02 //r03h


(B) - gm1 br0 // 1 //r03 l
gm3

(C) - gm1 ;r01 // b 1 //r02 l //r03E


gm2
Q24 In the circuit shown below, the Zener diode is ideal
(D) - gm1 ;r01 // b 1 //r03 l //r02E
gm3 and the Zener voltage is 6 V. The output voltage V0 (in
volts) is______.
Q22 In the circuit shown in the figure,
transistor M1 is in saturation and has transconductance
gm = 0.01 seimens. Ignoring internal parasitic capacitances
and assuming the channel length modulation l to be zero,
the small signal input pole frequency (in kHz)is ______

GATE 2015 EC02 ONE MARK

Q25 In the bistable circuit shown, the ideal opamp has


saturation level of ! 5 V. The value of R1 (in k W) that
gives a hysteresis width of 500 mV is ______,

GATE 2015 EC01 ONE MARK

Q23 For the circuit with ideal diodes shown in the figure,
the shape of the output (Vout ) for the given sine wave input
(Vin ) will be

Q26 If the circuit shown has to function as a clamping


circuit, which one of the following conditions should be
satisfied for sinusoidal signal of period T ?
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 6 Analog Circuits Page 183

(A) RC << T (B) RC = 0.35T


(C) RC . T (D) RC >> T

Q27 In the circuit shown, Vo = VoA for switch SW in Q30 In the circuit shown using an ideal opamp, the 3-dB
position A and Vo = VoB for SW in position B . Assume cut-off frequency (in Hz) is______.
that the opamp is ideal. The value of VoB is______.
VoA

Q31 In the circuit shown in the figure, the BJT has


a current gain (b) of 50. For an emitter-base voltage
VEB = 600 mV, the emitter-collector voltage VEC (in Volts)
is ______.

GATE 2015 EC03 ONE MARK

Q28 In the circuit shown, diodes D1 , D2 and D 3 are ideal,


and the inputs E1 , E2 and E 3 are 0V for logic ‘0’ and ‘‘10V
’’ for logic ‘1’. What logic gate does the circuit represent?

GATE 2015 EC01 TWO MARKS

Q32 The circuit shown in the figure has an ideal opamp.


The oscillation frequency and the condition to sustain the
oscillations, respectively, are

(A) 3-input OR gate (B) 3-input NOR gate


(C) 3-input AND gate (D) 3-input XOR gate

Q29 In the circuit shown, assume that diodes D1 and D2


are ideal. In the steady state condition, the average voltage
Vab (in Volts) across the 0.5 m F capacitor is______.

(A) 1 and R1 = R2 (B) 1 and R1 = 4R2


CR CR
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 184 Analog Circuits Chapter 6

(C) 1 and R = R (D) 1 and R = 4R Q36 For the voltage regulator circuit shown, the input
1 2 1 2
2CR 2CR voltage (Vin) is 20V ! 20% and the regulated output
voltage (Vout) is 10V. Assume the opamp to be ideal. For a
Q33 In the circuit shown, I1 = 80 mA and I2 = 4 mA. load RL drawing 200 mA, the maximum power dissipation
Transistors T1 and T2 are identical. Assume that the in Q1 (in Watts) is ______.
thermal voltage VT is 26 mV at 27°C. At 50°C, the value
of the voltage V12 = V1 - V2 (in mV) is ______.

Q37 The diode in the circuit given below has VON = 0.7
V but is ideal otherwise. The current (in mA) in the 4kW
resistor is ______.
Q34 In the circuit shown, assume that the opamp is
ideal. The bridge output voltage V0 (in mV) for d = 0.05
is ______.

Q38 Assuming that the op-amp in the circuit shown below


is ideal, the output voltage V0 (in volts) is______.

GATE 2015 EC02 TWO MARKS

Q35 In the ac equivalent circuit shown, the two BJTs


are biased in active region and have identical parameters
with b >> 1. The open circuit small signal voltage gain is
approximately______.

GATE 2015 EC03 TWO MARKS

Q39 In the circuit shown, both the enhancement mode


NMOS transistors have the following characteristics:
kn = m n Cox ^WL h = 1 mA/V2 ; VTN = 1 V . Assume that the
channel length modulation parameter l is zero and body
is shorted to source. The minimum supply voltage VDD
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 6 Analog Circuits Page 185

(in Volts) needed to ensure that transistor M1 operates in (A) both D1 and D2 are ON
saturation mode of operation is______. (B) D1 is ON and D2 is OFF
(C) both D1 and D2 are OFF
(D) D1 is OFF and D2 is ON

Q43 A good current buffer has


(A) low input impedance and low output impedance
(B) low input impedance and high output impedance
(C) high input impedance and low output impedance
(D) high input impedance and high output impedance

Q40 In the circuit shown, assume that the op-amp is Q44 In the ac equivalent circuit shown in the figure, if
ideal. If the gain ^Vo /Vin h is - 12, the value of R(in kW ) iin is the input current and RF is very larger, the type of
is______. feedback is

Q41 In the circuit shown, assume that the diodes D1 and


D2 are ideal, The average value of voltage Vab (in Volts),
across terminals a and b is______.
(A) voltage-voltage feedback
(B) voltage-current feedback
(C) current-voltage feedback
(D) current-current feedback

Q45 In the low-pass filter shown in the figure, for a cut-off


frequency of 5 kHz , the value of R2 (in kW ) is _____.

GATE 2014 EC01 ONE MARK

Q42 In the figure, assume that the forward voltage drops


of the PN diode D1 and Schottky diode D2 are 0.7 V and
0.3 V , respectively. If ON denotes conducting state of the
diode and OFF denotes non-conducting state of the diode,
then in the circuit,

GATE 2014 EC02 ONE MARK

Q46 The feedback topology in the amplifier circuit ( the


base bias circuit is not shown for simplicity) in the figure
is
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 186 Analog Circuits Chapter 6

GATE 2014 EC03 ONE MARK

Q49 The desirable characteristics of a transconductance


amplifier are
(A) high input resistance and high output resistance
(B) high input resistance and low output resistance
(C) low input resistance and high output resistance
(D) low input resistance and low output resistance

Q50 In the circuit shown, the PNP transistor has


(A) Voltage shunt feedback VBE = 0.7 V and b = 50 . Assume that RB = 100 kW . For
(B) Current series feedback V0 to be 5 V , the value of RC (in kW ) is ______.
(C) Current shunt feedback
(D) Voltage series feedback

Q47 In the differential amplifier shown in the figure, the


magnitudes of the common-mode and differential-mode
gains are Acm and Ad , respectively. If the resistance RE is
increased, then

Q51 The figure shows a half-wave rectifier. The diode D


is ideal. The average steady-state current (in Amperes)
through the diode is approximately______.

(A) Acm increases


(B) common-mode rejection ratio increases
(C) Ad increases
(D) common-mode rejection ratio decreases
GATE 2014 EC04 ONE MARK

Q48 A cascade connection of two voltage amplifiers A1


and A2 is shown in the figure. The open-loop gain Av0 , Q52 If the emitter resistance in a common-emitter voltage
input resistance Rin , and output resistance Ro for A1 and amplifier is not bypassed, it will
A2 are as follows : (A) reduce both the voltage gain and the input impedance
A1 : Av0 = 10 , Rin = 10 kW , Ro = 1 kW (B) reduce the voltage gain and increase the input
A2 : Av0 = 5 , Rin = 5 kW , Ro = 200 W impedance
The approximate overall voltage gain vout /vin is______. (C) increase the voltage gain and reduce the input
impedance
(D) increase both the voltage gain and the input impedance

Q53 Two silicon diodes, with a forward voltage drop of


0.7 V , are used in the circuit shown in the figure. The
range of input voltage Vi for which the output voltage
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 6 Analog Circuits Page 187

Vo = Vi , is Q57 In the circuit shown, the op-amp has finite input


impedance, infinite voltage gain and zero input offset
voltage. The output voltage Vout is

(A) - 0.3 V < Vi < 1.3 V (B) - 0.3 V < Vi < 2 V


(C) - 1.0 V < Vi < 2.0 V (D) - 1.7 V < Vi < 2.7 V

Q54 The circuit shown represents (A) - I2 ^R1 + R2h (B) I2 R2


(C) I1 R2 (D) - I1 ^R1 + R2h

Q58 For the amplifier shown in the figure, the BJT


parameters are VBE = 0.7 V , b = 200 , and thermal voltage
VT = 25 mV . The voltage gain ^vo /vi h of the amplifier is
____.

(A) a bandpass filter


(B) a voltage controlled oscillator
(C) an amplitude modulator
(D) a monostable multivibrator

Q55 For a given sample-and-hold circuit, if the value of


the hold capacitor is increased, then
(A) droop rate decreases and acquisition time decreases
(B) droop rate decreases and acquisition time increases
(C) droop rate increases and acquisition time decreases
(D) droop rate increases and acquisition time increases
GATE 2014 EC02 TWO MARKS
GATE 2014 EC01 TWO MARKS
Q59 The diode in the circuit shown hasVon = 0.7 Volts
Q56 In the voltage regulator circuit shown in the figure, but is ideal otherwise. If Vi = 5 sin ^wt h Volts, the minimum
the op-amp is ideal. The BJT has VBE = 0.7 V and b = 100 and maximum values of Vo (in Volts) are, respectively,
, and the zener voltage is 4.7 V . For a regulated output of
9 V , the value of R (in W ) is ______.

(A) - 5 and 2.7 (B) 2.7 and 5


(C) - 5 and 3.85 (D) 1.3 and 5
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 188 Analog Circuits Chapter 6

Q60 For the n -channel MOS transistor shown in the figure, (C) For RC = 20 kW , the BJT operates in the cut-off
the threshold voltage VTh is 0.8 V . Neglect channel length region
modulation effects. When the drain voltage VD = 1.6 V (D) For RC = 20 kW , the BJT operates in the linear region
, the drain current ID was found to be 0.5 mA. If VD is
adjusted to be 2 V by changing the values of R and VDD ,
the new value of ID (in mA) is Q63 Assuming that the Op-amp in the circuit shown is
ideal, Vo is given by

(A) 0.625 (B) 0.75


(C) 1.125 (D) 1.5
(A) 52 V1 - 3V2 (B) 2V1 - 5 V2
2
Q61 For the MOSFETs shown in the figure, the threshold (C) - 32 V1 + 72 V2 (D) - 3V1 + 112 V2
voltage Vt = 2 V and K = 12 mCox ^WL h = 0.1 mA/V2 . The
value of ID (in mA) is ____. Q64 For the MOSFET M1 shown in the figure, assume
W/L = 2 , VDD = 2.0 V , m n Cox = 100 mA/V2 and VTh = 0.5 V
. The transistor M1 switches from saturation region to
linear region when Vin (in Volts) is ______.

GATE 2014 EC03 TWO MARKS


Get More Study Material by
Q62 In the circuit shown, the silicon BJT has b = 50 . Whatsapp. Send Your College Pin
Assume VBE = 0.7 V and VCE^sath = 0.2 V . Which one of the Code, Branch and BTech year to
following statements is correct ?
9414243489 by whatsapp

GATE 2014 EC04 TWO MARKS

Q65 Consider the common-collector amplifier in the figure


(bias circuitry ensures that the transistor operates in
forward active region, but has been omitted for simplicity).
Let IC be the collector current, VBE be the base-emitter
voltage and VT be the thermal voltage. Also, gm and r0 are
(A) For RC = 1 kW , the BJT operates in the saturation the small-signal transconductance and output resistance
region of the transistor, respectively. Which one of the following
conditions ensures a nearly constant small signal voltage
(B) For RC = 3 kW , the BJT operates in the saturation
gain for a wide range of values of RE ?
region
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 6 Analog Circuits Page 189

Q69 In a voltage-voltage feedback as shown below, which


one of the following statements is TRUE if the gain k is
increased?

(A) gm RE << 1 (B) IC RE >> VT


(C) gm r0 >> 1 (D) VBE >> VT

Q66 A BJT in a common-base configuration is used to


amplify a signal received by a 50 W antenna. Assume (A) The input impedance increases and output impedance
kT/q = 25 mV . The value of the collector bias current decreases
(in mA) required to match the input impedance of the
(B) The input impedance increases and output impedance
amplifier to the impedance of the antenna is______.
also increases
(C) The input impedance decreases and output impedance
Q67 For the common collector amplifier shown in
also decreases
the figure, the BJT has high b , negligible VCE^sath , and
VBE = 0.7 V . The maximum undistorted peak to peak (D) The input impedance decreases and output impedance
output voltage vo (in Volts) is ______. increases

GATE 2013 TWO MARKS

Q70 The ac schematic of an NMOS common-source state


is shown in the figure below, where part of the biasing
circuits has been omitted for simplicity. For the n -channel
MOSFET M, the transconductance gm = 1 mA/V , and
body effect and channel length modulation effect are to be
neglected. The lower cutoff frequency in HZ of the circuit
is approximately at

GATE 2013 ONE MARK

Q68 In the circuit shown below what is the output voltage


^Vouth if a silicon transistor Q and an ideal op-amp are
used?

(A) 8 (B) 32
(C) 50 (D) 200

Q71 In the circuit shown below, the knee current of the


ideal Zener dioide is 10 mA. To maintain 5 V across RL
, the minimum value of RL in W and the minimum power
rating of the Zener diode in mW, respectively, are
(A) - 15 V (B) - 0.7 V
(C) + 0.7 V (D) + 15 V
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 190 Analog Circuits Chapter 6

Assuming ideal diodes, the voltage measured across WX


in Volts, is

(A) 125 and 125 (B) 125 and 250


(C) 250 and 125 (D) 250 and 250
(A) sin wt (B) _sin wt + sin wt i /2
Q72 In the circuit shown below the op-amps are ideal. (C) ^sin wt - sin wt h /2 (D) 0 for all t
Then, Vout in Volts is

Q75 In the circuit shown below, the silicon npn transistor


Q has a very high value of b . The required value of R2 in
kW to produce IC = 1 mA is

(A) 4 (B) 6 (A) 20 (B) 30


(C) 8 (D) 10 (C) 40 (D) 50

Q73 In the circuit shown below, Q1 has negligible


GATE 2012 ONE MARK
collector-to-emitter saturation voltage and the diode
drops negligible voltage across it under forward bias. If
Vcc is + 5 V , X and Y are digital signals with 0 V as logic Q76 The i -v characteristics of the diode in the circuit
0 and Vcc as logic 1, then the Boolean expression for Z is given below are
v - 0.7 A, v $ 0.7 V
i = * 500
0A v < 0. 7 V

The current in the circuit is


(A) XY (B) XY
(A) 10 mA (B) 9.3 mA
(C) XY (D) XY
(C) 6.67 mA (D) 6.2 mA

Q74 A voltage 1000 sin wt Volts is applied across YZ .


Q77 The current ib through the base of a silicon npn
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 6 Analog Circuits Page 191

transistor is 1 + 0.1 cos (10000pt) mA At 300 K, the rp in (A) low pass filter with f3dB = 1 rad/s
the small signal model of the transistor is (R1 + R2) C
(B) high pass filter with f3dB = 1 rad/s
R1 C
(C) low pass filter with f3dB = 1 rad/s
R1 C
(D) high pass filter with f3dB = 1 rad/s
(R1 + R2) C

Q81 The voltage gain Av of the circuit shown below is


(A) 250 W (B) 27.5 W
(C) 25 W (D) 22.5 W

Q78 The diodes and capacitors in the circuit shown are


ideal. The voltage v (t) across the diode D1 is

(A) Av . 200 (B) Av . 100


(C) Av . 20 (D) Av . 10

(A) cos (wt) - 1 (B) sin (wt)


GATE 2011 ONE MARK
(C) 1 - cos (wt) (D) 1 - sin (wt)

Q82 In the circuit shown below, capacitors C1 and C 2 are


Q79 The impedance looking into nodes 1 and 2 in the very large and are shorts at the input frequency. vi is a
given circuit is
small signal input. The gain magnitude vo at 10 M rad/s
vi
is

(A) 50 W (B) 100 W


(C) 5 kW (D) 10.1 kW

GATE 2012 TWO MARKS

(A) maximum (B) minimum


Q80 The circuit shown is a
(C) unity (D) zero

Q83 The circuit below implements a filter between the


input current ii and the output voltage vo . Assume that
the op-amp is ideal. The filter implemented is a
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 192 Analog Circuits Chapter 6

(A) low pass filter (B) band pass filter


(C) band stop filter (D) high pass filter
(A) 10 ms (B) 25 ms
GATE 2011 TWO MARKS (C) 50 ms (D) 100 ms

Q84 In the circuit shown below, for the MOS transistors,


mn Cox = 100 mA/V 2 and the threshold voltage VT = 1 V . Statement For Linked Answer Q 87 and 88
The voltage Vx at the source of the upper transistor is In the circuit shown below, assume that the voltage drop
across a forward biased diode is 0.7 V. The thermal voltage
Vt = kT/q = 25 mV . The small signal input vi = Vp cos ^wt h
where Vp = 100 mV.

(A) 1 V (B) 2 V
(C) 3 V (D) 3.67 V

Q85 For a BJT, the common base current gain a = 0.98


and the collector base junction reverse bias saturation
current ICO = 0.6 mA . This BJT is connected in the Q87 The bias current IDC through the diodes is
common emitter mode and operated in the active region (A) 1 mA (B) 1.28 mA
with a base drive current IB = 20 mA . The collector current (C) 1.5 mA (D) 2 mA
IC for this mode of operation is
(A) 0.98 mA (B) 0.99 mA
Q88 The ac output voltage vac is
(C) 1.0 mA (D) 1.01 mA
(A) 0.25 cos ^wt h mV (B) 1 cos (wt) mV
Get More Study Material by (C) 2 cos (wt) mV (D) 22 cos (wt) mV
Whatsapp. Send Your College Pin
Code, Branch and BTech year to GATE 2010 ONE MARK

9414243489 by whatsapp Q89 The amplifier circuit shown below uses a silicon
transistor. The capacitors CC and CE can be assumed to
be short at signal frequency and effect of output resistance
Q86 For the BJT, Q1 in the circuit shown below, b = 3, r0 can be ignored. If CE is disconnected from the circuit,
VBEon = 0.7 V, VCEsat = 0.7 V . The switch is initially closed. which one of the following statements is true
At time t = 0 , the switch is opened. The time t at which
Q1 leaves the active region is
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 6 Analog Circuits Page 193

R2 || R 3
(C) - (D) -b R2 + R 3 l
R1 R1

GATE 2010 TWO MARKS

Common Data Question 92 and 93


Consider the common emitter amplifier shown below with
the following circuit parameters:
b = 100, gm = 0.3861 A/V, r0 = 259 W, RS = 1 kW,
RB = 93 kW, RC = 250 kW, RL = 1 kW, C1 = 3 and
C2 = 4.7 mF
(A) The input resistance Ri increases and magnitude of
voltage gainAV decreases
(B) The input resistance Ri decreases and magnitude of
voltage gain AV increases
(C) Both input resistance Ri and magnitude of voltage
gain AV decreases
(D) Both input resistance Ri and the magnitude of voltage
gain AV increases

Q90 In the silicon BJT circuit shown below, assume that


the emitter area of transistor Q1 is half that of transistor
Q2
Q92 The resistance seen by the source vS is
(A) 258 W (B) 1258 W
(C) 93 kW (D) 3

Q93 The lower cut-off frequency due to C2 is


(A) 33.9 Hz (B) 27.1 Hz
(C) 13.6 Hz (D) 16.9 Hz

Q94 The transfer characteristic for the precision rectifier


The value of current Io is approximately circuit shown below is (assume ideal OP-AMP and
(A) 0.5 mA (B) 2 mA practical diodes)
(C) 9.3 mA (D) 15 mA

Q91 Assuming the OP-AMP to be ideal, the voltage gain


of the amplifier shown below is

(A) - R2 (B) - R 3
R1 R1
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 194 Analog Circuits Chapter 6

GATE 2009 TWO MARKS Q97 For small increase in VG beyond 1V, which of the
following gives the correct description of the region of
Q95 In the circuit below, the diode is ideal. The voltage operation of each MOSFET
V is given by (A) Both the MOSFETs are in saturation region
(B) Both the MOSFETs are in triode region
(C) n-MOSFETs is in triode and p -MOSFET is in
saturation region
(D) n- MOSFET is in saturation and p -MOSFET is in
triode region

Q98 Estimate the output voltage V0 for VG = 1.5 V. [Hints


(A) min (Vi, 1) (B) max (Vi, 1) : Use the appropriate current-voltage equation for each
(C) min (- Vi, 1) (D) max (- Vi, 1) MOSFET, based on the answer to Q.4.16]
(A) 4 - 1 (B) 4 + 1
2 2
Q96 In the following a stable multivibrator circuit, which
properties of v0 (t) depend on R2 ? (C) 4 - 3 (D) 4 + 3
2 2

Q99 In the circuit shown below, the op-amp is ideal, the


transistor has VBE = 0.6 V and b = 150 . Decide whether
the feedback in the circuit is positive or negative and
determine the voltage V at the output of the op-amp.

(A) Only the frequency


(B) Only the amplitude
(C) Both the amplitude and the frequency
(D) Neither the amplitude nor the frequency

(A) Positive feedback, V = 10 V


Statement For Linked Answer Q 97 and 98 (B) Positive feedback, V = 0 V
Consider for CMOS circuit shown, where the gate voltage (C) Negative feedback, V = 5 V
v0 of the n-MOSFET is increased from zero, while the (D) Negative feedback, V = 2 V
gate voltage of the p -MOSFET is kept constant at 3 V.
Assume, that, for both transistors, the magnitude of the Q100 A small signal source Vi (t) = A cos 20t + B sin 106 t
threshold voltage is 1 V and the product of the trans- is applied to a transistor amplifier as shown below. The
conductance parameter is 1mA. V - 2 transistor has b = 150 and hie = 3W . Which expression
best approximate V0 (t)
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 6 Analog Circuits Page 195

(A) Ix = Ibias + Is (B) Ix = Ibias


(C) Ix = Ibias - cVDD - Vout m (D) Ix = Ibias - Is
RE

Q103 Consider the following circuit using an ideal


OPAMP. The I-V characteristic of the diode is described
by the relation I = I 0 _eV - 1i where VT = 25 mV, I0 = 1m A
V
t

and V is the voltage across the diode (taken as positive for


forward bias). For an input voltage Vi =- 1 V , the output
voltage V0 is

(A) V0 (t) =- 1500 (A cos 20t + B sin 106 t)


(B) V0 (t) = - 1500( A cos 20t + B sin 106 t)
(C) V0 (t) =- 1500B sin 106 t
(D) V0 (t) =- 150B sin 106 t

GATE 2008 ONE MARK


(A) 0 V (B) 0.1 V
(C) 0.7 V (D) 1.1 V
Q101 In the following limiter circuit, an input voltage
Vi = 10 sin 100pt is applied. Assume that the diode drop is
0.7 V when it is forward biased. When it is forward biased. Q104 The OPAMP circuit shown above represents a
The zener breakdown voltage is 6.8 V
The maximum and minimum values of the output voltage
respectively are

(A) high pass filter (B) low pass filter


(A) 6.1 V, - 0.7 V (B) 0.7 V, - 7.5 V (C) band pass filter (D) band reject filter
(C) 7.5 V, - 0.7 V (D) 7.5 V, - 7.5 V
Q105 Two identical NMOS transistors M1 and M2 are
connected as shown below. Vbias is chosen so that both
GATE 2008 TWO MARSK transistors are in saturation. The equivalent gm of the pair
is defied to be 2Iout at constant Vout
Q102 For the circuit shown in the following figure, 2Vi
transistor M1 and M2 are identical NMOS transistors. The equivalent gm of the pair is
Assume the M2 is in saturation and the output is unloaded.

(A) the sum of individual gm ' s of the transistors


(B) the product of individual gm ’s of the transistors
(C) nearly equal to the gm of M1
g
The current Ix is related to Ibias as (D) nearly equal to m of M2
g0
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 196 Analog Circuits Chapter 6

Q106 Consider the Schmidt trigger circuit shown below


A triangular wave which goes from -12 to 12 V is applied
to the inverting input of OPMAP. Assume that the output
of the OPAMP swings from +15 V to -15 V. The voltage
at the non-inverting input switches between

Q110 In a transconductance amplifier, it is desirable to


have
(A) - 12V to +12 V (B) –7.5 V to 7.5 V (A) a large input resistance and a large output resistance
(C) –5 V to +5 V (D) 0 V and 5 V (B) a large input resistance and a small output resistance
(C) a small input resistance and a large output resistance
Statement For Linked Answer Q 107 and 108 (D) a small input resistance and a small output resistance
In the following transistor circuit, VBE = 0.7 V, r3 = 25
mV/IE , and b and all the capacitances are very large GATE 2007 TWO MARKS

Q111 For the Op-Amp circuit shown in the figure, V0 is

Q107 The value of DC current IE is


(A) 1 mA (B) 2 mA (A) –2 V (B) –1 V
(C) 5 mA (D) 10 mA (C) –0.5 V (D) 0.5 V

Q108 The mid-band voltage gain of the amplifier is Q112 For the BJT circuit shown, assume that the b of
approximately the transistor is very large and VBE = 0.7 V. The mode of
(A) –180 (B) –120 operation of the BJT is
(C) –90 (D) –60

Get More Study Material by


Whatsapp. Send Your College Pin
Code, Branch and BTech year to
9414243489 by whatsapp (A) cut-off (B) saturation
(C) normal active (D) reverse active

GATE 2007 ONE MARK Q113 In the Op-Amp circuit shown, assume that the
diode current follows the equation I = Is exp (V/VT ). For
Q109 The correct full wave rectifier circuit is Vi = 2V, V0 = V01 and for Vi = 4V, V0 = V02 .
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 6 Analog Circuits Page 197

The relationship between V01 and V02 is

(A) V02 = 2 Vo1 (B) Vo2 = e2 Vo1


Q116 The transfer function V0 (s)/ Vi (s) is
(C) Vo2 = Vo1 1n2 (D) Vo1 - Vo2 = VT 1n2
(A) 1 - sRC (B) 1 + sRC
1 + sRC 1 - sRC
Q114 In the CMOS inverter circuit shown, if the trans (C) 1 (D) 1
conductance parameters of the NMOS and PMOS 1 - sRC 1 + sRC
transistors are
W
kn = kp = mn Cox Wn = mCox p = 40 mA/V2 Q117 If Vi = V1 sin (wt) and V0 = V2 sin (wt + f), then the
Ln Lp
minimum and maximum values of f (in radians) are
and their threshold voltages ae VTHn = VTHp = 1 V the respectively
current I is
(A) - p and p (B) 0 and p
2 2 2
(C) - p and 0 (D) - p and 0
2

GATE 2006 ONE MARK

Q118 The input impedance (Zi) and the output impedance


(A) 0 A (B) 25 mA (Z0) of an ideal trans-conductance (voltage controlled
current source) amplifier are
(C) 45 mA (D) 90 mA
(A) Zi = 0, Z0 = 0 (B) Zi = 0, Z0 = 3
(C) Zi = 3, Z0 = 0 (D) Zi = 3, Z0 = 3
Q115 For the Zener diode shown in the figure, the Zener
voltage at knee is 7 V, the knee current is negligible and
the Zener dynamic resistance is 10 W. If the input voltage Q119 An n-channel depletion MOSFET has following
(Vi) range is from 10 to 16 V, the output voltage (V0) two points on its ID - VGs curve:
ranges from (i) VGS = 0 at ID = 12 mA and
(ii) VGS =- 6 Volts at ID = 0 mA
Which of the following Q point will given the highest trans
conductance gain for small signals?
(A) VGS =- 6 Volts (B) VGS =- 3 Volts
(C) VGS = 0 Volts (D) VGS = 3 Volts

(A) 7.00 to 7.29 V (B) 7.14 to 7.29 V GATE 2006 TWO MARKS
(C) 7.14 to 7.43 V (D) 7.29 to 7.43 V
Q120 For the circuit shown in the following figure, the
capacitor C is initially uncharged. At t = 0 the switch S is
Statement For Linked Answer Q 116 and 117 closed. The Vc across the capacitor at t = 1 millisecond is
Consider the Op-Amp circuit shown in the figure. In the figure shown above, the OP-AMP is supplied with
!15V .
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 198 Analog Circuits Chapter 6

symbol 4

(A) 0 Volt (B) 6.3 Volt


(C) 9.45 Volts (D) 10 Volts

Q121 For the circuit shown below, assume that the zener Q122 Under the DC conditions, the collector-or-emitter
diode is ideal with a breakdown voltage of 6 volts. The voltage drop is
waveform observed across R is (A) 4.8 Volts (B) 5.3 Volts
(C) 6.0 Volts (D) 6.6 Volts

Q123 If bDC is increased by 10%, the collector-to-emitter


voltage drop
(A) increases by less than or equal to 10%
(B) decreases by less than or equal to 10%
(C) increase by more than 10%
(D) decreases by more than 10%

Q124 The small-signal gain of the amplifier vc is


vs
(A) –10 (B) –5.3
(C) 5.3 (D) 10

Common Data Question 125 and 126


A regulated power supply, shown in figure below, has
an unregulated input (UR) of 15 Volts and generates a
regulated output Vout . Use the component values shown in
the figure.

Common Data Question 122 to 124 Q125 The power dissipation across the transistor Q1
In the transistor amplifier circuit shown in the figure shown in the figure is
below, the transistor has the following parameters: (A) 4.8 Watts (B) 5.0 Watts
bDC = 60 , VBE = 0.7V, hie " 3 (C) 5.4 Watts (D) 6.0 Watts
The capacitance CC can be assumed to be infinite.
In the figure above, the ground has been shown by the
Q126 If the unregulated voltage increases by 20%, the
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 6 Analog Circuits Page 199

power dissipation across the transistor Q1


(A) increases by 20% (B) increases by 50%
(C) remains unchanged (D) decreases by 20%

GATE 2005 ONE MARK


(A) 30 mA (B) 39 mA
Q127 The input resistance Ri of the amplifier shown in (C) 49 mA (D) 20 mA
the figure is
Q132 The voltage e0 is indicated in the figure has been
measured by an ideal voltmeter. Which of the following
can be calculated ?

(A) 30 kW (B) 10 kW
4
(C) 40 kW (D) infinite

Q128 The effect of current shunt feedback in an amplifier (A) Bias current of the inverting input only
is to (B) Bias current of the inverting and non-inverting inputs
(A) increase the input resistance and decrease the output only
resistance
(C) Input offset current only
(B) increases both input and output resistance
(D) Both the bias currents and the input offset current
(C) decrease both input and output resistance
(D) decrease the input resistance and increase the output
Q133 The Op-amp circuit shown in the figure is filter.
resistance
The type of filter and its cut. Off frequency are respectively

Q129 The cascade amplifier is a multistage configuration


of
(A) CC - CB (B) CE - CB
(C) CB - CC (D) CE - CC

GATE 2005 TWO MARKS

(A) high pass, 1000 rad/sec


Q130 In an ideal differential amplifier shown in the figure,
(B) Low pass, 1000 rad/sec
a large value of (RE ).
(A) increase both the differential and common - mode (C) high pass, 1000 rad/sec
gains. (D) low pass, 10000 rad/sec
(B) increases the common mode gain only.
(C) decreases the differential mode gain only. Q134 The circuit using a BJT with b = 50 and VBE = 0.7V
(D) decreases the common mode gain only. is shown in the figure. The base current IB and collector
voltage by VC and respectively

Q131 For an npn transistor connected as shown in figure


VBE = 0.7 volts. Given that reverse saturation current of
the junction at room temperature 300 K is 10 - 13 A, the
emitter current is
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 200 Analog Circuits Chapter 6

(A) 43 mA and 11.4 Volts (B) 40 mA and 16 Volts


(C) 45 mA and 11 Volts (D) 50 mA and 10 Volts
Q137 Zi and Z0 of the circuit are respectively
Q135 The Zener diode in the regulator circuit shown in (A) 2 MW and 2 kW (B) 2 MW and 20 kW
the figure has a Zener voltage of 5.8 volts and a zener knee 11
current of 0.5 mA. The maximum load current drawn from (C) infinity and 2 MW (D) infinity and 20 kW
this current ensuring proper functioning over the input 11
voltage range between 20 and 30 volts, is
Q138 ID and VDS under DC conditions are respectively
(A) 5.625 mA and 8.75 V (B) 1.875 mA and 5.00 V
(C) 4.500 mA and 11.00 V (D) 6.250 mA and 7.50 V

Q139 Transconductance in milli-Siemens (mS) and


voltage gain of the amplifier are respectively
(A) 1.875 mS and 3.41 (B) 1.875 ms and –3.41
(A) 23.7 mA (B) 14.2 mA
(C) 3.3 mS and –6 (D) 3.3 mS and 6
(C) 13.7 mA (D) 24.2 mA

Q140 Given the ideal operational amplifier circuit shown


Q136 Both transistors T1 and T2 show in the figure, in the figure indicate the correct transfer characteristics
have a b = 100 , threshold voltage of 1 Volts. The device assuming ideal diodes with zero cut-in voltage.
parameters K1 and K2 of T1 and T2 are, respectively, 36
mA/V2 and 9 mA/V 2 . The output voltage Vo i s

(A) 1 V (B) 2 V
(C) 3 V (D) 4 V

Common Data Question 137 to 139


Given, rd = 20kW , IDSS = 10 mA, Vp =- 8 V
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 6 Analog Circuits Page 201

Q145 The value of C required for sinusoidal oscillations


of frequency 1 kHz in the circuit of the figure is

GATE 2004 ONE MARK

Q141 An ideal op-amp is an ideal


(A) voltage controlled current source
(B) voltage controlled voltage source (A) 1 mF (B) 2p mF
2p
(C) current controlled current source 1
(C) mF (D) 2p 6 mF
(D) current controlled voltage source 2p 6

Q142 Voltage series feedback (also called series-shunt Q146 In the op-amp circuit given in the figure, the load
feedback) results in current iL is
(A) increase in both input and output impedances
(B) decrease in both input and output impedances
(C) increase in input impedance and decrease in output
impedance
(D) decrease in input impedance and increase in output
impedance

Q143 The circuit in the figure is a

(A) - Vs (B) Vs
R2 R2
(C) - Vs (D) Vs
RL R1

Q147 In the voltage regulator shown in the figure, the


load current can vary from 100 mA to 500 mA. Assuming
(A) low-pass filter (B) high-pass filter
that the Zener diode is ideal (i.e., the Zener knee current
(C) band-pass filter (D) band-reject filter is negligibly small and Zener resistance is zero in the
breakdown region), the value of R is
GATE 2004 TWO MARKS

Q144 A bipolar transistor is operating in the active region


with a collector current of 1 mA. Assuming that the b of
the transistor is 100 and the thermal voltage (VT ) is 25
mV, the transconductance (gm) and the input resistance
(rp) of the transistor in the common emitter configuration, (A) 7 W (B) 70 W
are
(A) gm = 25 mA/V and rp = 15.625 kW (C) 70 W (D) 14 W
3
(B) gm = 40 mA/V and rp = 4.0 kW
(C) gm = 25 mA/V and rp = 2.5 kW Q148 In a full-wave rectifier using two ideal diodes, Vdc and
Vm are the dc and peak values of the voltage respectively
(D) gm = 40 mA/V and rp = 2.5 kW
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 202 Analog Circuits Chapter 6

across a resistive load. If PIV is the peak inverse voltage Q152 If the input to the ideal comparators shown in the
of the diode, then the appropriate relationships for this figure is a sinusoidal signal of 8 V (peak to peak) without
rectifier are any DC component, then the output of the comparators
(A) Vdc = Vm , PIV = 2Vm (B) Idc = 2 Vm , PIV = 2Vm has a duty cycle of
p p
(C) Vdc = 2 Vm , PIV = Vm (D) Vdc Vm , PIV = Vm
p p

Q149 Assume that the b of transistor is extremely large


and VBE = 0.7V, IC and VCE in the circuit shown in the (A) 1/2 (B) 1/3
figure (C) 1/6 (D) 1/2

Q153 If the differential voltage gain and the common


mode voltage gain of a differential amplifier are 48 dB and
2 dB respectively, then common mode rejection ratio is
(A) 23 dB (B) 25 dB
(C) 46 dB (D) 50 dB

Q154 Generally, the gain of a transistor amplifier falls at


high frequencies due to the
(A) IC = 1 mA, VCE = 4.7 V (A) internal capacitances of the device
(B) IC = 0.5 mA, VCE = 3.75 V (B) coupling capacitor at the input
(C) IC = 1 mA, VCE = 2.5 V (C) skin effect
(D) IC = 0.5 mA, VCE = 3.9 V (D) coupling capacitor at the output

GATE 2003 TWO MARKS


GATE 2003 ONE MARK

Q155 An amplifier without feedback has a voltage gain


Q150 Choose the correct match for input resistance of
various amplifier configurations shown below : of 50, input resistance of 1 kW and output resistance of
Configuration Input resistance 2.5 kW. The input resistance of the current-shunt negative
CB : Common Base LO : Low feedback amplifier using the above amplifier with a
CC : Common Collector MO : Moderate feedback factor of 0.2, is
CE : Common Emitter HI : High (A) 1 kW (B) 1 kW
11 5
(A) CB - LO, CC - MO, CE - HI (C) 5 kW (D) 11 kW
(B) CB - LO, CC - HI, CE - MO
(C) CB - MO, CC - HI, CE - LO Q156 In the amplifier circuit shown in the figure, the
(D) CB - HI, CC - LO, CE - MO values of R1 and R2 are such that the transistor is operating
at VCE = 3 V and IC = 1.5 mA when its b is 150. For a
transistor with b of 200, the operating point (VCE , IC ) is
Q151 The circuit shown in the figure is best described
as a

(A) bridge rectifier (B) ring modulator


(A) (2 V, 2 mA) (B) (3 V, 2 mA)
(C) frequency discriminator (D) voltage double
(C) (4 V, 2 mA) (D) (4 V, 1 mA)
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 6 Analog Circuits Page 203

Q157 The oscillator circuit shown in the figure has an Q161 An ideal sawtooth voltages waveform of frequency
ideal inverting amplifier. Its frequency of oscillation (in of 500 Hz and amplitude 3 V is generated by charging a
Hz) is capacitor of 2 mF in every cycle. The charging requires
(A) Constant voltage source of 3 V for 1 ms
(B) Constant voltage source of 3 V for 2 ms
(C) Constant voltage source of 1 mA for 1 ms
(D) Constant voltage source of 3 mA for 2 ms

GATE 2002 ONE MARK

Q162 In a negative feedback amplifier using voltage-series


(A) 1 (B) 1
(2p 6 RC) (2pRC) (i.e. voltage-sampling, series mixing) feedback.
(A) Ri decreases and R0 decreases
(C) 1 (D) 6
(2pRC) (B) Ri decreases and R0 increases
( 6 RC)
(C) Ri increases and R0 decreases
(D) Ri increases and R0 increases
Q158 The output voltage of the regulated power supply
shown in the figure is (Ri and R0 denote the input and output resistance
respectively)

Q163 A 741-type opamp has a gain-bandwidth product


of 1 MHz. A non-inverting amplifier suing this opamp
and having a voltage gain of 20 dB will exhibit a -3 dB
bandwidth of
(A) 50 kHz (B) 100 kHz
(C) 1000 kHz (D) 1000 kHz
17 7.07

Q164 Three identical RC-coupled transistor amplifiers


(A) 3 V (B) 6 V
are cascaded. If each of the amplifiers has a frequency
(C) 9 V (D) 12 V response as shown in the figure, the overall frequency
response is as given in
Q159 If the op-amp in the figure is ideal, the output
voltage Vout will be equal to

(A) 1 V (B) 6 V
(C) 14 V (D) 17 V

Q160 Three identical amplifiers with each one having a


voltage gain of 50, input resistance of 1 kW and output
resistance of 250 W are cascaded. The opened circuit
voltages gain of the combined amplifier is
(A) 49 dB (B) 51 dB
(C) 98 dB (D) 102 dB
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 204 Analog Circuits Chapter 6

(C) 79.5 mV (D) 39.5 mV

Q167 A zener diode regulator in the figure is to be


designed to meet the specifications: IL = 10 mA V0 = 10
V and Vin varies from 30 V to 50 V. The zener diode has
Vz = 10 V and Izk (knee current) =1 mA. For satisfactory
operation

(A) R # 1800W (B) 2000W # R # 2200W


(C) 3700W # R # 4000W (D) R $ 4000W

Q168 The voltage gain Av = v0 of the JFET amplifier


vt
shown in the figure is IDSS = 10 mA Vp =- 5 V(Assume
C1, C2 and Cs to be very large

GATE 2002 TWO MARKS

Q165 The circuit in the figure employs positive feedback


and is intended to generate sinusoidal oscillation. If at
V (f) 1
a frequency f0, B (f) = 3 f = +0c, then to sustain
V0 (f) 6
oscillation at this frequency
(A) +16 (B) -16
(C) +8 (D) -6

GATE 2001 ONE MARK

Q169 The current gain of a BJT is


g
(A) gm r0 (B) m
r
(A) R2 = 5R1 (B) R2 = 6R1 g
(C) gm rp (D) m
rp
(C) R2 = R1 (D) R2 = R1
6 5
Q170 Thee ideal OP-AMP has the following characteristics.
Q166 An amplifier using an opamp with a slew-rate (A) Ri = 3, A = 3, R0 = 0
SR = 1 V/m sec has a gain of 40 dB. If this amplifier (B) Ri = 0, A = 3, R0 = 0
has to faithfully amplify sinusoidal signals from dc to 20 (C) Ri = 3, A = 3, R0 = 3
kHz without introducing any slew-rate induced distortion, (D) Ri = 0, A = 3, R0 = 3
then the input signal level must not exceed.
(A) 795 mV (B) 395 mV
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 6 Analog Circuits Page 205

Q171 Consider the following two statements : Q174 The oscillator circuit shown in the figure is
Statement 1 :
A stable multi vibrator can be used for generating square
wave.
Statement 2 :
Bistable multi vibrator can be used for storing binary
information.
(A) Only statement 1 is correct
(B) Only statement 2 is correct
(C) Both the statements 1 and 2 are correct
(D) Both the statements 1 and 2 are incorrect

(A) Hartely oscillator with foscillation = 79.6 MHz


GATE 2001 TWO MARKS (B) Colpitts oscillator with foscillation = 50.3 MHz
(C) Hartley oscillator with foscillation = 159.2 MHz
Q172 An npn BJT has gm = 38 mA/V, C m = 10-14 F, (D) Colpitts oscillator with foscillation = 159.3 MHz
C p = 4 # 10-13 F, and DC current gain b0 = 90 . For this
transistor fT and fb are
(A) fT = 1.64 # 108 Hz and fb = 1.47 # 1010 Hz Q175 The inverting OP-AMP shown in the figure has an
(B) fT = 1.47 # 1010 Hz and fb = 1.64 # 108 Hz open-loop gain of 100.
(C) fT = 1.33 # 1012 Hz and fb = 1.47 # 1010 Hz
(D) fT = 1.47 # 1010 Hz and fb = 1.33 # 1012 Hz

Q173 The transistor shunt regulator shown in the figure


has a regulated output voltage of 10 V, when the input
varies from 20 V to 30 V. The relevant parameters for the
zener diode and the transistor are : Vz = 9.5 , VBE = 0.3
V, b = 99 , Neglect the current through RB . Then the The closed-loop gain V0 is
maximum power dissipated in the zener diode (Pz ) and Vs
(A) - 8 (B) - 9
the transistor (PT ) are
(C) - 10 (D) - 11

Q176 In the figure assume the OP-AMPs to be ideal. The


output v0 of the circuit is

(A) Pz = 75 mW, PT = 7.9 W


(B) Pz = 85 mW, PT = 8.9 W
(C) Pz = 95 mW, PT = 9.9 W
(D) Pz = 115 mW, PT = 11.9 W t
(A) 10 cos (100t) (B) 10 #0 cos (100t) dt
Get More Study Material by t
(C) 10 - 4 #0 cos (100t) dt (D) 10 - 4 d cos (100t)
Whatsapp. Send Your College Pin dt

Code, Branch and BTech year to GATE 2000 ONE MARK


9414243489 by whatsapp
Q177 Introducing a resistor in the emitter of a common
amplifier stabilizes the dc operating point against
variations in
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 206 Analog Circuits Chapter 6

(A) only the temperature (C) - (V1 + V2) sin wt (D) (V1 + V2) sin wt
(B) only the b of the transistor
(C) both temperature and b Q182 The configuration of the figure is a
(D) none of the above

Q178 In the differential amplifier of the figure, if the


source resistance of the current source IEE is infinite, then
the common-mode gain is

(A) precision integrator


(B) Hartely oscillator
(C) Butterworth high pass filter
(D) Wien-bridge oscillator

(A) zero (B) infinite Q183 Assume that the op-amp of the figure is ideal. If vi
(C) indeterminate (D) Vin1 + Vin2 is a triangular wave, then v0 will be
2VT

Q179 In the circuit of the figure, V0 is

(A) square wave (B) triangular wave


(C) parabolic wave (D) sine wave
(A) -1 V (B) 2 V
(C) +1 V (D) +15 V Q184 The most commonly used amplifier is sample and
hold circuits is
Q180 The current gain of a bipolar transistor drops at (A) a unity gain inverting amplifier
high frequencies because of (B) a unity gain non-inverting amplifier
(A) transistor capacitances (C) an inverting amplifier with a gain of 10
(B) high current effects in the base (D) an inverting amplifier with a gain of 100
(C) parasitic inductive elements
(D) the Early effect
GATE 2000 TWO MARKS

Q181 If the op-amp in the figure, is ideal, then v0 is Q185 In the circuit of figure, assume that the transistor
is in the active region. It has a large b and its base-emitter
voltage is 0.7 V. The value of Ic is

(A) zero (B) (V1 - V2) sin wt


This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 6 Analog Circuits Page 207

(A) gm1 (B) gm2


g g
(C) m1 (D) m2
2 2

(A) Indeterminate since Rc is not given Q190 Crossover distortion behavior is characteristic of
(B) 1 mA (A) Class A output stage
(C) 5 mA (B) Class B output stage
(D) 10 mA (C) Class AB output stage
(D) Common-base output stage
Q186 If the op-amp in the figure has an input offset
voltage of 5 mV and an open-loop voltage gain of 10000, GATE 1999 TWO MARKS
then v0 will be
Q191 An amplifier has an open-loop gain of 100, an input
impedance of 1 kW,and an output impedance of 100 W. A
feedback network with a feedback factor of 0.99 is connected
to the amplifier in a voltage series feedback mode. The
new input and output impedances, respectively, are
(A) 10 W and 1W (B) 10 W and 10 kW
(A) 0 V (B) 5 mV (C) 100 kW and 1 W (D) 100 kW and 1 kW
(C) + 15 V or –15 V (D) +50 V or –50 V
Q192 A dc power supply has a no-load voltage of 30 V,
GATE 1999 ONE MARK and a full-load voltage of 25 V at a full-load current of 1 A.
Its output resistance and load regulation, respectively, are
(A) 5 W and 20% (B) 25 W and 20%
Q187 The first dominant pole encountered in the frequency
response of a compensated op-amp is approximately at (C) 5 W and 16.7% (D) 25 W and 16.7%
(A) 5 Hz (B) 10 kHz
(C) 1 MHz (D) 100 MHz GATE 1998 ONE MARK

Q188 Negative feedback in an amplifier Q193 The circuit of the figure is an example of feedback
(A) reduces gain of the following type
(B) increases frequency and phase distortions
(C) reduces bandwidth
(D) increases noise

Q189 In the cascade amplifier shown in the given figure, if


the common-emitter stage (Q1) has a transconductance gm1
, and the common base stage (Q2) has a transconductance
gm2 , then the overall transconductance g (= i 0 /vi) of the (A) current series (B) current shunt
cascade amplifier is (C) voltage series (D) voltage shunt

Q194 In a differential amplifier, CMRR can be improved


by using an increased
(A) emitter resistance (B) collector resistance
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 208 Analog Circuits Chapter 6

(C) power supply voltages (D) source resistance Q201 For full wave rectification, a four diode bridge
rectifier is claimed to have the following advantages over
a two diode circuit :
Q195 From a measurement of the rise time of the output
(1) less expensive transformer,
pulse of an amplifier whose is a small amplitude square
wave, one can estimate the following parameter of the (2) smaller size transformer, and
amplifier (3) suitability for higher voltage application.
(A) gain-bandwidth product Of these,
(B) slow rate (A) only (1) and (2) are true
(C) upper 3–dB frequency (B) only (1) and (3) are true
(D) lower 3–dB frequency (C) only (2) and (3) are true
(D) (1), (2) as well as (3) are true
Q196 The emitter coupled pair of BJT’s given a linear
transfer relation between the differential output voltage Q202 In the MOSFET amplifier of the figure is the signal
and the differential output voltage and the differential output V1 and V2 obey the relationship
input voltage Vid is less a times the thermal voltage,
where a is
(A) 4 (B) 3
(C) 2 (D) 1

Q197 In a shunt-shunt negative feedback amplifier, as


compared to the basic amplifier
(A) both, input and output impedances,decrease
(B) input impedance decreases but output impedance
increases
(C) input impedance increase but output (A) V1 = V2 (B) V1 =-V2
2 2
(D) both input and output impedances increases. (C) V1 = 2V2 (D) V1 =- 2V2

GATE 1998 TWO MARKS Q203 For small signal ac operation, a practical forward
biased diode can be modelled as
Q198 A multistage amplifier has a low-pass response with (A) a resistance and a capacitance in series
three real poles at s =- w1 - w2 and w3 . The approximate (B) an ideal diode and resistance in parallel
overall bandwidth B of the amplifier will be given by (C) a resistance and an ideal diode in series
(A) B = w1 + w2 + w3 (B) 1 = 1 + 1 + 1 (D) a resistance
B w1 w2 w3
(C) B = (w1 + w2 + w3) 1/3 (D) B = w12 + w22 + w23
Get More Study Material
Q199 One input terminal of high gain comparator circuit
is connected to ground and a sinusoidal voltage is applied
by Whatsapp. Send
to the other input. The output of comparator will be
(A) a sinusoid
Your College Pin Code,
(B) a full rectified sinusoid
(C) a half rectified sinusoid
Branch and BTech year to
(D) a square wave 9414243489 by whatsapp
Q200 In a series regulated power supply circuit, the
voltage gain Av of the ‘pass’ transistor satisfies the
GATE 1997 ONE MARK
condition
(A) Av " 3 (B) 1 << Av < 3
Q204 In the BJT amplifier shown in the figure is the
(C) Av . 1 (D) Av << 1
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 6 Analog Circuits Page 209

transistor is based in the forward active region. Putting a


capacitor across RE will

(A) 0 A (B) 4 A
(C) 1 A (D) None of the above

Q208 The output voltage V0 of the circuit shown in the


figure is

(A) decrease the voltage gain and decrease the input


impedance
(B) increase the voltage gain and decrease the input
impedance
(C) decrease the voltage gain and increase the input
impedance
(D) increase the voltage gain and increase the input
impedance
(A) - 4 V (B) 6 V
Q205 A cascade amplifier stags is equivalent to (C) 5 V (D) - 5.5 V
(A) a common emitter stage followed by a common base
stage Q209 A half wave rectifier uses a diode with a forward
(B) a common base stage followed by an emitter follower resistance Rf . The voltage is Vm sin wt and the load
(C) an emitter follower stage followed by a common base resistance is RL . The DC current is given by
stage (A) Vm (B) Vm
2 RL p (R f + RL)
(D) a common base stage followed by a common emitter
stage (C) 2Vm (D) Vm
p RL

Q206 In a common emitter BJT amplifier, the maximum GATE 1996 ONE MARK
usable supply voltage is limited by
(A) Avalanche breakdown of Base-Emitter junction
Q210 In the circuit of the given figure, assume that the
(B) Collector-Base breakdown voltage with emitter open diodes are ideal and the meter is an average indicating
(BVCBO) ammeter. The ammeter will read
(C) Collector-Emitter breakdown voltage with base open
(BVCBO)
(D) Zener breakdown voltage of the Emitter-Base junction

GATE 1997 TWO MARKS

Q207 In the circuit of in the figure is the current iD


through the ideal diode (zero cut in voltage and forward (A) 0.4 2 A (B) 0.4 A
resistance) equals
(C) 0.8 A (D) 0.4 mamp
p p

Q211 The circuit shown in the figure is that of


Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 210 Analog Circuits Chapter 6

(A) a non-inverting amplifier (A) gm1 (B) 0.5 gm1


(B) an inverting amplifier (C) gm2 (D) 0.5 gm2
(C) an oscillator
(D) a Schmitt trigger Q214 Value of R in the oscillator circuit shown in the
given figure, so chosen that it just oscillates at an angular
Get More Study Material frequency of w. The value of w and the required value of
R will respectively be
by Whatsapp. Send
Your College Pin Code,
Branch and BTech year to
9414243489 by whatsapp

GATE 1996 TWO MARKS

Q212 In the circuit shown in the given figure N is a (A) 105 rad/ sec, 2 # 10 4 W
finite gain amplifier with a gain of k , a very large input (B) 2 # 10 4 rad/ sec, 2 # 10 4 W
impedance, and a very low output impedance. The input (C) 2 # 10 4 rad/ sec, 105 W
impedance of the feedback amplifier with the feedback (D) 105 rad/ sec, 105 W
impedance Z connected as shown will be

Q215 A zener diode in the circuit shown in the figure


is has a knee current of 5 mA, and a maximum allowed
power dissipation of 300 mW. What are the minimum and
maximum load currents that can be drawn safely from the
circuit, keeping the output voltage V0 constant at 6 V?

(A) Z b1 - 1 l (B) Z (1 - k)
k
(C) Z (D) Z
(k - 1) (1 - k)

Q213 A Darlington stage is shown in the figure. If the


transconductance of Q1 is gm1 and Q2 is gm2 , then the (A) 0 mA, 180 mA (B) 5 mA, 110 mA
c
overall transconductance gmc ;T i cc E is given by (C) 10 mA, 55 mA (D) 60 mA, 180 mA
vbe

***********
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 6 Analog Circuits Page 211

SOLUTIONS
S1 Correct option is (B). S3 Correct option is (A).
The circuit shown is a wein bridge oscillator. The amplitude
of oscillations can be determined and stabilized by using
a nonlinear control network. As the oscillations grow, the
diodes start to conduct causing the effective resistance
in the feedback to decrease. Equilibrium will be reached
at the output amplitude that causes the loop gain to be
exactly unity.

S4 Correct option is (C).

S5 Correct answer is 0.25.


VP = VN (Virtual short)
b b
I 0 = IC = c b + 1 m IE = c b + 1 mVZ
R
S2 Correct answer is 3.

Diode needs at least 0.7 V, with 0.5 V at the terminals,


the diode is OFF. Therefore the circuit reduce to

Vi crosses 2 V, 3 times
Therefore the LED glows 3 times

Get More Study Material I2 = 2


2k + 6k
= 2 = 0.25 mA
8k

by Whatsapp. Send S6 Correct answer is 598.67.


V
IC = IS e V
bc

Your College Pin Code,


t

II
Vbel = Vt ;Ic E = Vt : Is D
n Is n
Branch and BTech year to Vbe2 = Vbe2 + I2 R2

9414243489 by whatsapp and Vt = kT = 26 mV


q
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 212 Analog Circuits Chapter 6

Vt ln ; I1 E
I2
R2 = Vbe1 - Vbe2 =
I2 I2
1 mA
20 mV ln ;100 mA E
= = 598.67 W
100 mA
S7 Correct option is (A).
The parasitic capacitances are in PF and the coupling
and bypass capacitors are in mF . Therefore for the mid
frequency band, parasitic capacitance act like open
circuits and coupling and bypass capacitances act like T1 = 0.693 ^RA + RB h C
short circuits. = 0.693 ^2.2 k + 4.7 kh 0.022m
= 0.1052 msec
S8 Correct option is (B). Frequency of oscillations ^ f h = 1 = 5.65 kHz
T
Width of PMOS transistors should be halved while width
of NMOS transistors should not be changed, because S12 Correct answer is 1.
NMOS transistors are in parallel. If anyone transistor ON,
output goes to LOW.

S9 Correct answer is 0 V.

Diodes are ideal therefore during Positive cycle of input We can join nodes that are at same potential so network
V0 = 10 - 10 becomes
= 0V
During Negative cycle, the diodes are Reverse biased
V0 = 0V
` V0 = 0 V (always)

S10 Correct answer is 0.5 V.


VE1 = 2.5 - 0.7 = 1.8 V
VB2 = VE1 - VEB2 = 1.8 - 0.7
= 1.1 V
IB2 = VB2 - 1 = 1.1 - 1 = 0.1
10 k 10 k 10 k
IC2 = bIB2

= 50 ; 0.1 E
10 k
VC2 = IC2 ^1 kh
50 ^0.1h
10 k ^ h
= 1k
ID (RMS) = 2 m = 1 mA
= 0.5 V 2
S13 Correct answer is V0 = 15 .
S11 Correct answer is 5.65.
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 6 Analog Circuits Page 213

Therefore the value of photo current throughput the load


is - 800 mA

S15 Correct answer is 100.


Using superposition it can shown that the output iL ^0-h = 10
R f Rp Rp Rp 1
V0 = ;1 + R E;R Vp1 + R Vp2 + ... R VpnE
N p1 p1 PN = 10A
Rf Rf Rf
-;R VN1 + R VN2 + ... R VNnE = iL ^0+h
vc ^0-h = 0v
N1 N2 Nn

where RN = RN1 || RN2 || ... || RNn and R p = R p1 || R p2


... RPN || RPO = vC ^0+h
In the problem given For diode, Rr = 3W and
R f = RN1 = RN2 = ... = RNn = 10 kW R f = 0W (given)
R p1 = R p2 = R p3 = ... = RPN = RPO = 1 kW
1k
1 + 10k 1k
b1 + n l
V0 = > b n l
10 k H> (1 + n)
VP1 + VP2 + ...H
1k 1k
10k 10k
-:10k VN1 + 10k VN2 + ...D

V0 = (VP1 + VP2 + ...VPn) - (VN1 + VN2 + ...VNn)


If the series approaches 3 then For t$0
1 1 1 -1 -1 -1
V0 = b1 + 3 + 5 + 7 + ...l - b 2 4 6 . - ...l Transform the above network in Laplace domain.

= 1 + 1 + 1 + 1 + 1 + ...
2 3 4 5
=3
This series is called harmonic series which is a divergent
infinite series
V0 =+ 3 =+ Vsat =+ VCC =+ 15 V

S14 Correct answer is –800.


Nodal & 10 + v ^s h + v ^s h = 0
The photo diode with Responsivity 0.8 A/W 5 sL 1
sc
Diode current = 0.8 A/W 610 mW@
& v ^s h = 2- 10L
= 8 # 10-6 A s LC + 1
V0 =- 8 m (1M) =- 8 V 1
v ^s h =- 10L $ 1 $ LC
IL = - 8 =- 8 # 10-4 A
& 2
10 k LC s + LC
1

=- 800 # 10-6 A =- 800 mA L $ wn


=- 10 where w n2 = 1
C s2 + w n2 LC

& v ^ t h =- 10 L sin w t for 0 # t # 3


n
C
where wn = 1 rad/sec
LC
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 214 Analog Circuits Chapter 6

& v ^ t h =- 100 sin 10 4 t for 0 # t # 3


By kVL & v ^ t h + vC ^ t h = 0
& vC ^ t h =- v ^ t h
= 100 sin ^10000t h V for 0 # t # 3

The output VO changes state when


VN = 1 V for t > 0

& vC = 100+ - 90v


& vC = 100v

S16 Correct answer is 2.1 V.

VC ^ t h = 7VC ^0 h - VC ^3hA e-t/RC + VC ^3h

= 60 - 20@e-t/10 , 10 + 20
4 4

= 20 - 20e-t
VN = 10 - VC = 10 - 620 - 20e-t@
=- 10 + 20e-t
For op-amp to change state
VN = VP
- 10 + 20e-t =- 1
u = ^Vipeak - VD h - ^Vipeak - VD h;1 - T E
RC 20e-t = 9
Peak to peak Amplitude of ripple - t = ln ; 9 E
20
V - VD
u = ipeak $T " t = 0.798 sec
RC
If the load is represented by a constant current source
S18 Correct answer is 413.79.
Vipeak - VD
= I0
R V0 = ; A E7Vios + VinputA
1 + Ab
1A. ^1mh
u = I0 $ T = = 2.1 V
C 475 m7 V0 = 100 625 mV + 5 mV@
1 + 100 615 k1+k 1 k @

= 1600 630 mV@


116
= 413.79 mV

S19 Correct option is (A).

S17 Correct answer is 0.798 sec.


At t = 0-
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 6 Analog Circuits Page 215

V0 = g r || 1 || r || r
m1 ; 01 b gm2 02 l 03E
Vin
Here both zener diodes are in RB
VBZ1 = 80 V S22 Correct answer is 57.8745 kHz.
VBZ2 = 70 V
D1 have list saturation current
When we will vary the voltage above 80 V
D1 get breaks down and will replaced by 80 V and through
it ‘3’ current can flow through it. But because of D2
we will take minimum current i.e. net current equals to
reverse saturation current of D2 as we know

CM1 = 50 PF 61 - AV @
AV =- gm RD =- 0.01 # 1
AV =- 10
CM1 = 50PF 61 + 10@
= 0.55 # 10-9 F = 0.55 nF
fp = 1
The diode have least saturation will break down first and 2pRi CM1
it will replaced by its break down voltage and the net fp = 1
current equal upto other diode reverse saturation current. 2p # 5K # 0.55 # 10-9

= 57.8745 kHz
S20 Correct answer is -1.
S23 Correct option is (C).

Vx = 1 + 1 = 1 + 106 + s + 106
Vin 10-6 s s s
V0 = < - 110 F $ Vx = V0 = - s 6 For positive half A1 , we have
1+ s
6
Vx s + 10

V0 = V0 $ Vx = : - s 6 D:s + 106 D
Vin Vx Vin s + 10 s
=- 1
V0 =- 1
Vin
S21 Correct option is (C). So, Vout =- Vin
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 216 Analog Circuits Chapter 6

For negative half A2 , both diode will be OFF. So, or 500 mV =-^- 5hb R1 l + 5 b R1 l
Vout = 0 20k 20k
Hence, the output is obtained as or 500 mV = R1
2k
So, R1 = 500 # 10-3 # 2 # 103
= 1000 W = 1k

S26 Correct option is (D).


S24 Correct answer is 5. Time constant = t = RC
We have the circuit, If RC >> T = period of sinusoid
Then the capacitor will not play its role and clamping will
take place.

S27 Correct answer is 1.5.


When SW is at position A

Firstly, open zener diode and calculate VA .

VA =5 V V+ = c 1 k m 1V
1k+1k
So, VA < VZ
Hence, diode remain open and V+ = 0.5 V
VA = 5 V = V0 V+ = V- = 0.5 V
5 - 0.5 = 0.5 - V0A
S25 Correct answer is 1. 1k 1k
We have the graph for threshold values as 4.5 = 0.5 - V0A
V0A =- 4 V
When SW is at position B

Again, from the op-amp circuit, VoB =- 5 b 1 k l - 1 b 1 k l


Vin - 0 = 0 - Vout 1k 1k
R1 R2 VoB =- 6V
or Vin = - Vout Hence, VoB = - 6
R1 R2 VoA -4
or Vin = - R1 Vout = 1.5
R2
Given, hysteresis width = 500 mV S28 Correct option is (C).
Since, width = VTH - VTL If any of the inputs from E1 , E2 , E 3 is logic 0 (means 0V)
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 6 Analog Circuits Page 217

then the corresponding diode will be ‘‘ON’’ resulting in


0V at the output and only when all the inputs are logic 1
(means VDD ) then V0 (output voltage) will be high, hence,
resulting into 3 input AND-gate. Truth table for the logic
circuit is shown below.

E1 E2 E3 V0
0 0 0 0
0 0 1 0
0 1 0 0 By virtual ground property, we write
0 1 1 0 V- = Vout b R2 l = V+
R1 + R 2
1 0 0 0 V+ V V - Vout
or + ++ + =0
_ jw2C i R 2R + 1
1
1 0 1 0 jw C
1 1 0 0
jw C V jw C
V+ c jw2C + 1 +
R 2jwCR + 1 m 2jwCR + 1
1 1 1 1 or = out

Vout = jw2C + 1 + jw C 2jwCR + 1


S29 Correct answer is 100. V+ c R 1 + 2jwCR mc jw C m
For positive half cycle, diode D2 will be ON. So, peak
voltage at point a is jw2CR ^1 + 2jgwCRh + ^1 + 2jwCRh + jwCR
=> H
Va = 50 V R ^1 + 2jwCRh
For negative half cycle, diode D1 will be ON. So, peak ^2jwCR + 1h
voltage at point b is jw C
Vb =- 50 V ^- j h
= 2 ^1 + 2jwCRh + +3
Hence Vab = Va - Vb wCR
= 50 - (- 50) = 100 V We equate imaginary part to zero, i.e.
4wCR - 1 = 0
S30 Correct answer is 159.23. wCR
f3dB = 1 (R = 10 k and C = 0.1 m F) ^2wCRh2 = 1
2pRC
w= 1 (Oscillation frequency)
1 2CR
=
2p (10) # 10 # 0.1 # 10-6
3
The condition to sustain the oscillation is
= 159.23 Ab = 1
where A " open loop gain
S31 Correct answer is 2. b " feedback gain
VEB = 0.6 V ( b = 50 )
Here, A = Vout = 1 + R1
VE - VB = 0.6 V Vin R2
VE = 3 V (given) (gain of non-inverting opamp)
So, 3 - VB = 0.6 V and b=
V+
VB = 2.44 Vout
IB = VB = 2.4 mA 1 = Vout
60 k 60 b V+
IC = bIB At oscillation,
2.4 2.4
= 50 # b 60 l mA = b 6 l 5 mA w= 1 ,
2CR
2. 4 Vout = 1 = 5 = A
VC = (500W) b 6 l (5) # 10-3 V = 1V V+ b
Hence, VEC = VE - VC = 3 - 1 = 2 V = 2 V So, A = 1 + R1 = 5
R2
S32 Correct option is (D). or R1 = 4
R2
Given op-amp circuit is
Hence, R1 = 4R2
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 218 Analog Circuits Chapter 6

S33 Correct answer is 83.8. bridge. So, we have


Given circuit is V3 = V2 - 250 ^1 + d h I1
2
and V4 = V2 - 250 ^1 - d h I1
2
Hence, the bridge output voltage is
V0 = V3 - V4

= :V2 - 250 ^1 + d h I1 D - :V2 - 250 ^1 - d h I1 D


2 2
=- 500d I1
2
=- 250 ^0.05hb - 1 l
50
= 0.25 V
Current in the circuit is given by = 250 mV
I2 = 4 mA = Is eV /hV
BE T

or I2 = 4 mA = Is eV /hV
2 T S35 Correct answer is - 1.
Again, I1 = 80 mA = Is eV /hV BE T

= Is eV /hV
BE T

= Is eV /hV
1 T

So, I1 = eVh-VV
1 2

I2
T

Since, VT = 26 mV at 27cC or 300 K


So, VT at ( 50cC ) = 26 mV b 50 + 273 l - 27.993 mV
300
Hence, we obtain
V1 - V2 = hVT ln 20
= 1 # 27.993 # ln 20 VBE = 0.7 V
= 83.859 Vin =- VE = 0.7 V
Vin = 0.7 V
S34 Correct answer is 250.
So, Vout = - 0.7 =- 1
Given op-amp circuit is redrawn as Vin 0.7
S36 Correct answer is 2.806

For ideal op-amp, we have


V1 = 1 V
Since, no current flows towards negative terminal of op- Power dissipation in Q1 = ^VCE # IC hmax
amp. So, we have R2 = 10 k
I1 + I 2 = 0 IE = IC = Vout + VA
RL R 2
Therefore, we obtain
I1 =- I2 =- V1 =- 1 By virtual ground property,
50 50 VA = 4 V
This current is equally divided into two branches of the IE = IC = 200mA + 4
10k
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 6 Analog Circuits Page 219

IC = 200mA + 0.4mA For minimum value VD = 1 V 1

IC = 200.4mA 1 mA/V2
So, ID1 = (Vus - VTN ) 2
Current through RL is 2
IL = Vout = 200 mA =
1 mA/V2
(2 - 1) 2 = 1 mA (1)
RL 2 2
So, ^VCE hmax = Vin - V0 = 24 - 10 = 14 V ID = 0.5 mA
Power = ^14 Vh # ^200.4 mAh
1
Hence, Now, for M2
= 2.8056 watts VDS = VGS - VTN will hold
2 2

Since, VG = VD
S37 Correct answer is 0.6. So, it will always be in saturation. Therefore
Given circuit is
ID = 1 (k) (VGS - VTN ) 2
2
2
Now, ID = ID (current in series connected components)
1 2

0.5 mA = (1 mA/V 2) 1 (VDD - 1 - 1) 2


2
2
(VDD - 2) = 1
VDD = 3 V
This is the minimum required value.

S40 Correct answer is 1.

Here, we have
2k = 3k
4k 6k
So, bridge is balanced, and hence, no current will flow
through diode. The equivalent circuit is shown below.

Given V0 =- 12
Vin
Vin - 0 = 0 - VA
10 k 10 k
At node A
VA - 0 + VA + VA - V0 = 0 (1)
10 k R 10 k
Vin =- VA
Current through 4 kW resistor is or VA =- Vin (2)
= c 9 k m 1 mA Substituting equation (2) in (1), we have
6k+9k - Vin - Vin + - Vin - V0 = 0 and V =- 12V
0 in
10 k R 10 k
= 9 k # 1 mA = 3 mA
15 k 5 - Vin - Vin + (- Vin + 12Vin) = 0
= 0.6 mA. 10 k R 10 k
Solving above equation, we get
S38 Correct answer is 12. R = 1k
For the given op-amp,
V+ > V- S41 Correct answer is 5.
So, Vout = Vsaturation = 12 Volts In positive half-cycle ^D1 = ON, D2 = OFFh, we have
Vab = 6p sin wt = 2p sin wt
S39 Correct answer is 3. 3
For M1 to be in saturation, Again, in Negative half-cycle ^D1 = OFF, D2 = ONh, we
VDS > VGS - VTN
1 1 have
VD > 2 - 1
1
Vab = 6p sin wt = 3p sin wt
VD > 1
1
2
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 220 Analog Circuits Chapter 6

Hence, the average of Vab is


= 2p + 3p = 5 volts
p p
S42 Correct option is (D).
Given the diode circuit

From the circuit, we have


V2 = 0.3 V

and V1 = 10 - b 10 - 0.3 l # 1
1.02
= 10 - 9.7 = 0.49
1.02
Given the forward voltage drops for the two diodes as
VD1 = 0.7 V So, we get V1 < VD1
VD2 = 0.3 V i.e. diode D1 remains OFF, and hence the assumption is
Now, we consider the following cases : correct.
Case I : D1 and D2 both are OFF. Thus, diode D1 is OFF and D2 is ON.
For this case, the equivalent circuit is Alternate Method
Alternatively, we can solve the problem by considering the
current through two diodes. Here, the correct case is only
considered.
Case : Diode D1 is OFF, D2 is ON. For this case. The
equivalent circuit is

From the circuit, we have


V1 = V2 = 10 V
i.e. V1 > VD1
V2 > VD2
Therefore, the assumption is wrong and both (or one of
them) must be ON.
Case II : D1 is ON, D2 is OFF From the circuit, we have
For this case, the equivalent circuit becomes I1 = 0
I2 = 10 - 0.3 = 9.7 = 9.5 mA
1.02 1.02
Since, the current I2 is positive, So our assumption is
correct.

S43 Correct option is (B).


An ideal current buffer must have the zero input impedance
From the circuit, we have and infinite output impedance, i.e. Zin = 0 and Zo = 3
V1 = VD1 = 0.7 V Hence, a good current buffer has low input impedance and
V2 = 0.7 V high output impedance.
Since, V2 > VD2
Therefore, the assumption is wrong and diode D2 must S44 Correct option is (B).
be ON. Given the AC equivalent circuit
Case III : D1 is OFF, D2 is ON
For this case, the equivalent circuit becomes
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 6 Analog Circuits Page 221

R2 = 1
5 # 103 # 2p # 10-8
S46 Correct option is (B).
Given the feedback topology in the amplifier circuit,

From the circuit, we observe that output is Vout (Voltage).


Feedback is current through resistance RF , which is added
to input current iin . Thus, the configuration is voltage-
current feedback.
We define the current series feedback as current is sampled
S45 Correct answer is 3.18. from the output
Given the low pass filter, Voltage is feedback to the source/input.
In the given circuit, the feedback signal becomes zero by
opening the output feedback. Hence, it is current series
feedback.

S47 Correct option is (B).

S48 Correct answer is 34.722.


Given the cascade connection

The voltage gain for the op-amp circuit is obtained as


Vo - 0 = Vi - 0
R2 R1
1 + jwCR2
Vo = R2
Vi R1 ^1 + jwCR2h
For the two stages of cascade, we have
Hence, the cutoff frequency for the filter is obtained as
A1: Av1 = 10 , Ri1 = 10 kW , Ro1 = 1 kW
wc = 1
CR2 A2: Av2 = 5 , Ri2 = 5 kW , Ro1 = 200 W .
2pfc = 1 So, we may redraw the equivalent 1st stage of cascade as
CR2
fc = 1 = 1 ...(1)
2pCR2 2p # 10-8 # R2
Hence, the cutoff frequency for the filter is obtained as
wc = 1
CR2
2pfc = 1
CR2
So, we get
fc = 1 = 1 ...(1) R i2 A v
2pCR2 2p # 10-8 # R2 vi2 = voL =
Ro1 + Ri2 v1 in
Since, we have the cut off frequency,
fc = 5 kHz = 5 # 103 Hz = 5 # 10v in = 50 v in
1+5 6
Substituting it in equation (1), we get
1 Again, we obtain the equivalent 2nd stage circuit as
5 # 103 =
2p # 10-8 R2
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 222 Analog Circuits Chapter 6

Again, from the circuit, we have


Vo = iC RC

or RC = 5 # 2 = 1.07 k
9.3
S51 Correct answer is 0.1 .
So, we obtain Given half-wave rectifier circuit is
v out = Av2 vi2 RL
Ro2 + RL
= 1K 5 50 v
200 + 1K # # 6 in
Hence, vo = 1 5 50 = 34.722
v in 1.2 # # 6
S49 Correct option is (A).
We have the model for transconductance amplifier as This is a peak-detector, and the average value of diode
current is given by
iD = VP = 10 = 0.1
R 100
S52 Correct option is (B).
If the emitter resistance is not bypassed, it will result in a
negative feedback, as shown in figure below.

The desirable characteristic at input and output terminals


are as follows:
1. At input side, Vi should be equal to Vs , i.e. Ri should
be high.
2. At the output side, IL should be almost equal to gm vi
, i.e. Ro should be high
Thus, the desirable characteristics of transconductance
amplifier are high inputs and high output resistance.

S50 Correct answer is 1.07.


We redraw the given circuit as
Thus, we conclude that if the emitter resistance in a
common-emitter voltage amplifier is not bypassed, then
it will reduce the voltage gain and increase the input
impedance.

S53 Correct option is (D).


Given diode circuit is

Applying KVL in the loop, we have


10 = 0.7 + ib RB

or ib = 9.3 mA
100
Now, we have to determine the range of input voltage Vi
So, ic = bib
for which the output voltage is
Vo = Vi
= 50 # 9.3 = 9.3 mA
100 2
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 6 Analog Circuits Page 223

This condition is met when both diodes are reverse biased. Thus, the given op-amp circuit represents monostable
For diode D1 to be reverse biased, multivibrator.
Vi - ^- 1h > - 0.7
Vi + 1 > - 0.7 S55 Correct option is (B).
Vi > - 1.7 We have the sample and hold circuit as shown below.
Again, for diode D2 to be reverse biased,
Vi - 2 < 0.7
Vi < 2.7
Thus, combining the two results, we get the range of
input voltage as
- 1.7 < Vi < 2.7

S54 Correct option is (D).


Given op-amp circuit is
For the circuit, voltage across capacitor is
Vc ^ t h = Vo e-t/RC
dVc =- Vo e-t/RC
dt RC
If C increases, droop rate (dVc /dt ) decreases and the
acquisition time (RC ) increases.

S56 Correct answer is 1093.


We have the voltage regulator circuit as shown below.

When Vi = 0 , the circuit becomes as

C2 will get charged by - 2 V , and hence Since, the op-amp is ideal, so the voltage at positive and
Vx =- 2 V negative terminals are same; i.e.
Since Vx is negative, Vo will be at + Vsat i.e. + 12 V. Vo will V + = V - = 4.7 V
remain at Vsat due to C1 , Vy = 0 . Applying kirchoff’s law at node V - , we get
Again, if the input voltage Vi is nonzero, it will cause Vx to Vo - V - = V -
1 kW R
raise above 0 V. Hence Vo will make a transistor to - Vsat ,
9 - 4.7 = 4.7
and will again come back to + Vsat . The output waveform 1 kW R
is shown below.
R = 4.7 # 103 W
4.3
= 1.093 # 103 W = 1093 W

S57 Correct option is (C).


Given that the op-amp has infinite voltage gain, i.e.
AOL = 3
and zero input offset voltage,
VIO = 0
So, we redraw the op-amp circuit as
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 224 Analog Circuits Chapter 6

Hence, the current I1 is drawn through resistance R2 . So,


the output voltage is
Vout = I1 R2
Therefore, we may write the KVL as
S58 Correct answer is –237.76.
We have the amplifier circuit as 3 - IB b 33 k l - 0.7 - IE ^1 k + 10h = 0
4
IB = 3 - 0.7
^ h^
33 k + 1 + 200 1 k + 10
4 h
= 1.088 # 10-5
So, the collector current is
IC = bIB = 2.18 mA
Now, we draw the ac equivalent circuit as

For dc analysis, we redraw the circuit as

From the circuit, we have


Vo =- bIb RC
Vi = bre Ib + ^1 + b h Ib Rs
So, the voltage gain of amplifier is
Vo = - bRC
Vi bre + ^1 + b h RS
-^200h^5000h
=
200 c 25 # 10 -3 m + ^1 + 200h 10
-3

2.18 # 10
VT 25 mV
=- 232.36 :since, re = ICS = 2.18 mA D
The thevenin equivalent at input terminal is obtained as
S59 Correct option is (C).
VTh = 12 # R2
R1 + R 2 Given the diode circuit,
= 12 # 11 = 3 V
33 + 11
RTh = 33 # 11 = 33 kW
33 + 11 4
So, the equivalent dc circuit can be redrawn as
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 6 Analog Circuits Page 225

Vin = 0.8 V
ID = 0.5 mA
So, we may deduce that VDS = VGS = 1.6 V or VGS > VTh ,
VDS > VGS - VTh
Therefore, the MOSFET is in saturation, and hence we
write the drain current equation as
Io = 1 m n COX W (VGS - VTh) 2
2 L
& 0.5 m = 1 m n COX W (1.6 - 0.8) 2 ....(i)
and the input voltage is Vi = 5 sin (wt) 2 L
So, we may sketch the waveform of input voltage as Now, the drain voltage changes to
VD = 2 V
So, VDS = VGS = 2 V
Therefore, the current equation becomes
ID = 1 m n COX W (VGS - VTh) 2
2 L
& ID = 1 m n COX W (2 - 0.8) 2 ...(ii)
2 L
Dividing equation (2) by equation (1), we get
2
ID = (2 - 0.8)
Therefore, the maximum and minimum input voltage is 0.5 m (1.6 - 0.8) 2
Vi, max = 5 V (1.2) 2
Vi, min =- 5 V & ID = 0.5 m = 1.125 mA
(0.8) 2
For the maximum voltage, Vi, max = 5 V
The diode is forward biased (Von = 0.7 V). Hence, The S61 Correct answer is 0.9.
maximum output voltage corresponding to maximum We have the MOSFET circuit as shown below.
input voltage is given as
vi, max - vo, max v - 0.7 - 2
= i, max
R1 R1 + R 2
v v - 2.7
vo,max = R1 ; i, max - i, max
R1 + R 2 E
&
R1
5 5 - 2. 7
= 1 : 1 - 1 + 1 D = 5 - 2. 3
3
= 3.85 V
For minimum input voltage, (vi, min =- 5 V), the diode
is reverse biased; i.e. OFF. So, no current flows through
the resistance. Hence, the minimum output voltage
corresponding to minimum input is vo =- 5 V .
From the circuit, we have
S60 Correct option is (C). VG2 = 0
We have the MOS transistor circuit as shown below and VG1 = VDD R2 = 12 # 10
R1 + R 2 10 + 10
=6V
So, both the p-MOS and n -MOS are in saturation region.
Also, we have the drain current, ID1 = ID2 = ID
So, we obtain the drain current as
Io2 = 1 m n COX W (VG2 - VT ) 2
2 L
= 0.1 # [0 - (- 5) - 2] 2
= 0.1 # 9 = 0.9 mA
The gate and drain terminals are common, So we have or ID = 0.9 mA
VGS = VDS
Also, we have S62 Correct option (B).
VD = 1.6 V We have the BJT circuit as
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 226 Analog Circuits Chapter 6

For the circuit, voltage gain is given by


Vo = 1 + R f
From the circuit, we obtain V2 d Req n
IB = 5 - VBE = 5 - 0.7 = 4.3 mA
RE 50 50 where Req = R || 2R = 2R
3
So, IC = bIB = 4.3 mA
and R f = 3R
Again, the collector current in saturation is obtained as
10 - VCE, sat 9.8 V0 = V1 ;1 + 3R # 3E
IC, sat = = 2R
Ro Ro
For transitions to be in saturation, we have the condition = 11 V2 (2)
2
Ic ^sat h < bIb Hence, by combining the results (1) and (2), we get
9.8 < 4.3 V0 =- 3V1 + 112 V2
or
RC
S64 Correct answer is 1.5.
or RC > 9.8
4.3 Given MOSFET parameters,
Hence, RC > 2.27 kW W =2
L
S63 Correct option is (D). VDD = 2.0 V
Given op-amp circuit is m n Cox = 100 mA/V2
VTH = 0.5
Given MOS circuit is

We solve the circuity by using superposition theorem.


First, we put
V2 = 0
The op-amp circuit becomes as For M1 to be in saturation, i.e. at the edge of saturation,
we have
ID = 1 b m n Cox W l^VGS - VTh h2 (1)
2 L
and Vout = VDS = VGS - VTh = Vin - VTh (2)
So, equation (1) becomes
ID = 1 b m n Cox W l V out
2
(3)
2 L
Also, we have

From the circuit, we obtain ID = VDD - Vout (4)


R
V0 =- 3V1 (1) From equations (3) and (4), we have
Again, we put 1 b m C W l V 2 = ^2 - Vouth
V1 = 0 2 n ox L out
10 k
Now, the circuit becomes as
or 1 # 100 # 10-6 # 2V out
2
= ^2Vouth # 10-4
2
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 6 Analog Circuits Page 227

2
or V out = 2 - Vout S66 Correct answer is 0.5.
2
or V out + Vout - 2 = 0 Given R antenna = 50 W
or ^ out + 2h^Vout - 1h = 0
V For C-B, the bre model is shown below.
or Vout = 1, - 2
Vout cannot be negative, hence
Vout = 1
Substituting it in equation (2), we obtain the input voltage
as
Vin = Vout + VTh = 1 + 0.5 = 1.5

S65 Correct option is (B). For matched impedance,


RE model of the given BJT circuit is drawn as re = R antenna
Hence, we have
VT = 50
IC
IC = 25 = 0.5 mA
50
S67 Correct answer is 9.4.
As b is very high, the circuit can be represented as

Here, we have
re = VT (1)
Ic
Since ro very high, so the parallel combination is
RE || ro = RE
Therefore, the circuit becomes as

In the circuit, we have


Vx = 10 # 12 = 8 V
15
So, the load line equation is
12 = VCE + IC RE
Also, we have
VBE = 0.7 V
or Vx - Vy = 0.7 V
or Vy = 7.3 V
From the circuit, the output voltage is
V0 = ^b + 1h Ib RE = bRE (1) So, the Q -point of Transistor will be
VCE = 12 - Vy = 12 - 7.3 = 4.7 V
and the input voltage is
and IC = 7.3 mA
Vi = bre Ib + ^b + 1h Ib RE
The load line analysis for the circuit is shown in figure
= ^bre + bRE h Ib (2) below.
Hence, the small signal gain is
Av = V0 = RE
Vi re + RE
Thus, for Av to be almost constant irrespective of variation
of RE , we must have
RE + re = RE
or RE >> re
or RE >> VT
Ic
or Ic RE >> VT
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 228 Analog Circuits Chapter 6

From figure, we obtain the maximum undistorted peak to V1 + V1 + gm Vi = 0


peak output voltage as RD R + 1
L
sC
Vo, peak - peak = 2 # 4.7 = 9.4 V
- gm Vi
& V1 =
S68 Option (B) is correct. 1 + 1
RD R + 1
For the given ideal op-amp, negative terminal will be also L
sC
ground (at zero voltage) and so, the collector terminal of Therefore, the output voltage V0 is obtained as
the BJT will be at zero voltage. RL J - gm Vi N
i.e., VC = 0 volt V0 = V1 RL = K O
RL + 1 RL + 1 K 1 + 1
O
The current in 1 kW resistor is given by sC sC K RD R + 1 O
L
sC P
I = 5 - 0 = 5 mA so, the transfer function is
L
1 kW
This current will flow completely through the BJT since, V0 = - RD RL sCgm
no current will flow into the ideal op-amp ( I/P resistance
Vi 1 + sC ^RD + RL h
of ideal op-amp is infinity). So, for BJT we have Then, we have the pole at w = 1
C ^RD + RL h
VC = 0
VB = 0 It gives the lower cutoff frequency of transfer function.
i.e., w0 = 1
IC = 5 mA
C ^RD + RL h
i.e.,the base collector junction is reverse biased (zero
or, f0 = 1
voltage) therefore, the collector current (IC ) can have a 2pC ^RD + RL h
value only if base-emitter is forward biased. Hence, 1
VBE = 0.7 volts = -6
2p # 10 # 20 # 103
& VB - VE = 0.7 = 7.97 . 8 Hz
& 0 - Vout = 0.7
or, Vout =- 0.7 volt S71 Option (B) is correct.
S69 Option (A) is correct.
The i/p voltage of the system is given as
Vin = V1 + Vf = V1 + k Vout
= V1 + k A 0 V1 ^Vout = A 0 V1h
= V1 ^1 + k A 0h
Therefore, if k is increased then input voltage is also
increased so, the input impedance increases. Now, we
have
Vout = A 0 V1 = A 0 Vin = A 0 Vin
^1 + k A 0h ^1 + k A 0h
Since, Vin is independent of k when seen from output
From the circuit, we have
mode, the output voltage decreases with increase in k that
Is = I Z + I L
leads to the decrease of output impedance. Thus, input
or, I Z = Is - I L (1)
impedance increases and output impedance decreases. Since, voltage across zener diode is 5 V so, current
through 100 W resistor is obtained as
S70 Option (A) is correct.
Is = 10 - 5 = 0.05 A
For the given circuit, we obtain the small signal model as 100
shown in figure below : Therefore, the load current is given by
IL = 5
RL
Since, for proper operation, we must have
IZ $ Iknes
So, from Eq. (1), we write
0.05 A - 5 $ 10 mA
RL
50 mA - 5 $ 10 mA
We obtain the node voltage at V1 as RL
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 6 Analog Circuits Page 229

40 mA $ 5 For the given circuit, we can make the truth table as below
RL X Y Z
40 # 10-3 $ 5 0 0 0
RL
0 1 1
1 # RL 1 0 0
40 # 10-3 5
1 1 0
5 # RL
40 # 10-3 Logic 0 means voltage is v = 0 volt and logic 1 means
or, 125 W # RL voltage is 5 volt
Therefore, minimum value of RL = 125 W For x = 0 , y = 0 , Transistor is at cut off mode and diode
Now, we know that power rating of Zener diode is given is forward biased. Since, there is no drop across forward
by biased diode.
PR = VZ IZ^maxh So, Z =Y=0
IZ^maxh is maximum current through zener diode in reverse For x = 0 , y = 1, Again Transistor is in cutoff mode, and
bias. Maximum currrent through zener diode flows when diode is forward biased. with no current flowing through
load current is zero. i.e.,
resistor.
IZ^maxh = Is = 10 - 5 = 0.05 So, Z =Y=1
100
Therefore, PR = 5 # 0.05 W = 250 mW For x = 1, y = 0 , Transistor is in saturation mode and so,
z directly connected to ground irrespective of any value
S72 Option (C) is correct. of Y .
i.e., Z = 0 (ground)
Similarly for X = Y = 1
Z = 0 (ground)
Hence, from the obtained truth table, we get
Z =XY

S74 Option (D) is correct.


Given, the input voltage
VYZ = 100 sin wt

For the given ideal op-Amps we can assume


V 2- = V 2+ = V2 (ideal)
V 1+ = V 1- = V1 (ideal)
So, by voltage division
V1 = Vout # 1
2
Vout = 2V1 For + ve half cycle
and, as the I/P current in Op-amp is always zero there- VYZ > 0
fore, there will be no voltage drop across 1 KW in II op- i.e., VY is a higher voltage than VZ
amp So, the diode will be in cutoff region. Therefore, there
i.e., V2 = 1 V will no voltage difference between X and W node.
Therefore, i.e., VWX = 0
V1 - V2 = V2 - ^- 2h Now, for - ve half cycle all the four diodes will active
1 1 and so, X and W terminal is short circuited
& V1 - 1 = 1 + 2 i.e., VWX = 0
or, V1 = 4 Hence, VWX = 0 for all t
Hence, Vout = 2V1 = 8 volt
S75 Option (C) is correct.
S73 Option (B) is correct. The equivalent circuit can be shown as
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 230 Analog Circuits Chapter 6

shown.

VTh = VCC R2 = 3R2


R1 + R 2 R1 + R 2
and RTh = R 2 R1 Clamper clamps the voltage to zero voltage, as shown
R 2 + R1
Since, IC = bIB has b . 3 (very high) so, IB is negative
in comparison to IC . Therefore, we can write the base
voltage
VB = VTh
So, VTh - 0.7 - IC RE = 0

or, ^ h^ h
3R2 - 0.7 - 10-3 500 = 0
R1 + R 2
3R2 The peak rectifier adds + 1 V to peak voltage, so overall
or, = 0.7 + 0.5
60 kW + R2 peak voltage lowers down by - 1 volt.
or, 3R2 = ^60 kWh^1.2h + 1.2R2 So, vo = cos wt - 1

or, 1.8R2 = ^60 kWh # ^1.2h


S79 Option (A) is correct.
Hence, R2 = 60 # 1.2 = 40 kW We put a test source between terminal 1, 2 to obtain
1.8
equivalent impedance
S76 Option (D) is correct.
Let v > 0.7 V and diode is forward biased. By applying
Kirchoff’s voltage law
10 - i # 1k - v = 0
10 - :v - 0.7 (1000) - v = 0
500 D
10 - (v - 0.7) # 2 - v = 0
10 - 3v + 1.4 = 0
v= 11 . 4 = 3.8 V > 0.7 (Assumption is true) ZTh = Vtest
3 Itest

i = v - 0.7 = 3.8 - 0.7 = 6.2 mA


Applying KCL at top right node
So,
500 500 Vtest + Vtest - 99I = I
b test
9 k + 1k 100
S77 Option (C) is correct. Vtest + Vtest - 99I = I ...(i)
b test
10 k 100
Given ib = 1 + 0.1 cos (1000pt) mA
So, IB = DC component of ib But Ib =- Vtest =-Vtest
9k + 1k 10k
= 1 mA
In small signal model of the transistor Substituting Ib into equation (i), we have
bVT Vtest + Vtest + 99Vtest = I
rp = VT " Thermal voltage 10 k 100 10 k test
IC
100Vtest + Vtest = I
= VT = VT = VT IC = I test
IC /b IB IB b B 10 # 103 100
2Vtest = I
So, rp = 25 mV = 25 W VT = 25 mV, IB = 1 mA 100 test
1 mA
ZTh = Vtest = 50 W
Itest
S78 Option (A) is correct.
The circuit composed of a clamper and a peak rectifier as S80 Option (B) is correct.
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 6 Analog Circuits Page 231

First we obtain the transfer function. VC - 100IB - 0.7 = 0


VC = 100IB + 0.7 ...(i)
IC - IE = 13.7 - VC
12k
= (b + 1) IB
13.7 - VC = 100I ...(ii)
B
12 # 103
Solving equation (i) and (ii),
IB = 0.01 mA
0 - Vi (jw) 0 - Vo (jw) Small Signal Analysis :
+ =0
1 +R R2 Transforming given input voltage source into equivalent
1
jw C current source.
Vo (jw) - Vi (jw)
=
R2 1 +R
1
jw C
Vi (jw) R2
Vo (jw) =-
R1 - j 1
wC
At w " 0 (Low frequencies), 1 " 3, so Vo = 0
wC
At w " 3 (higher frequencies)
1 " 0, so V (jw) =- R2 V (jw) This is a shunt-shunt feedback amplifier.
o
wC R1 i Given parameters,
The filter passes high frequencies so it is a high pass filter. rp = VT = 25 mV = 2.5 kW
IB 0.01 mA
H (jw) = Vo = - R2 b 100
Vi R1 - j 1 gm = =
rp 2.5 # 1000
= 0.04 s
wC
H (3) = - R2 = R2 Writing KCL at output node
R1 R1 v0 + g v + v0 - vp = 0
m p
At 3 dB frequency, gain will be 2 times of maximum RC RF
gain 6H (3)@ v 0 : 1 + 1 D + v p :gm - 1 D = 0
RC RF RF
H ^ jw0h = 1 H (3)
2 Substituting RC = 12 kW, RF = 100 kW, gm = 0.04 s
R 1 R2
So, = b R1 l v 0 (9.33 # 10-5) + v p (0.04) = 0
2
2
R1 + 2 2 1 2 v 0 =- 428.72Vp ...(i)
w0 C
Writing KCL at input node
2R 12 = R 12 + 21 2 vi = v p + v p + v p - vo
w0 C Rs Rs rp RF
2
R1 = 2 2 1 vi = v 1 + 1 + 1 - v 0
p:
w C Rs Rs rp RF D RF
w0 = 1 vi = v (5.1 10-4) - v 0
R1 C Rs p # RF
S81 Option (D) is correct. Substituting Vp from equation (i)
DC Analysis :
vi = - 5.1 # 10-4 v - v 0
0
Rs 428.72 RF
vi -6 -5
3 =- 1.16 # 10 v 0 - 1 # 10 v 0
10 # 10
Rs = 10 kW (source resistance)
vi -5
3 =- 1.116 # 10
10 # 10
Av = v 0 = 1 - 8.96
vi 10 # 10 # 1.116 # 10-5
3

Using KVL in input loop,


Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 232 Analog Circuits Chapter 6

S82 Option (A) is correct. VGS = VG - VS = Vx - 0 = Vx


For the parallel RLC circuit resonance frequency is, VDS = VD - VS = Vx - 0 = Vx
wr = 1 Since VGS - VT = Vx - 1 < VDS , thus M2 is in saturation.
LC
1 By assuming M1 to be in saturation we have
= = 10 M rad/s
10 # 10 # 1 # 10-9
-6
IDS (M ) = IDS (M )
1 2

Thus given frequency is resonance frequency and parallel mn C 0x m C


RLC circuit has maximum impedance at resonance (4) (5 - Vx - 1) 2 = n 0x 1 (Vx - 1) 2
2 2
frequency 4 (4 - Vx ) = (Vx - 1) 2
2

Gain of the amplifier is gm # (ZC RL) where ZC is or 2 (4 - Vx ) = ! (Vx - 1)


impedance of parallel RLC circuit. Taking positive root, 8 - 2Vx = Vx - 1
At w = wr , ZC = R = 2 kW = ZC max . Vx = 3 V
Hence at this frequency (wr ), gain is At Vx = 3 V for M1,VGS = 5 - 3 = 2 V < VDS . Thus our
Gain w = w = gm (ZC RL) = gm (2k 2k) = gm # 103 assumption is true and Vx = 3 V .
r

which is maximum. Therefore gain is maximum at


wr = 10 M rad/ sec . S85 Option (D) is correct.
We have a = 0.98
S83 Option (D) is correct. Now b = a = 4.9
The given circuit is shown below : 1-a
In active region, for common emitter amplifier,
IC = bIB + (1 + b) ICO ...(1)
Substituting ICO = 0.6 mA and IB = 20 mA in above eq
we have,
IC = 1.01 mA

S86 Option (C) is correct.


In active regionVBEon = 0.7 V
Emitter voltage VE = VB - VBEon =- 5.7 V
V - (- 10)
Emitter Current IE = E
4 . 3k
From diagram we can write - 5.7 - (- 10)
= = 1 mA
Ii = Vo + Vo 4.3k
R1 sL1
Now IC . IE = 1 mA
Transfer function Applying KCL at collector
H (s) = Vo = sR1 L1 i1 = 0.5 mA
I1 R1 + sL1
jw R 1 L 1 Since i1 = C dVC
or H (jw) = dt
R 1 + jw L 1
At w = 0 H (jw) = 0 or VC = 1 # i1 dt = i1 t ...(1)
C C
At w = 3 H (jw) = R1 = constant . Hence HPF.

S84 Option (C) is correct.


Given circuit is shown below.

with time, the capacitor charges and voltage across


collector changes from 0 towards negative.
For transistor M2 ,
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 6 Analog Circuits Page 233

When saturation starts,VCE = 0.7 & VC =+ 5 V (across IE = 1 IE and IB = 1 IB


capacitor)
1
2 2
2
1 2

Thus from (1) we get, + 5 = 0.5 mA T The circuit is as shown below :


5 mA
-6
or T = 5 # 5 # 10-3 = 50 m sec
0.5 # 10
S87 Option (A) is correct.
The current flows in the circuit if all the diodes are forward
biased. In forward biased there will be 0.7 V drop across
each diode.
12.7 - 4 (0.7)
Thus IDC = = 1 mA
9900

S88 Option (B) is correct. VB =- 10 - (- 0.7) =- 9.3 V


The forward resistance of each diode is 0 - (- 9.3)
Collector current I1 = = 1 mA
r = VT = 25 mV = 25 W (9.3 kW)
IC 1 mA
b 1 = 700 (high), So IC . IE
4 (r) 1

Vac = Vi # e
4 (r) + 9900 o
Thus Applying KCL at base we have
1 - IE = IB + IB
= 100 mV cos (wt) 0.01
1 2

1 - (b 1 + 1) IB = IB + IB
= 1 cos (wt) mV
1 1 2

I
1 = (700 + 1 + 1) B + IB
2

2 2

S89 Option (A) is correct.


IB . 2
The equivalent circuit of given amplifier circuit (when CE 2
702
is connected, RE is short-circuited) I 0 = IC = b 2 : IB = 715 # 2 . 2 mA
2 2
702
S91 Option (A) is correct.
The circuit is as shown below :

Input impedance Ri = RB || r p
Voltage gain AV = gm RC
Now, if CE is disconnected, resistance RE appears in the
circuit

So, 0 - Vi + 0 - Vo = 0
R1 R2
or Vo =- R2
Vi R1

S92 Option (B) is correct.


By small signal equivalent circuit analysis
Input impedance R in = RB || [rp + (b + 1)] RE
Input impedance increases
gm RC
Voltage gain AV = Voltage gain decreases.
1 + gm R E

S90 Option (B) is correct.


Since, emitter area of transistor Q1 is half of transistor Q2
, so current
Input resistance seen by source vs
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 234 Analog Circuits Chapter 6

R in = vs = Rs + Rs || rs or Vo =- Vi - 5
is
= (1000 W) + (93 kW || 259 W) = 1258 W At Vi =- 5 V, Vo = 0
At Vi =- 10 V, Vo = 5 V
S93 Option (B) is correct.
S95 Option (A) is correct.
Cut-off frequency due to C2
1 Let diode be OFF. In this case 1 A current will flow in
fo =
2p (RC + RL) C2 resistor and voltage across resistor will be V = 1.V
= 1 = 271 Hz Diode is off, it must be in reverse biased, therefore
2# 3.14 #1250 # 4.7 #10-6 Vi - 1 > 0 " Vi > 1
Lower cut-off frequency Thus for Vi > 1 diode is off and V = 1V
f
fL . o = 271 = 27.1 Hz Option (B) and (C) doesn’t satisfy this condition.
10 10
Let Vi < 1. In this case diode will be on and voltage across
diode will be zero and V = Vi
S94 Option (B) is correct.
Thus V = min (Vi, 1)
The circuit is as shown below
S96 Option (A) is correct.
The R2 decide only the frequency.

S97 Option (D) is correct.


For small increase in VG beyond 1 V the n - channel
MOSFET goes into saturation as VGS "+ ive and p -
MOSFET is always in active region or triode region.

Current I = 20 - 0 + Vi - 0 = 5 + Vi S98 Option (C) is correct.


4R R R
If I > 0, diode D2 conducts S99 Option (D) is correct.
So, for 5 + VI > 0 & VI > - 5, D2 conducts The circuit is shown in fig below
2
Equivalent circuit is shown below

Output is Vo = 0 . If I < 0 , diode D2 will be off


5 + VI < 0 & V < - 5, D is off The voltage at non inverting terminal is 5 V because OP
I 2
R AMP is ideal and inverting terminal is at 5 V.
The circuit is shown below Thus IC = 10 - 5 = 1 mA
5k
VE = IE RE = 1m # 1.4k = 1.4V IE = IC
= 0.6 + 1.4 = 2V
Thus the feedback is negative and output voltage is
V = 2V .

S100 Option (D) is correct.


The output voltage is
hfe RC
0 - Vi + 0 - 20 + 0 - Vo = 0 V0 = Ar Vi .- Vi
hie
R 4R R
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 6 Analog Circuits Page 235

Here RC = 3 W and hie = 3 kW The circuit is using ideal OPAMP. The non inverting
Thus V0 . - 150 # 3k Vi terminal of OPAMP is at ground, thus inverting terminal
3k
is also at virtual ground.
.- 150 (A cos 20t + B sin 106 t) Thus we can write
Since coupling capacitor is large so low frequency signal vi = -Rv
will be filtered out, and best approximation is R1 + sL 2
sR C + 1
V0 .- 150B sin 106 t
2 2

or v0 =- R2
vi (R1 + sL)( sR2 C2 + 1)
S101 Option (C) is correct.
For the positive half of Vi , the diode D1 is forward bias, D2 and from this equation it may be easily seen that this is
the standard form of T.F. of low pass filter
is reverse bias and the zener diode is in breakdown state K
because Vi > 6.8 . H (s) =
(R1 + sL)( sR2 C2 + 1)
Thus output voltage is and form this equation it may be easily seen that this is
V0 = 0.7 + 6.8 = 7.5 V the standard form of T.F. of low pass filter
For the negative half of Vi, D2 is forward bias thus
H (s) = 2 K
Then V0 =- 0.7 V as + bs + b

S102 Option (B) is correct.


By Current mirror,
^Lh
W
Ix = W 2 Ibias
^ L h1
Since MOSFETs are identical,
W W
Thus b L l =b L l
2 2
Hence Ix = Ibias

S103 Option (B) is correct. S105 Option (C ) is correct.


The circuit is using ideal OPAMP. The non inverting The current in both transistor are equal. Thus gm is decide
terminal of OPAMP is at ground, thus inverting terminal by M1.
is also at virtual ground.
S106 Option (C) is correct.
Let the voltage at non inverting terminal be V1, then after
applying KCL at non inverting terminal side we have
15 - V1 + V0 - V1 = V1 - (- 15) or V = V0
1
10 10 10 3
If V0 swings from -15 to +15 V then V1 swings between -5
V to +5 V.

S107 Option (A) is correct.


Thus current will flow from -ive terminal (0 Volt) to -1
Volt source. Thus the current I is For the given DC values the Thevenin equivalent circuit
0 - (- 1) is as follows
I = = 1
100k 100k
The current through diode is
I = I 0 _eV - 1i
V
t

Now VT = 25 mV and I0 = 1 mA
I = 10-6 8e 25 # 10 - 1B = 1 5
V
Thus -3

10
or V = 0.06 V
Now V0 = I # 4k + V
= 1 # 4k + 0.06 = 0.1 V
100k
The Thevenin resistance and voltage are
VTH = 10 # 9 = 3 V
S104 Option (B) is correct. 10 + 20
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 236 Analog Circuits Chapter 6

and total RTH = 10k # 20k = 6.67 kW Applying voltage division rule
10k + 20k v+ = 0.5 V
Since b is very large, therefore IB is small and can be We know that v+ = v-
ignored Thus v- = 0.5 V
Thus IE = VTH - VBE = 3 - 0.7 = 1 mA Now i = 1 - 0.5 = 0.5 mA
RE 2.3k 1k
and i = 0.5 - v0 = 0.5 mA
S108 Option (D) is correct. 2k
The small signal model is shown in fig below or v0 = 0.5 - 1 =- 0.5 V

S112 Option (B) is correct.


If we assume b very large, then IB = 0 and IE = IC ;
VBE = 0.7 V. We assume that BJT is in active, so applying
KVL in Base-emitter loop
IE = 2 - VBE = 2 - 0.7 = 1.3 mA
RE 1k
Since b is very large, we have IE = IC , thus
IC IC = 1.3 mA
gm = = 1m = 1 A/V IC . IE
VT 25m 25 Now applying KVL in collector-emitter loop
Vo =- gm Vp # (3k 3k ) 10 - 10IC - VCE - IC = 0
=- 1 Vin (1.5k) Vp = Vin or VCE =- 4.3 V
25 Now VBC = VBE - VCE = 0.7 - (- 4.3) = 5 V
=- 60Vin Since VBC > 0.7 V, thus transistor in saturation.
or Am = Vo =- 60
Vin S113 Option (D) is correct.
Here the inverting terminal is at virtual ground and the
S109 Option (C) is correct. current in resistor and diode current is equal i.e.
The circuit shown in (C) is correct full wave rectifier IR = ID
circuit. or V i
= Is eV /V
D T

R
or VD = VT 1n Vi
Is R
For the first condition
VD = 0 - Vo1 = VT 1n 2
Is R
For the first condition
VD = 0 - Vo1 = VT 1n 4
Is R
S110 Option (A) is correct. Subtracting above equation
In the transconductance amplifier it is desirable to have Vo1 - Vo2 = VT 1n 4 - VT 1n 2
Is R Is R
large input resistance and large output resistance.
or Vo1 - Vo2 = VT 1n 4 = VT 1n2
2
S111 Option (C) is correct.
We redraw the circuit as shown in fig. S114 Option (D) is correct.
We have Vthp = Vthp = 1 V
WP WN
and = = 40mA/V2
LP LN
From figure it may be easily seen that Vas for each
NMOS and PMOS is 2.5 V
Thus ID = K (Vas - VT ) 2
mA
= 40 2 (2.5 - 1) 2 = 90 m A
V
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 6 Analog Circuits Page 237

S115 Option (C) is correct. S120 Option (D) is correct.


We have VZ = 7 volt, VK = 0, RZ = 10W The voltage at inverting terminal is
Circuit can be modeled as shown in fig below V- = V+ = 10 V
Here note that current through the capacitor is constant
and that is
I = V- = 10 = 10 mA
1k 1k
Thus the voltage across capacitor at t = 1 msec is
1m Im
VC = 1 Idt = 1 10mdt = 10 4 dt = 10 V
1m
#
C 0
#
1m 0 #
0

Since Vi is lies between 10 to 16 V, the range of voltage


S121 Option (A) is correct.
across 200 kW
V200 = Vi - VZ = 3 to 9 volt In forward bias Zener diode works as normal diode.
The range of current through 200 kW is Thus for negative cycle of input Zener diode is forward
3 = 15 mA to 9 = 45 mA biased and it conducts giving VR = Vin .
200k 200k For positive cycle of input Zener diode is reversed biased
The range of variation in output voltage when 0 < Vin < 6 , Diode is OFF and VR = 0
15m # RZ = 0.15 V to 45m # RZ = 0.45 when Vin > 6 Diode conducts and voltage across diode is 6
Thus the range of output voltage is 7.15 Volt to 7.45 V. Thus voltage across is resistor is
Volt VR = Vin - 6
Only option (B) satisfy this condition.
S116 Option (A) is correct.
The voltage at non-inverting terminal is S122 Option (C) is correct.
1
V+ = sC 1 Vi = 1 V The circuit under DC condition is shown in fig below
R + sC 1 + sCR i

Now V- = V+ = 1 V
1 + sCR i
Applying voltage division rule
(V + Vi)
V+ = R1 (V0 + Vi) = o
R1 + R1 2
1 (V + Vi)
or V = o
1 + sCR i 2
or Vo =- 1 + 2
Vi 1 + sRC
Applying KVL we have
V0 = 1 - sRC VCC - RC (IC + IB) - VCE = 0 ...(1)
Vi 1 + sRC
and VCC - RB IB - VBE = 0 ...(2)
Substituting IC = bIB in (1) we have
S117 Option (C) is correct. VCC - RC (bIB + IB) - VCE = 0 ...(3)
V0 = H (s) = 1 - sRC
Vi 1 + sRC Solving (2) and (3) we get
1 - jwRC VCE = VCC - VCC - VBE ...(4)
H (jw) = 1+ RB
1 + jwRC RC (1 + b)
+H (jw) = f =- tan - 1 wRC - tan - 1 wRC Now substituting values we get
=- 2 tan - 2 wRC VCE = 12 - 12 - 0.7 = 5.95 V
Minimum value, fmin = - p (at w " 3) 1+ 53
Maximum value, fmax = 0( at w = 0) 1 + (1 + 60)
S123 Option (B) is correct.
S118 Option (D) is correct. We have b' = 110 # 60 = 66
100
In the transconductance amplifier it is desirable to have
Substituting b' = 66 with other values in (iv) in previous
large input impedance and large output impedance. solutions
VCE = 12 - 12 - 0.7 = 5.29 V
S119 Option (C) is correct. 53
1+
1 + (1 + 66)
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 238 Analog Circuits Chapter 6

The CB configuration has lowest Ri and highest Ro . It is


Thus change is = 5.29 - 59.5 # 100 =- 4.3% used as last step to match a very low impedance source
5.95
and to drain a high impedance load
S124 Option (A) is correct. Thus cascade amplifier is a multistage configuration of
CE-CB
S125 Option (C) is correct.
S130 Option (D) is correct.
The Zener diode is in breakdown region, thus
V+ = VZ = 6 V = Vin Common mode gain
We know that
R
Vo = Vin c1 + f m ACM =- RC
R1 2RE
or Vout = Vo = 6`1 + 12k j = 9 V And differential mode gain
24k ADM =- gm RC
The current in 12 kW branch is negligible as comparison Thus only common mode gain depends on RE and for
to 10 W. Thus Current large value of RE it decreases.
IC . IE . = Vout = 9 = 0.9 A
RL 10 S131 Option (C) is correct.
Now VCE = 15 - 9 = 6 V IE = Is `e nV - 1j = 10 - 13 c
V
BE

T
0.7 - 1m = 49 mA
The power dissipated in transistor is e1 # 26 # 10
-3

P = VCE IC = 6 # 0.9 = 5.4 W


S132 Option (C) is correct.
S126 Option (B) is correct. The circuit is as shown below
If the unregulated voltage increase by 20%, them the
unregulated voltage is 18 V, but the VZ = Vin = 6 remain
same and hence Vout and IC remain same. There will be
change in VCE
Thus, VCE - 18 - 9 = 9 V
IC = 0.9 A
Power dissipation P = VCE IC = 9 # 0.9 = 8.1 W
Thus % increase in power is
8.1 - 5.4 # 100 = 50%
5.4
Writing equation for I- have
S127 Option (B) is correct. e 0 - V- = I
-
1M
Since the inverting terminal is at virtual ground, the
or e0 = I- (1M) + V- ...(1)
current flowing through the voltage source is
Writing equation for I+ we have
Is = Vs 0 - V+
10k = I+
Vs = 10 kW = R 1M
or in or V+ = - I+ (1M) ...(2)
Is
Since for ideal OPAMP V+ = V- , from (1) and (2) we
S128 Option (D) is correct. have
e0 = I- (1M) - I + (1M)
The effect of current shunt feedback in an amplifier is
= (I- - I+) (1M) = IOS (1M)
to decrease the input resistance and increase the output
Thus if e0 has been measured, we can calculate input
resistance as : offset current IOS only.
Rif = Ri
1 + Ab
S133 Option (C) is correct.
Rof = R0 (1 + Ab)
At low frequency capacitor is open circuit and voltage
where Ri " Input resistance without feedback
Rif " Input resistance with feedback. acr s non-inverting terminal is zero. At high frequency
capacitor act as short circuit and all input voltage appear
S129 Option (B) is correct. at non-inverting terminal. Thus, this is high pass circuit.
The CE configuration has high voltage gain as well as high The frequency is given by
current gain. It performs basic function of amplifications. w= 1 = 1 = 1000 rad/sec
RC 1 # 10 # 1 # 10 - 6
3
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 6 Analog Circuits Page 239

S134 Option (B) is correct.


The circuit under DC condition is shown in fig below

Since the FET has high input resistance, gate current can
be neglect and we get VGS =- 2 V
Since VP < VGS < 0 , FET is operating in active region
Applying KVL we have
ID = IDSS c1 - VGS m
2
Now
VCC - RB IB - VBE - RE IE = 0 VP
orVCC - RB IB - VBE - RE (b + 1) IB = 0 Since IE = IB + bIB (- 2) 2
= 10 c1 -
(- 8) m
= 5.625 mA
or IB = VCC - VBE
RB + (b + 1) RE Now VDS = VDD - ID RD
= 20 - 0.7 = 40m A = 20 - 5.625 m # 2 k = 8.75 V
430k + (50 + 1)1 k
Now IC = bIB = 50 # 40m = 2 mA S139 Option (B) is correct.
VC = VCC - RC IC The transconductance is
= 20 - 2m # 2k = 16 V 2
gm =
VP ID IDSS
S135 Option (A) is correct.
The maximum load current will be at maximum input or, = 2 5.625mA # 10mA = 1.875 mS
8
voltage i.e.
Vmax = 30 V i.e. The gain is A =- gm (rd RD)
So, = 1.875ms # 20 K =- 3.41
Vmax - VZ = I + I 11
L Z
1k
or 30 - 5.8 = I = 0.5 m S140 Option (B) is correct.
L
1k Only one diode will be in ON conditions
or IL = 24.2 - 0.5 = 23.7 mA When lower diode is in ON condition, then
Vu = 2k Vsat = 2 10 = 8 V
S136 Option (D) is correct. 2.5k 2.5
when upper diode is in ON condition
S137 Option (B) is correct. Vu = 2k Vsat = 2 (- 10) =- 5 V
The small signal model is as shown below 2.5k 4

S141 Option (B) is correct.


An ideal OPAMP is an ideal voltage controlled voltage
source.

S142 Option (C) is correct.


In voltage series feed back amplifier, input impedance
increases by factor (1 + Ab) and output impedance
decreases by the factor (1 + Ab).
From the figure we have Rif = Ri (1 + Ab)
Zin = 2 MW Ro
Rof =
and Z0 = rd RD = 20k 2k = 20 kW (1 + Ab)
11
S143 Option (A) is correct.
S138 Option (A) is correct. This is a Low pass filter, because
The circuit in DC condition is shown below V0 = 0
At w = 3
Vin
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 240 Analog Circuits Chapter 6

and at w = 0 V0 = 1 or R = 70W
Vin 12 - 5 = 500 mA
At IL = 500 mA VZ = 5 V
R
S144 Option (D) is correct. or R = 14 W
When IC >> ICO Thus taking minimum we get R = 14 W
IC
gm = = 1mA = 0.04 = 40 mA/V S148 Option (B) is correct.
VT 25mV
b 100
rp = = = 2.5 kW
gm 40 # 10-3 S149 Option (C) is correct.
The Thevenin equivalent is shown below
S145 Option (A) is correct.
The given circuit is wein bridge oscillator. The frequency
of oscillation is
2pf = 1
RC
or C = 1 = 1 = 1 m
2pRf 3
2p # 10 # 10 3 2p

S146 Option (A) is correct.


The circuit is as shown below VT = R1 V = 1
#5 = 1 V
R1 + R2 C 4+1
Since b is large is large, IC . IE , IB . 0 and

IE = VT - VBE = 1 - 0.7 = 3 mA
RE 300
Now VCE = 5 - 2.2kIC - 300IE
= 5 - 2.2k # 1m - 300 # 1m = 2.5 V

S150 Option (B) is correct.


For the different combinations the table is as follows
We know that for ideal OPAMP CE CE CC CB
V- = V+
Applying KCL at inverting terminal Ai High High Unity
V- - Vs + V- - V0 = 0 Av High Unity High
R1 R1
Ri Medium High Low
or 2V- - Vo = Vs ...(1)
Applying KCL at non-inverting terminal Ro Medium Low High
V+ V - Vo
+ IL + + =0
R2 R2 S151 Option (D) is correct.
or 2V+ - Vo + IL R2 = 0 ...(2) This circuit having two diode and capacitor pair in parallel,
Since V- = V+ , from (1) and (2) we have works as voltage doubler.
Vs + IL R2 = 0
or IL =- Vs S152 Option (B) is correct.
R2
If the input is sinusoidal signal of 8 V (peak to peak) then
Vi = 4 sin wt
S147 Option (D) is correct.
The output of comparator will be high when input is
If IZ is negligible the load current is
12 - Vz = I higher than Vref = 2 V and will be low when input is lower
R L
than Vref = 2 V. Thus the waveform for input is shown
as per given condition below
100 mA # 12 - VZ # 500 mA
R
At IL = 100 mA 12 - 5 = 100 mA VZ = 5 V
R
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 6 Analog Circuits Page 241

In first case VCC - IC1 R2 - VCE1 = 0


or 6 - 1.5mR2 - 3 = 0
or R2 = 2kW
IB1 = IC1 = 1.5m = 0.01 mA
b1 150
In second case IB2 will we equal to IB1 as there is no in R1.
Thus IC2 = b2 IB2 = 200 # 0.01 = 2 mA
VCE2 = VCC - IC2 R2
= 6 - 2m # 2 kW = 2 V

S157 Option (A) is correct.


From fig, first crossover is at wt1 and second crossover is The given circuit is a R - C phase shift oscillator and
at wt2 where frequency of its oscillation is
4 sin wt1 = 2V f = 1
2p 6 RC
Thus wt1 = sin - 1 1 = p
2 6
wt2 = p - = pp 5 S158 Option (C) is correct.
6 6 If we see th figure we find that the voltage at non-inverting
5p p
-6
Duty Cycle = 6 =1 terminal is 3 V by the zener diode and voltage at inverting
2p 3 terminal will be 3 V. Thus Vo can be get by applying
Thus the output of comparators has a duty cycle of 1 . voltage division rule, i.e.
3 20 V = 3
S153 Option (C) is correct. 20 + 40 o
or V0 = 9 V
CMMR = Ad
Ac
or 20 log CMMR = 20 log Ad - 20 log Ac S159 Option (B) is correct.
= 48 - 2 = 46 dB The circuit is as shown below
Where Ad "Differential Voltage Gain
and AC " Common Mode Voltage Gain

S154 Option (B) is correct.


The gain of amplifier is
- gm
Ai =
gb + jwC
Thus the gain of a transistor amplifier falls at high
frequencies due to the internal capacitance that are 8 (3) = 8 kW
diffusion capacitance and transition capacitance. V+ =
1+8 3
V+ = V- = V8
S155 Option (A) is correct. 3
We have Ri = 1kW, b = 0.2, A = 50 Now applying KCL at inverting terminal we get
Ri
Thus, Rif = = 1 kW V- - 2 + V- - Vo = 0
(1 + Ab) 11 1 5
or Vo = 6V- - 10
S156 Option (A) is correct. = 6 # 8 - 10 = 6 V
The DC equivalent circuit is shown as below. This is fixed 3
bias circuit operating in active region.
S160 Option (C) is correct.
The equivalent circuit of 3 cascade stage is as shown in fig.
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 242 Analog Circuits Chapter 6

For n stage amplifier the lower cutoff frequency is


fL 20
fLn = 1
= 1
= 39.2 . 40
Hz 2 n - 1 2 3 - 1

The higher cutoff frequency is


1
V2 = 1k 50V1 = 40V1 fHn = fH 2 2 - 1 = 0.5 kHz
1k + 0.25k
Similarly V3 = 1k 50V2 = 40V2 S165 Option (A) is correct.
1k + 0.25k As per Barkhousen criterion for sustained oscillations
or V3 = 40 # 40V1 Ab $ 1 and phase shift must be or 2pn .
Vo = 50V3 = 50 # 40 # 40V1 V (f)
Now from circuit A = O = 1 + R2
or AV = Vo = 50 # 40 # 40 = 8000 Vf (f) R1
V1 V (f )
or 20 log AV = 20 log 8000 = 98 dB b (f) = 1 +0 = f
6 VO (f)
Thus from above equation for sustained oscillation
S161 Option (D) is correct.
6 = 1 + R2
If a constant current is made to flow in a capacitor, the R1
output voltage is integration of input current and that is or R2 = 5R1
sawtooth waveform as below :
t
VC = 1 idt
# S166 Option (C) is correct.
C 0 Let the gain of OPAMP be AV then we have
The time period of wave form is 20 log AV = 40 dB
T = 1 = 1 = 2 m sec or AV = 100
f 500
20 # 10
-3 Let input be Vi = Vm sin wt then we have
1
Thus 3= #
2 # 106 0
idt VO = VV Vi = Vm sin wt
Now dV O
= AV Vm w cos wt
or i (2 # 10 - 3 - 0) = 6 # 10 - 6 dt
or i = 3 mA Slew Rate c dVO m = AV Vm w = AV Vm 2pf
Thus the charging require 3 mA current source for 2 msec. dt max
or Vm = SR = -6 1
S162 Option (C) is correct. AV V2pf 10 # 100 # 2p # 20 # 103
In voltage-amplifier or voltage-series amplifier, the Ri or VM = 79.5 mV
increase and Ro decrease because
S167 Option (A) is correct.
Rif = Ri (1 + Ab)
Ro The circuit is shown as below
Rof =
(1 + Ab)

S163 Option (B) is correct.


Let x be the gain and it is 20 db, therefore
20 log x = 20
or x = 10
Since Gain band width product is 106 Hz, thus
So, bandwidth is I = IZ + IL
6 6 For satisfactory operations
BW = 10 = 10 = 105 Hz = 100 kHz
Gain 10 Vin - V0 > I [IZ + IL = I]
Z + IL
R
S164 Option (A) is correct.
When Vin = 30 V,
In multistage amplifier bandwidth decrease and overall 30 - 10 $ (10 + 1) mA
gain increase. From bandwidth point of view only options R
(A) may be correct because lower cutoff frequency must or 20 $ 11 mA
be increases and higher must be decreases. From following R
calculation we have or R # 1818 W
We have fL = 20 Hz and fH = 1 kHz when Vin = 50 V - 10 $ (10 + 1) mA
50
R
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 6 Analog Circuits Page 243

40 $ 11 # 10 - 3
R
or R # 3636W Thus R # 1818W

S168 Option (D) is correct.


We have
IDSS = 10 mA and VP =- 5 V
Maximum power will dissipate in Zener diode when current
Now VG =0
and VS = ID RS = 1 # 2.5W = 2.5 V through it is maximum and it will occur at Vin = 30 V
Thus VGS = VG - VS = 0 - 2.5 =- 2.5 V I = Vin - Vo = 30 - 10 = 1 A
20 20
Now gm = 2IDSS 81 - ` - 2.5 jB = 2 mS I IC + IZ = bIB + IZ Since IC = bIB
VP -5
V = bIZ + IZ = (b + 1) IZ since IB = IZ
AV = 0 =- gm RD
Vi or IZ = I
b+1
So, =- 2ms # 3k =- 6
= 1 = 0.01 A
99 + 1
S169 Option (C) is correct.
Power dissipated in zener diode is
The current gain of a BJT is PZ = VZ IZ = 9.5 # 0.01 = 95 mW
hfe = gm rp IC = bIZ = 99 # 0.1 = 0.99 A
VCE = Vo = 10 V
S170 Option (A) is correct. Power dissipated in transistor is
The ideal op-amp has following characteristic : PT = VC IC = 10 # 0.99 = 9.9 W
Ri " 3
R0 " 0 S174 Option (B) is correct.
and A"3 From the it may be easily seen that the tank circuit is
having 2-capacitors and one-inductor, so it is colpits
S171 Option (C) is correct.
oscillator and frequency is
Both statements are correct because 1
(1) A stable multivibrator can be used for generating f =
2p LCeq
square wave, because of its characteristic.
(2) Bi-stable multivibrator can store binary information, Ceq = C1 C2 = 2 # 2 = 1 pF
C1 + C2 4
and this multivibrator also give help in all digital kind of
f = 1
storing.
2p 10 # 10 - 6 # 10 - 12
9
S172 Option (B) is correct. = 1 # 10 = 50.3 MHz
If fT is the frequency at which the short circuit common 2p 10
emitter gain attains unity magnitude then
gm 38 # 10 - 3 S175 Option (D) is correct.
fT = =
2p (Cm + Cp) 2p # (10 - 14 + 4 # 10 - 13) The circuit is as shown below
= 1.47 # 1010 Hz
If fB is bandwidth then we have
f 10
fB = T = 1.47 # 10 = 1.64 # 108 Hz
b 90

S173 Option (C) is correct.


If we neglect current through RB then it can be open
circuit as shown in fig.
Let V- be the voltage of inverting terminal, since non
inverting terminal a at ground, the output voltage is
Vo = AOL V- ...(1)
Now applying KCL at inverting terminal we have
V- - Vs + V- - V0 = 0 ...(2)
R1 R2
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 244 Analog Circuits Chapter 6

region, and the input applied voltage is +ve.


From (1) and (2) we have So, V0 =+ Vsat = 15 V
VO = A = - R2
CL
Vs R - R2 + R1 S180 Option (A) is correct.
ROL
Substituting the values we have At high frequency
- 10k gm
ACL = =- 1000 . - 11 Ai =- '
1k - 10 k + 1 k 89 + jw (C)
gbc
100k or, Ai \ 1
Capacitance
S176 Option (A) is correct. and Ai a 1
The first OPAMP stage is the differentiator and second frequency
OPAMP stage is integrator. Thus if input is cosine term, Thus due to the transistor capacitance current gain of a
output will be also cosine term. Only option (A) is cosine bipolar transistor drops.
term. Other are sine term. However we can calculate as
follows. The circuit is shown in fig S181 Option (C) is correct.
As OP-AMP is ideal, the inverting terminal at virtual
ground due to ground at non-inverting terminal. Applying
KCL at inverting terminal
sC (v1 sin wt - 0) + sC (V2 sin wt - 0) + sC (Vo - 0) = 0
or Vo =- (V1 + V2) sin wt

S182 Option (D) is correct.


There is R - C , series connection in parallel with parallel
Applying KCL at inverting terminal of first OP AMP we R - C combination. So, it is a wein bridge oscillator
have because two resistors R1 and R2 is also in parallel with
V1 = - wjL = - 100 # 10 # 10 - 3 = - 1 them.
VS R 10 10
- jVS S183 Option (A) is correct.
or V1 = = j cos 100t
10 The given circuit is a differentiator, so the output of
Applying KCL at inverting terminal of second OP AMP triangular wave will be square wave.
we have
VO = - 1/jwC S184 Option (B) is correct.
V1 100 In sampling and hold circuit the unity gain non-inverting
=- 1 = j10 amplifier is used.
j100 # 10 # 10 - 6 # 100
or V0 = j10V2 = j10 (- j cos 100t) S185 Option (D) is correct.
V0 = 10 cos 100t The Thevenin equivalent is shown below
S177 Option (C) is correct.
With the addition of RE the DC abis currents and voltages
remain closer to the point where they were set by the
circuit when the outside condition such as temperature
and transistor parameter b change.

S178 Option (A) is correct.


Common mode gain is
AC = aRC VT = R1 V = 5
# 15 = 5 V
REE R1 + R2 C 10 + 5
Since source resistance of the current source is infinite Since b is large is large, IC . IE , IB . 0 and
REE = 3 , common mode gain AC = 0
IE = VT - VBE = 5 - 0.7 = 4.3 = 10 mA
S179 Option (D) is correct. RE 0.430kW 0.430KW
In positive feed back it is working as OP-AMP in saturation
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 6 Analog Circuits Page 245

S186 Option (C) is correct. So, R in = 1#103 (1 + 0.99#100) = 100 kW


The output voltage will be input offset voltage multiplied Similarly output impedance is given by
by open by open loop gain. Thus ROUT = R0 R = output impedance
So V0 = 5mV # 10, 000 = 50 V (1 + bv Av) 0
But V0 = ! 15 V in saturation condition Thus ROUT = 100 = 1W
So, it can never be exceeds !15 V (1 + 0.99 # 100)
So, V0 = ! Vset = ! 15V
S192 Option (B) is correct.
S187 Option (A) is correct. Regulation = Vno - load - Vfuel - load
Vfull - load
S188 Option (A) is correct. = 30 - 25 # 100 = 20%
25
Negative feedback in amplifier reduces the gain of the
system. Output resistance = 25 = 25 W
1

S189 Option (A) is correct. S193 Option (D) is correct.


By drawing small signal equivalent circuit This is a voltage shunt feedback as the feedback samples a
portion of output voltage and convert it to current (shunt).

S194 Option (A) is correct.


In a differential amplifier CMRR is given by
(1 + b) IQ R 0
CMRR = 1 ;1 + E
2 VT b
So where R 0 is the emitter resistance. So CMRR can be
improved by increasing emitter resistance.

S195 Option (C) is correct.


by applying KCL at E2 We know that rise time (tr ) is
V
gm1 Vp - p = gm2 Vp
2

rp
tr = 0.35
1 2
2

at C2 i 0 =- gm2 Vp fH
2

from eq (1) and (2) where fH is upper 3 dB frequency. Thus we can obtain
upper 3 dB frequency it rise time is known.
gm1 Vp + i 0 =- i 0
1
gm2 rp 2

1 S196 Option (D) is correct.


gm1 Vp =- i 0 :1 +
1
gm2 rp D
2 In a BJT differential amplifier for a linear response
gm2 rp 2
= b >> 1 Vid < VT .
so gm1 Vp 1
=- i 0
i0 =- gm1 S197 Option (D) is correct.
Vp 1
In a shunt negative feedback amplifier.
i0 = gm1 Vp = Vi
Vi
a 1 Input impedance
R in = Ri
S190 Option (B) is correct. (1 + bA)
Crossover behavior is characteristic of calss B output where Ri = input impedance of basic amplifier
stage. Here 2 transistor are operated one for amplifying b = feedback factor
+ve going portion and other for -ve going portion. A = open loop gain
So, R in < Ri
S191 Option (C) is correct. Similarly
In Voltage series feedback mode input impedance is given ROUT = R0
by (1 + bA)
R in = Ri (1 + bv Av) ROUT < R 0
where bv = feedback factor , Thus input & output impedances decreases.
Av = openloop gain
and Ri = Input impedance S198 Option (A) is correct.
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 246 Analog Circuits Chapter 6

S199 Option (D) is correct. S205 Option (A) is correct.


Comparator will give an output either equal to + Vsupply or In common emitter stage input impedance is high, so in
- Vsupply . So output is a square wave. cascaded amplifier common emitter stage is followed by
common base stage.
S200 Option (C) is correct.
In series voltage regulator the pass transistor is in S206 Option (C) is correct.
common collector configuration having voltage gain close We know that collect-emitter break down voltage is less
to unity. than compare to collector base breakdown voltage.
BVCEO < BVCBO
S201 Option (D) is correct. both avalanche and zener break down. Voltage are higher
In bridge rectifier we do not need central tap transformer, than BVCEO .So BVCEO limits the power supply.
so its less expensive and smaller in size and its PIV (Peak
inverse voltage) is also greater than the two diode circuit, S207 Option (C) is correct.
so it is also suitable for higher voltage application.

S202 Option (C) is correct.


In the circuit we have
V2 = IS # RD
2
and V1 = IS # RD
If we assume consider the diode in reverse bias then Vn
V2 = 1
V1 2 should be greater than VP .
VP < Vn
V1 = 2V2
by calculating
S203 Option (C) is correct. VP = 10 # 4 = 5 Volt
4+4
Vn = 2 # 1 = 2 Volt
S204 Option (C) is correct.
here VP > Vn (so diode cannot be in reverse bias mode).
The equivalent circuit of given amplifier circuit (when CE
is connected, RE is short-circuited)

apply node equation at node a


Input impedance Ri = RB || r p Va - 10 + Va + Va = 2
Voltage gain AV = gm RC 4 4 1
Now, if CE is disconnected, resistance RE appears in the 6Va - 10 = 8
circuit Va = 3 Volt
so current Ib = 0 - 3 + 10 - 3
4 4
Ib = 10 - 6 = 1 amp
4

S208 Option (D) is correct.


Applying node equation at terminal (2) and (3) of OP
-amp

Input impedance R in = RB || [rp + (b + 1)] RE


Input impedance increases
gm RC
Voltage gain AV = Voltage gain decreases.
1 + gm R E
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 6 Analog Circuits Page 247

= 4 = 0.4 mA
(10 kW) p p

S211 Option (D) is correct.


In given circuit positive feedback is applied in the op-
amp., so it works as a Schmitt trigger.

S212 Option (D) is correct.


Gain with out feedback factor is given by
V0 = kVi
Va - Q Va - V0
+ =0 After connecting feedback impedance Z
5 10
2Va - 4 + Va - V0 =0
V0 = 3Va - 4
Va - V0 + Va - 0 =0
100 10
Va - V0 + 10Va =0
11Va = V0
Va = V0
11
given input impedance is very large, so after connecting
So V0 = 3V0 - 4 Z we have
11
8V0 =- 4 Ii = Vi - V0 V0 = kVi
Z
11
V0 =- 5.5 Volts Ii = Vi - kVi
Z

S209 Option (B) is correct. input impedance Zin = Vi = Z


Ii (1 - k)
Circuit with diode forward resistance looks
S213 Option (A) is correct.

S214 Option (A) is correct.


For the circuit, In balanced condition It will oscillated at
a frequency
w= 1 = 1
LC 10 #10 #.01#10-6
-3
5
So the DC current will = 10 rad/ sec
IDC = Vm In this condition
p (R f + RL) R1 = R 3
R2 R4
S210 Option (D) is correct. 5 =R
100 1
For the positive half cycle of input diode D1 will conduct
& D2 will be off. In negative half cycle of input D1 will R = 20 kW = 2 # 10 4 W
be off & D2 conduct so output voltage wave from across
S215 Option (C) is correct.
resistor (10 kW) is –
V0 kept constant at V0 = 6 volt
so current in 50 W resistor
I = 9-6
50 W
I = 60 m amp
Maximum allowed power dissipation in zener
PZ = 300 mW
Ammeter will read rms value of current Maximum current allowed in zener
so I rms = Vm (half wave rectifier) PZ = VZ (IZ ) max = 300 # 10-3
pR
& = 6 (IZ ) max = 300 # 10-3
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 248 Analog Circuits Chapter 6

& = (IZ ) max = 50 m amp I L = I - IZ


Given knee current or minimum current in zener (IL) min = I - (IZ ) max
(IZ ) min = 5 m amp = (60 - 50) m amp = 10 m amp
In given circuit I = IZ + I L (IL) max = I - (IZ ) min
= (60 - 5) = 55 m amp

***********
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 7 Digital Electronics Page 249

CHAPTER 7
DIGITAL ELECTRONICS

GATE 2016 EC01 ONE MARK being the more significant select bit.

Q1 The output of the combinational circuit given below


is

Which one of the following statements correctly describes


the choice of signals to be connected to the inputs I 0 , I1 ,
I2 and I 3 so that the output is C out ?
(A) I 0 = 0 , I1 = C in , I2 = C in and I 3 = 1
(B) I 0 = 1, I1 = C in , I2 = C in and I 3 = 1
(A) A + B + C (B) A (B + C )
(C) I 0 = C in , I1 = 0 , I2 = 1 and I 3 = C in
(C) B (C + A) (D) C (A + B)
(D) I 0 = 0 , I1 = C in , I2 = 2 and I 3 = C in

GATE 2016 EC02 ONE MARK


GATE 2016 EC03 ONE MARK

Q2 Assume that all the digital gates in the circuit shown


Q4 In an 8085 microprocessor, the contents of the
in the figure are ideal, the resistor R = 10 kW and the
accumulator and the carry flag are A7 (in hex) and 0,
supply voltage is 5 V. The D flip-flops D1 , D2 , D 3 , D 4
respectively. If the instruction RLC is executed then the
and D5 are initialized with logic values, 0, 1, 0, 1 and 0,
contents of the accumulator (in hex) and the carry flag,
respectively. The clock has a 30% duty cycle.
respectively, will be
(A) 4E and 0 (B) 4E and 1
(C) 4F and 0 (D) 4F and 1

Q5 The logic functionality realized by the circuit shown


below is

The average power dissipated (in mW) in the resistor R


is _____
Get More Study Material by
Whatsapp. Send Your College Pin
Code, Branch and BTech year to (A) OR (B) XOR
(C) ANAD (D) AND
9414243489 by whatsapp
Q6 The minimum number of 2-input NAND gates
Q3 A 4 : 1 multiplexer is to be used for generating the required to implement a 2-input XOR gate is
output carry of a full adder. A and B are the bits to be (A) 4 (B) 5
added while C in is the input carry and C out is the output (C) 6 (D) 7
carry. A and B are to be used as the select bits with A
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 250 Digital Electronics Chapter 7

GATE 2016 EC01 TWO MARKS GATE 2016 EC02 TWO MARKS

Q7 Identify the circuit below. Q10 An 8 Kbyte ROM with an active low Chip Select
input ^CSh is to be used in an 8085 microprocessor based
system. The ROM should occupy the address range 1000
H to 2FFFH. The address lines are designed as A 15 to A 0
, where A 15 is the most significant address bit. Which one
of the following logic expressions will generate the correct
CS signal for this ROM?
(A) A 15 + A 14 + ^A 13 $ A 12 + A 13 $ A 12h
(B) A 15 $ A 14 $ ^A 13 + A 12h
(C) A 15 + A 14 $ ^A 13 $ A 12 + A 13 $ A 12h
(D) A 15 + A 14 + A 13 $ A 12

(A) Binary to Gray code converter Q11 In an N bit flash ADC , the analog voltage is fed
(B) Binary to XS3 converter simultaneously to 2N - 1 comparators. The output of the
(C) Gray to Binary converter comparators is then encoded to a binary format using
(D) XS3 Binary converter digital circuits. Assume that the analog voltage source Vin
(whose output is being converted to digital format) has a
source resistance of 75W as shown in the circuit diagram
Q8 The functionality implemented by the circuit below below and the input capacitance of each comparator is 8
is pF. The input must settle to an accuracy of 1/2 LSB even
for a full scale input change for proper conversion. Assume
that the time taken by the thermometer to binary encoder
is negligible.

(A) 2-to-1 multiplexer (B) 4-to-1 multiplexer


(C) 7-to-1 multiplexer (D) 6-to-1 multiplexer
If the flash ADC has 8 bit resolution, which one of the
Q9 In a 8085 system, a PUSH operation requires more following alternatives is closest to the maximum sampling
clock cycles than a POP operation, which one of the rate?
following options is the correct reason for this? (A) 1 megasamples per second
(A) For POP, the data transceivers remain in the (B) 6 megasamples per second
same direction as for instruction fetch (memory to
(C) 64 megasamples per second
processor), whereas for PUSH their direction has to
be reversed (D) 256 megasamples per second
(B) Memory write operations are slower than memory
read operations in an 8085 based system. GATE 2016 EC03 TWO MARKS
(C) The stack pointer needs to be pre-determined before
writing registers in a PUSH, whereas a POP operation Q12 Following is the K-map of a Boolean function of five
uses the address already in the stack pointer. variables, P, Q, R, S and X . The minimum sum-of-produce
(D) Order of registers has to be interchanged for a PUSH (SOP) expression for the function is
operation, whereas POP uses their natural order.
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 7 Digital Electronics Page 251

GATE 2015 EC01 ONE MARK

Q15 In an 8085 microprocessor, the shift registers which


store the result of an addition and the overflow bit are,
respectively
(A) B and F (B) A and F
(C) H and F (D) A and C

(A) P QSX + P QS X + QR S X + QRS X Q16 A 16 Kb ( = 16, 384 bit) memory array is designed
(B) QSX + QS X as a square with an aspect ratio of one (number of rows is
(C) QSX + QS X equal to the number of columns). The minimum number
(D) QS + QS of address lines needed for the row decoder is_____.

Q17 Consider a four bit D to A converter. The analog


Q13 For the circuit shown in the figure, the delays of NOR
value corresponding to digital signals of values 0000
gates, multiplexers and inverters are 2 ns, 1.5 ns and 1 ns,
and 0001 are 0 V and 0.0625 V respectively. The analog
respectively. If all the inputs P, Q, R, S and T are applied
value (in Volts) corresponding to the digital signal 1111
at the same time instant, the maximum propagation delay
is_____.
(in ns) of the circuit is ______

GATE 2015 EC02 ONE MARK

Q18 In the figure shown, the output Y is required to be


Y = AB + C D . The gates G1 and G2 must be, respectively,

Q14 For the circuit shown in the figure, the delay of the (A) NOR, OR (B) OR, NAND
bubbled NAND gate is 2ns and that of the counter is
(C) NAND, OR (D) AND, NAND
assumed to be zero

Q19 In an 8085 microprocessor, which one of the following


instructions changes the content of the accumulator?
(A) MOV B, M (B) PCHL
(C) RNZ (D) SBI BEH

Q20 A mod-n counter using a synchronous binary up-


counter with synchronous clear input is shown in the
figure. The value of n is_____.

If the clock (C1k) frequency is 1Ghz, the counter behaves


as a
(A) mod-5 counter (B) mod-6 counter
(C) mod-7 counter (D) mod-8 counter
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 252 Digital Electronics Chapter 7

GATE 2015 EC03 ONE MARK Z = 1 for a duration (in ns) of

Q21 Which one of the following 8085 microprocessor


programs correctly calculates the product of two 8-bit
numbers stored in registers B and C?
(A) MVI A, 00H
JNZ LOOP
CMP C
LOOP DCR B Q24 A 3-input majority gate is defined by the logic function
HLT M (a, b, c) = ab + bc + ca. Which one of the following gates
is represented by the function M (M (a, b, c), M (a, b, c ), c) ?
(B) MVI A, 00H
(A) 3-input NAND gate
CMP C
(B) 3-input XOR gate
LOOP DCR B
(C) 3-input NOR gate
JNZ LOOP
(D) 3-input XNOR gate
HLT
(C) MVI A, 00H
Q25 The Boolean expression F (X, Y, Z) = XYZ + XY Z
LOOP ADD C + XYZ + XYZ converted into the canonical product of
DCR B sum (POS) form is
JNZ LOOP (A) (X + Y + Z) (X + Y + Z ) (X + Y + Z ) (X + Y + Z )
HLT (B) (X + Y + Z) (X + Y + Z ) (X + Y + Z) (X + Y + Z )
(D) MVI A, 00H (C) (X + Y + Z) (X + Y + Z ) (X + Y + Z) (X + Y + Z )
ADD C (D) (X + Y + Z ) (X + Y + Z) (X + Y + Z) (X + Y + Z)
JNZ LOOP
LOOP INR B GATE 2015 EC02 TWO MARKS
HLT
Q26 The figure shows a binary counter with synchronous
Q22 The circuit shown consists of J -K flip-flops, each clear input. With the decoding logic shown, the counter
with an active low asynchronous reset (Rd input). The works as a
counter corresponding to this circuit is

(A) a modulo-5 binary up counter


(B) a modulo-6 binary down counter
(C) a modulo-5 binary down counter (A) mod-2 counter (B) mod-4 counter
(D) a modulo-6 binary up counter (C) mod-5 counter (D) mod-6 counter

GATE 2015 EC01 TWO MARKS Q27 A 1-to-8 demultiplexer with data input D in , address
inputs S 0 , S1 , S2 (with S 0 as the LSB) and Y0 to Y7 as the
Q23 All the logic gates shown in the figure have a eight demultiplexed output, is to be designed using two
propagation delay of 20 ns. Let A = C = 0 and B = 1 until 2-to-4 decoders (with enable input E and address input
time t = 0 . At t = 0 , all the inputs flip (i.e., A = C = 1 A 0 and A1 ) as shown in the figure D in , S 0 , S1 and S2 are
and B = 0 ) and remain in that state. For t > 0 , output to be connected to P , Q , R, and S , but not necessarily in
this order. The respective input connections to P, Q , R
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 7 Digital Electronics Page 253

and S terminals should be functional by changing

(A) NOR gates to NAND gates


(B) inverters to buffers
(C) NOR gates to NAND gates and inverters to buffers
(A) S2 , D in , S 0 , S1 (B) S1 , D in , S 0 , S2 (D) 5 V to ground
(C) D in , S 0 , S1 , S2 (D) D in , S2 , S 0 , S1
Q31 A universal logic gate can implement any Boolean
Q28 A function of Boolean variables X , Y and Z is function by connecting sufficient number of them
expressed in terms of the minterms as appropriately. Three gates are shown.
F (X, Y, Z) = S (1, 2, 5, 6, 7)
Which one of the product of sums given below is equal to
the function F (X, Y, Z)?
(A) (X + Y + Z ) $ (X + Y + Z) $ (X + Y + Z )
(B) (X + Y + Z) $ (X + Y + Z ) $ (X + Y + Z)
(C) (X + Y + Z) $ (X + Y + Z ) $ (X + Y + Z)
$ (X + Y + Z ) $ (X + Y + Z)
(D) (X + Y + Z ) $ (X + Y + Z) $ (X + Y + Z )
$ (X + Y + Z) $ (X + Y + Z )

GATE 2015 EC03 TWO MARKS


Which one of the following statements is TRUE?
Q29 A three bit pseudo random number generator is (A) Gate 1 is a universal gate
shown. Initially the value of output Y / Y2 Y1 Y0 is set to (B) Gate 2 is a universal gate
111. The value of output Y after three clock cycles is
(C) Gate 3 is a universal gate
(D) None of the gates shown is a universal gate

GATE 2014 EC01 ONE MARK

Q32 In the following circuit employing pass transistor


logic, all NMOS transistors are identical with a threshold
voltage of 1 V . Ignoring the body-effect, the output
(A) 000 (B)001 voltages at P , Q and R are,
(C) 010 (D) 100

Q30 An SR latch is implemented using TTL gates as


shown in the figure. The set and reset inputs are provided
using the push-button switches. It is observed that the
circuit fails to work as desired. The SR latch can be made
(A) 4 V , 3 V , 2 V (B) 5 V , 5 V , 5 V
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 254 Digital Electronics Chapter 7

(C) 4 V , 4 V , 4 V (D) 5 V , 4 V , 3 V Q39

Q33 The Boolean expression Q40 The circuit shown in the figure is a
^X + Y h^X + Y h + ^XY h + X simplifies to
(A) X (B) Y
(C) XY (D) X + Y

Q34 Five JK flip-flops are cascaded to form the circuit


shown in Figure. Clock pulses at a frequency of 1 MHz are
applied as shown. The frequency (in kHz) of the waveform (A) Toggle Flip Flop
at Q3 is_____ (B) JK Flip Flop
(C) SR Latch
(D) Master Slave D Flip Flop

Q41 Consider the multiplexer based logic circuit shown


in the figure.

GATE 2014 EC02 ONE MARK

Q35 For an n -variable Boolean function, the maximum


number of prime implicants is
(A) 2 ^n - 1h (B) n/2
Which one of the following Boolean functions is realized
(C) 2 n
(D) 2^n - 1h by the circuit ?
(A) F = WS 1 S 2 (B) F = WS1 + WS2 + S1 S2
Q36 The number of bytes required to represent the (C) F = W + S1 + S2 (D) F = W 5 S1 5 S2
decimal number 1856357 in packed BCD (Binary Coded
Decimal) form is_____.
GATE 2014 EC04 ONE MARK

Q37 In a half-subtractor circuit with X and Y as inputs,


the Borrow (M ) and Difference ^N = X - Y h are given by Q42 In the circuit shown in the figure, if C = 0 , the
(A) M = X 5 Y , N = XY expression for Y is
(B) M = XY , N = X 5 Y
(C) M = XY , N = X 5 Y
(D) M = XY , N = X 5 Y

GATE 2014 EC03 ONE MARK

Q38 An analog voltage in the range 0 to 8 V is divided


in 16 equal intervals for conversion to 4-bit digital output.
The maximum quantization error (in V) is_____.
Get More Study Material by (A) Y = AB + AB (B) Y = A + B
Whatsapp. Send Your College Pin (C) Y = A + B (D) Y = AB

Code, Branch and BTech year to


Q43 The output (Y ) of the circuit shown in the figure is
9414243489 by whatsapp
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 7 Digital Electronics Page 255

Suppose the XOR gate is replaced by an XNOR gate.


Which one of the following options preserves the state
diagram ?
(A) Input A is connected to Q2
(A) A + B + C (B) A + B : C + A : C
(B) Input A is connected to Q2
(C) A + B + C (D) A : B : C
(C) Input A is connected to Q1 and S is complemented
(D) Input A is connected to Q1
GATE 2014 EC01 TWO MARKS

GATE 2014 EC02 TWO MARKS


Q44 The output F in the digital logic circuit shown in
the figure is
Q47 In the circuit shown, choose the correct timing
diagram of the output ^y h from the given waveforms W1,
W2, W3 and W4.

(A) F = XYZ + XY Z (B) F = XYZ + XY Z


(C) F = X Y Z + XYZ (D) F = X Y Z + XYZ

Q45 Consider the Boolean function, F ^w, x, y, z h


= wy + xy + wxyz + w xy + xz + x y z . Which one of the
following is the complete set of essential prime implicants
?
(A) w, y, xz, x z (B) w, y, xz
(C) y, x y z (D) y, xz, x z

Get More Study Material by


Whatsapp. Send Your College Pin
Code, Branch and BTech year to
9414243489 by whatsapp
Q46 The digital logic shown in the figure satisfies the
given state diagram when Q1 is connected to input A of
the XOR gate.
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 256 Digital Electronics Chapter 7

(A) W1 (B) W2 (A) MVI A, F8H (B) IN F8H


(C) W3 (D) W4 (C) OUT F8H (D) LDA F8F8H

Q48 The outputs of the two flip-flops Q1, Q2 in the figure GATE 2014 EC03 TWO MARKS
shown are initialized to 0, 0. The sequence generated at
Q1 upon application of clock signal is
Q50If WL is the Word Line and BL the Bit Line, an
SRAM cell is shown in

(A) 01110.... (B) 01010....


(C) 00110.... (D) 01100....

Q49 For the 8085 microprocessor, the interfacing circuit


to input 8-bit digital data ^DI 0 - DI7h from an external
device is shown in the figure. The instruction for correct
data transfer is

Q51 In the circuit shown, W and Y are MSBs of the


control inputs. The output F is given by
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 7 Digital Electronics Page 257

(A) F = WX + W X + Y Z (B) F = WX + W X + Y Z
(C) F = WX Y + W XY (D) F = ^W + X h Y Z (A) Y = ABC + AC D (B) Y = ABC + ABD
(C) Y = ABC + ACD (D) Y = A BD + ABC
Q52 If X and Y are inputs and the Difference ^D = X - Yh
and the Borrow (B) are the outputs, which one of the Q54 A 16-bit ripple carry adder is realized using 16
following diagrams implements a half-subtractor ? identical full adders (FA) as shown in the figure. The
carry-propagation delay of each FA is 12 ns and the sum-
propagation delay of each FA is 15 ns. The worst case
delay (in ns) of this 16-bit adder will be ______.

Q55 An 8085 microprocessor executes “STA 1234H” with


starting address location 1FFEH (STA copies the contents
of the Accumulator to the 16-bit address location). While
the instruction is fetched and executed, the sequence of
values written at the address pins A15 - A 8 is
(A) 1FH, 1FH, 20H, 12H
(B) 1FH, FEH, 1FH, FFH, 12H
(C) 1FH, 1FH, 12H, 12H
(D) 1FH, 1FH, 12H, 20H, 12H

GATE 2014 EC04 TWO MARKS


GATE 2013 ONE MARK

Q53 An 8-to-1 multiplexer is used to implement a logical


Q56 A bulb in a staircase has two switches, one switch
function Y as shown in the figure. The output Y is given
being at the ground floor and the other one at the first
by
floor. The bulb can be turned ON and also can be turned
OFF by any one of the switches irrespective of the state
of the other switch. The logic of switching of the bulb
resembles
(A) and AND gate (B) an OR gate
(C) an XOR gate (D) a NAND gate

Q57 For 8085 microprocessor, the following program is


Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 258 Digital Electronics Chapter 7

executed.
MVI A, 05H;
MVI B, 05H;
PTR: ADD B;
DCR B;
JNZ PTR;
ADI 03H;
HLT; In this circuit, the race around
At the end of program, accumulator contains (A) does not occur
(A) 17H (B) 20H (B) occur when CLK = 0
(C) 23H (D) 05H (C) occur when CLK = 1 and A = B = 1
(D) occur when CLK = 1 and A = B = 0
GATE 2013 TWO MARKS
Q60 The output Y of a 2-bit comparator is logic 1
Q58 There are four chips each of 1024 bytes connected to whenever the 2-bit input A is greater than the 2-bit input
a 16 bit address bus as shown in the figure below, RAMs B . The number of combinations for which the output is
1, 2, 3 and 4 respectively are mappped to addresses logic 1, is
(A) 4 (B) 6
(C) 8 (D) 10

Q61 In the circuit shown

(A) Y = A B + C (B) Y = (A + B) C
(C) Y = (A + B ) C (D) Y = AB + C

(A) 0C00H-0FFFH, 1C00H-1FFFH, 2C00H-2FFFH,


Q62 In the sum of products function
3C00H-3FFFH
(B) 1800H-1FFFH, 2800H-2FFFH, 3800H-3FFFH,
/
f (X, Y, Z) = (2, 3, 4, 5), the prime implicants are
4800H-4FFFH (A) XY, XY
(C) 0500H-08FFH, 1500H-18FFH, 3500H-38FFH, (B) XY, X Y Z , XY Z
5500H-58FFH (C) XY Z , XYZ, XY
(D) 0800H-0BFFH, 1800H-1BFFH, 2800H-2BFFH, (D) XY Z , XYZ, XY Z , XY Z
3800H-3BFFH

GATE 2012 TWO MARKS


GATE 2012 ONE MARK

Q63 The state transition diagram for the logic circuit


Q59 Consider the given circuit shown is
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 7 Digital Electronics Page 259

Q66 The logic function implemented by the circuit below


is (ground implies a logic “0”)

(A) F = AND ^P, Q h (B) F = OR ^P, Q h


(C) F = XNOR ^P, Q h (D) F = XOR ^P, Q h

GATE 2011 TWO MARKS

Q67 The output of a 3-stage Johnson (twisted ring)


counter is fed to a digital-to analog (D/A) converter as
GATE 2011 ONE MARK shown in the figure below. Assume all states of the counter
to be unset initially. The waveform which represents the
D/A converter output Vo is
Q64 The output Y in the circuit below is always ‘1’ when

(A) two or more of the inputs P, Q, R are ‘0’


(B) two or more of the inputs P, Q, R are ‘1’
(C) any odd number of the inputs P, Q, R is ‘0’
(D) any odd number of the inputs P, Q, R is ‘1’

Q65 When the output Y in the circuit below is “1”, it


implies that data has

(A) changed from “0” to “1”


(B) changed from “1” to “0”
(C) changed in either direction
(D) not changed
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 260 Digital Electronics Chapter 7

(A) P-2, Q-4, R-1, S-3 (B) P-4, Q-2, R-1, S-3
(C) P-2, Q-4, R-3, S-1 (D) P-4, Q-2, R-3, S-1

Q71 In the circuit shown, the device connected Y5 can


have address in the range

Q68 Two D flip-flops are connected as a synchronous


counter that goes through the following QB QA sequence
00 " 11 " 01 " 10 " 00 " ....
The connections to the inputs DA and DB are
(A) DA = QB, DB = QA
(B) DA = Q A, DB = Q B
(C) DA = (QA Q B + Q A QB), DB = QA
(D) DA = (QA QB + Q A Q B), DB = Q B

Q69 An 8085 assembly language program is given below.


Assume that the carry flag is initially unset. The content
of the accumulator after the execution of the program is
(A) 2000 - 20FF (B) 2D00 - 2DFF
(C) 2E00 - 2EFF (D) FD00 - FDFF

Q72 For the output F to be 1 in the logic circuit shown,


the input combination should be

(A) 8CH (B) 64H


(C) 23H (D) 15H

GATE 2010 ONE MARK


(A) A = 1, B = 1, C = 0 (B) A = 1, B = 0, C = 0
(C) A = 0, B = 1, C = 0 (D) A = 0, B = 0, C = 1
Q70 Match the logic gates in Column A with their
equivalents in Column B GATE 2010 TWO MARKS

Q73 Assuming that the flip-flop are in reset condition


initially, the count sequence observed at QA , in the circuit
shown is

(A) 0010111... (B) 0001011...


(C) 0101111... (D) 0110100....
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 7 Digital Electronics Page 261

Q74 The Boolean function realized by the logic circuit GATE 2009 TWO MARKS
shown is
Q78 If X=1 in logic equation
7X + Z {Y + (Z + XY )}A{X + X (X +Y)} = 1, then
(A) Y = Z (B) Y = Z
(C) Z = 1 (D) Z = 0

Q79 What are the minimum number of 2- to -1 multiplexers


required to generate a 2- input AND gate and a 2- input
Ex-OR gate
(A) F = Sm (0, 1, 3, 5, 9, 10, 14) (A) 1 and 2 (B) 1 and 3
(B) F = Sm (2, 3, 5, 7, 8, 12, 13) (C) 1 and 1 (D) 2 and 2
(C) F = Sm (1, 2, 4, 5, 11, 14, 15)
(D) F = Sm (2, 3, 5, 7, 8, 9, 12) Q80 What are the counting states (Q1, Q2) for the counter
shown in the figure below
Q75 For the 8085 assembly language program given
below, the content of the accumulator after the execution
of the program is

(A) 11, 10, 00, 11, 10,... (B) 01, 10, 11, 00, 01...
(C) 00, 11, 01, 10, 00... (D) 01, 10, 00, 01, 10...
(A) 00H (B) 45H
(C) 67H (D) E7H Statement For Linked Answer Q 80 and 81
Two products are sold from a vending machine, which has
GATE 2009 ONE MARK two push buttons P1 and P2 .
When a buttons is pressed, the price of the corresponding
product is displayed in a 7 - segment display. If no buttons
Q76 The full form of the abbreviations TTL and CMOS
are pressed, '0' is displayed signifying ‘Rs 0’.
in reference to logic families are
If only P1 is pressed, ‘2’ is displayed, signifying ‘Rs. 2’
(A) Triple Transistor Logic and Chip Metal Oxide
If only P2 is pressed ‘5’ is displayed, signifying ‘Rs. 5’
Semiconductor
If both P1 and P2 are pressed, 'E' is displayed, signifying
(B) Tristate Transistor Logic and Chip Metal Oxide ‘Error’
Semiconductor The names of the segments in the 7 - segment display, and
(C) Transistor Transistor Logic and Complementary the glow of the display for ‘0’, ‘2’, ‘5’ and ‘E’ are shown
Metal Oxide Semiconductor below.
(D) Tristate Transistor Logic and Complementary Metal
Oxide Silicon

Q77 In a microprocessor, the service routine for a certain


interrupt starts from a fixed location of memory which
cannot be externally set, but the interrupt can be delayed
or rejected Such an interrupt is Consider
(A) non-maskable and non-vectored (1) push buttons pressed/not pressed in equivalent to
logic 1/0 respectively.
(B) maskable and non-vectored
(2) a segment glowing/not glowing in the display is
(C) non-maskable and vectored
equivalent to logic 1/0 respectively.
(D) maskable and vectored
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 262 Digital Electronics Chapter 7

Q81 If segments a to g are considered as functions of P1 (C) 11111001 (D) 111111001


and P2 , then which of the following is correct
(A) g = P 1 + P2, d = c + e (B) g = P1 + P2, d = c + e
Q86 Which of the following Boolean Expressions correctly
(C) g = P1 + P2, e = b + c (D) g = P1 + P2, e = b + c represents the relation between P, Q, R and M1

Q82 What are the minimum numbers of NOT gates and


2 - input OR gates required to design the logic of the
driver for this 7 - Segment display
(A) 3 NOT and 4 OR (B) 2 NOT and 4 OR
(C) 1 NOT and 3 OR (D) 2 NOT and 3 OR

(A) M1 = (P OR Q) XOR R
Q83 Refer to the NAND and NOR latches shown in the
figure. The inputs (P1, P2) for both latches are first made (B) M1 = (P AND Q) X OR R
(0, 1) and then, after a few seconds, made (1, 1). The (C) M1 = (P NOR Q) X OR R
corresponding stable outputs (Q1, Q2) are (D) M1 = (P XOR Q) XOR R

Q87 For the circuit shown in the figure, D has a transition


from 0 to 1 after CLK changes from 1 to 0. Assume gate
delays to be negligible
Which of the following statements is true

(A) NAND: first (0, 1) then (0, 1) NOR: first (1, 0) then
(0, 0)
(B) NAND : first (1, 0) then (1, 0) NOR : first (1, 0) then
(1, 0)
(C) NAND : first (1, 0) then (1, 0) NOR : first (1, 0) then
(0, 0)
(D) NAND : first (1, 0) then (1, 1) NOR : first (0, 1) then
(0, 1) (A) Q goes to 1 at the CLK transition and stays at 1
(B) Q goes to 0 at the CLK transition and stays 0
GATE 2008 TWO MARKS (C) Q goes to 1 at the CLK tradition and goes to 0 when
D goes to 1
Q84 The logic function implemented by the following (D) Q goes to 0 at the CLK transition and goes to 1 when
circuit at the terminal OUT is D goes to 1

Q88 For each of the positive edge-triggered J - K flip


flop used in the following figure, the propagation delay is
3t .

(A) P NOR Q (B) P NAND Q


(C) P OR Q (D) P AND Q

Q85 The two numbers represented in signed 2’s


complement form are P + 11101101 and Q = 11100110 . If
Q is subtracted from P , the value obtained in signed 2’s
complement is
Which of the following wave forms correctly represents the
(A) 1000001111 (B) 00000111
output at Q1 ?
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 7 Digital Electronics Page 263

Q91 For the circuit shown in the following, I0 - I3 are


inputs to the 4:1 multiplexers, R(MSB) and S are control
bits. The output Z can be represented by

(A) PQ + PQS + QRS


(B) PQ + PQR + PQS
Statement For Linked Answer Q 88 and 89 (C) PQR + PQR + PARS + QRS
In the following circuit, the comparators output is logic “1” (D) PQR + PQRS + PQRS + QRS
if V1 > V2 and is logic "0" otherwise. The D/A conversion
3
is done as per the relation VDAC = / 2n - 1bn Volts, where Q92 An 8085 executes the following instructions
n=0
b3 (MSB), b1, b2 and b0 (LSB) are the counter outputs. The 2710 LXI H, 30A0 H
counter starts from the clear state. 2713 DAD H
2414 PCHL
All address and constants are in Hex. Let PC be the
contents of the program counter and HL be the contents
of the HL register pair just after executing PCHL. Which
of the following statements is correct ?
PC = 2715H PC = 30A0H
(A) (B)
HL = 30A0H HL = 2715H

PC = 6140H PC = 6140H
(C) (D)
HL = 6140H HL = 2715H

GATE 2007 ONE MARK

Q93 X = 01110 and Y = 11001 are two 5-bit binary


Q89 The stable reading of the LED displays is numbers represented in two’s complement format. The
(A) 06 (B) 07 sum of X and Y represented in two’s complement format
(C) 12 (D) 13 using 6 bits is
(A) 100111 (B) 0010000
Q90 The magnitude of the error between VDAC and Vin at (C) 000111 (D) 101001
steady state in volts is
(A) 0.2 (B) 0.3 Q94 The Boolean function Y = AB + CD is to be realized
(C) 0.5 (D) 1.0 using only 2 - input NAND gates. The minimum number
of gates required is
Get More Study Material by (A) 2 (B) 3
Whatsapp. Send Your College Pin (C) 4 (D) 5
Code, Branch and BTech year to
9414243489 by whatsapp GATE 2007 TWO MARKS

Q95 In the following circuit, X is given by


Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 264 Digital Electronics Chapter 7

(A) P = 1, Q = 0; P = 1, Q = 0; P = 1, Q = 0 or
P = 0, Q = 1
(A) X = ABC + ABC + ABC + ABC (B) P = 1, Q = 0; P = 0, Q = 1; or
(B) X = ABC + ABC + ABC + ABC P = 0, Q = 1; P = 0, Q = 1
(C) X = AB + BC + AC (C) P = 1, Q = 0; P = 1, Q = 1; P = 1, Q = 0 or
(D) X = AB + BC + AC P = 0, Q = 1
(D) P = 1, Q = 0; P = 1, Q = 1; P = 1, Q = 1
Q96 The Boolean expression Y = ABC D + ABCD +
+ ABC D + ABC D can be minimized to Q99 An 8255 chip is interfaced to an 8085 microprocessor
(A) Y = ABC D + ABC + AC D system as an I/O mapped I/O as show in the figure. The
(B) Y = ABC D + BCD + ABC D address lines A0 and A1 of the 8085 are used by the 8255
(C) Y = ABCD + BC D + ABC D chip to decode internally its thee ports and the Control
register. The address lines A3 to A7 as well as the IO/M
(D) Y = ABCD + BC D + ABC D signal are used for address decoding. The range of addresses
for which the 8255 chip would get selected is
Q97 The circuit diagram of a standard TTL NOT gate is
shown in the figure. Vi = 25 V, the modes of operation of
the transistors will be

(A) F8H - FBH (B) F8GH - FCH


(C) F8H - FFH (D) F0H - F7H

Statement For Linked Answer Q 99 and 100


In the Digital-to-Analog converter circuit shown in the
figure below,
VR = 10V and R = 10kW

(A) Q1: revere active; Q2: normal active; Q3: saturation; Q4:
cut-off
(B) Q1: revere active; Q2: saturation; Q3: saturation; Q4:
cut-off
(C) Q1: normal active; Q2: cut-off; Q3: cut-off; Q4: saturation
(D) Q1: saturation; Q2: saturation; Q3: saturation; Q4:
normal active

Q100 The current is


Q98 The following binary values were applied to the X (A) 31.25mA (B) 62.5mA
and Y inputs of NAND latch shown in the figure in the
(C) 125mA (D) 250mA
sequence indicated below :
X = 0,Y = 1; X = 0, Y = 0; X = 1; Y = 1
The corresponding stable P, Q output will be. Q101 The voltage V0 is
(A) - 0.781 V (B) - 1.562 V
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 7 Digital Electronics Page 265

(C) - 3.125 V (D) - 6.250 V (C) 4 (D) 5

Statement For Linked Answer Q 101 and 102 GATE 2006 TWO MARKS

An 8085 assembly language program is given below.


Line 1: MVI A, B5H Q106 An I/O peripheral device shown in Fig. (b) below
2: MVI B, OEH is to be interfaced to an 8085 microprocessor. To select
3: XRI 69H the I/O device in the I/O address range D4 H - D7 H, its
4: ADD B chip-select (CS ) should be connected to the output of the
5: ANI 9BH decoder shown in as below :
6: CPI 9FH
7: STA 3010H
8: HLT

Q102 The contents of the accumulator just execution of


the ADD instruction in line 4 will be
(A) C3H (B) EAH
(C) DCH (D) 69H

Q103 After execution of line 7 of the program, the status (A) output 7 (B) output 5
of the CY and Z flags will be (C) output 2 (D) output 0
(A) CY = 0, Z = 0 (B) CY = 0, Z = 1
(C) CY = 1, Z = 0 (D) CY = 1, Z = 1 Q107 For the circuit shown in figures below, two 4 - bit
parallel - in serial - out shift registers loaded with the data
Q104 For the circuit shown, the counter state (Q1 Q0) shown are used to feed the data to a full adder. Initially,
follows the sequence all the flip - flops are in clear state. After applying two
clock pulse, the output of the full-adder should be

(A) 00, 01, 10, 11, 00 (B) 00, 01, 10, 00, 01
(C) 00, 01, 11, 00, 01 (D) 00, 10, 11, 00, 10 (A) S = 0, C0 = 0 (B) S = 0, C0 = 1
(C) S = 1, C0 = 0 (D) S = 1, C0 = 1
GATE 2006 ONE MARK
Q108 A new Binary Coded Pentary (BCP) number
Q105 The number of product terms in the minimized system is proposed in which every digit of a base-5 number
sum-of-product expression obtained through the following is represented by its corresponding 3-bit binary code. For
K - map is (where, "d" denotes don’t care states) example, the base-5 number 24 will be represented by its
BCP code 010100. In this numbering system, the BCP
code 10001001101 corresponds of the following number is
base-5 system
(A) 423 (B) 1324
(C) 2201 (D) 4231

(A) 2 (B) 3 Q109 A 4 - bit DAC is connected to a free - running 3 -


Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 266 Digital Electronics Chapter 7

big UP counter, as shown in the following figure. Which of The output f will be
the following waveforms will be observed at V0 ?

(A) ABC (B) A


(C) ABC (D) A

In the figure shown above, the ground has been shown by GATE 2005 ONE MARK
the symbol 4
Q113 Decimal 43 in Hexadecimal and BCD number
system is respectively
(A) B2, 0100 011 (B) 2B, 0100 0011
(C) 2B, 0011 0100 (D) B2, 0100 0100

Q114 The Boolean function f implemented in the figure


using two input multiplexes is

Q110 Following is the segment of a 8085 assembly


language program
LXI SP, EFFF H
CALL 3000 H
:
:
:
3000 H LXI H, 3CF4
PUSH PSW (A) ABC + ABC (B) ABC + ABC
SPHL
(C) ABC + ABC (D) ABC + ABC
POP PSW
RET Get More Study Material by
On completion of RET execution, the contents of SP is
(A) 3CF0 H (B) 3CF8 H Whatsapp. Send Your College Pin
(C) EFFD H (D) EFFF H Code, Branch and BTech year to
9414243489 by whatsapp
Q111 Two D - flip - flops, as shown below, are to be
connected as a synchronous counter that goes through the
sequence 00 " 01 " 11 " 10 " 00 " ...
The inputs D0 and D1 respectively should be connected as, GATE 2005 TWO MARKS

Q115 The transistors used in a portion of the TTL gate


show in the figure have b = 100 . The base emitter voltage
of is 0.7 V for a transistor in active region and 0.75 V for
a transistor in saturation. If the sink current I = 1 A and
the output is at logic 0, then the current IR will be equal
(A) Q 1 and Q0 (B) Q 0 and Q1 to
(C) Q1 Q0 and Q 1 Q0 (D) Q 1 Q 0 and Q1 Q0

Q112 The point P in the following figure is stuck at 1.


This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 7 Digital Electronics Page 267

(A) 0.65 mA (B) 0.70 mA


(C) 0.75 mA (D) 1.00 mA

Q116 The Boolean expression for the truth table shown


is

(A) 0100 - 02FF (B) 1500 - 16FF


(C) F900 - FAFF (D) F800 - F9FF

Statement For Linked Answer Q 119 and 120


Consider an 8085 microprocessor system.

Q120 The following program starts at location 0100H.


(A) B (A + C)( A + C ) (B) B (A + C )( A + C) LXI SP, OOFF
(C) B (A + C )( A + C) (D) B (A + C)( A + C ) LXI H, 0701
MVI A, 20H
SUB M
Q117 The present output Qn of an edge triggered JK The content of accumulator when the program counter
flip-flop is logic 0. If J = 1, then Qn + 1 reaches 0109 H is
(A) Cannot be determined (B) Will be logic 0 (A) 20 H (B) 02 H
(C) will be logic 1 (D) will rave around (C) 00 H (D) FF H

Q118 The given figure shows a ripple counter using Q121 If in addition following code exists from 019H
positive edge triggered flip-flops. If the present state of the onwards,
counter is Q2 Q1 Q0 = 001 then is next state Q2 Q1 Q will be ORI 40 H
ADD M
What will be the result in the accumulator after the last
instruction is executed ?
(A) 40 H (B) 20 H
(C) 60 H (D) 42 H

(A) 010 (B) 111 GATE 2004 ONE MARK


(C) 100 (D) 101
Q122 A master - slave flip flop has the characteristic that
Q119 What memory address range is NOT represents by (A) change in the output immediately reflected in the
chip # 1 and chip # 2 in the figure A0 to A15 in this figure output
are the address lines and CS means chip select. (B) change in the output occurs when the state of the
master is affected
(C) change in the output occurs when the state of the
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 268 Digital Electronics Chapter 7

slave is affected (C) -7, -7 and -7 respectively


(D) both the master and the slave states are affected at (D) -25, -9 and -57 respectively
the same time
Q128 In the modulo-6 ripple counter shown in figure, the
Q123 The range of signed decimal numbers that can be output of the 2- input gate is used to clear the J-K flip-
represented by 6-bits 1’s complement number is flop
(A) -31 to +31 (B) -63 to +63 The 2-input gate is
(C) -64 to +63 (D) -32 to +31

Q124 A digital system is required to amplify a binary-


encoded audio signal. The user should be able to control
the gain of the amplifier from minimum to a maximum in
100 increments. The minimum number of bits required to
encode, in straight binary, is
(A) 8 (B) 6
(C) 5 (D) 7
(A) a NAND gate (B) a NOR gate
(C) an OR gate (D) a AND gare
Q125 Choose the correct one from among the alternatives
A, B, C, D after matching an item from Group 1 most
appropriate item in Group 2. Q129 The minimum number of 2- to -1 multiplexers
required to realize a 4- to -1 multiplexers is
Group 1 Group 2 (A) 1 (B) 2
P. Shift register 1. Frequency division (C) 3 (D) 4

Q. Counter 2. Addressing in memory


chips Q130 The Boolean expression AC + BC is equivalent to
(A) AC + BC + AC
R. Decoder 3. Serial to parallel data
conversion (B) BC + AC + BC + ACB
(C) AC + BC + BC + ABC
(D) ABC + ABC + ABC + ABC
(A) P - 3, Q - 2, R - 1 (B) P - 3, Q - 1, R - 2
(C) P - 2, Q - 1, R - 3 (D) P - 1, Q - 2, R - 2
Q131 A Boolean function f of two variables x and y is
defined as follows :
Q126 The figure the internal schematic of a TTL AND- f (0, 0) = f (0, 1) = f (1, 1) = 1; f (1, 0) = 0
OR-OR-Invert (AOI) gate. For the inputs shown in the Assuming complements of x and y are not available, a
figure, the output Y is minimum cost solution for realizing f using only 2-input
NOR gates and 2- input OR gates (each having unit cost)
would have a total cost of
(A) 1 unit (B) 4 unit
(C) 3 unit (D) 2 unit
(A) 0 (B) 1
(C) AB (D) AB Q132 The 8255 Programmable Peripheral Interface is
used as described below.
(i) An A/D converter is interface to a microprocessor
GATE 2004 TWO MARKS
through an 8255.
The conversion is initiated by a signal from the 8255
Q127 11001, 1001, 111001 correspond to the 2’s on Port C. A signal on Port C causes data to be
complement representation of which one of the following stobed into Port A.
sets of number
(ii) Two computers exchange data using a pair of 8255s.
(A) 25,9, and 57 respectively
Port A works as a bidirectional data port supported
(B) -6, -6, and -6 respectively
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 7 Digital Electronics Page 269

by appropriate handshaking signals. GATE 2003 ONE MARK

The appropriate modes of operation of the 8255 for (i) and


(ii) would be Q136 The number of distinct Boolean expressions of 4
(A) Mode 0 for (i) and Mode 1 for (ii) variables is
(B) Mode 1 for (i) and Mode 2 for (ii) (A) 16 (B) 256
(C) Mode for (i) and Mode 0 for (ii) (C) 1023 (D) 65536
(D) Mode 2 for (i) and Mode 1 for (ii)
Q137 The minimum number of comparators required to
build an 8-bits flash ADC is
Q133 The number of memory cycles required to execute (A) 8 (B) 63
the following 8085 instructions
(i) LDA 3000 H (C) 255 (D) 256
(ii) LXI D, FOF1H
Q138 The output of the 74 series of GATE of TTL gates
would be
(A) 2 for (i) and 2 for (ii) (B) 4 for (i) and 3 for (ii) is taken from a BJT in
(A) totem pole and common collector configuration
(C) 3 for (i) and 3 for (ii) (D) 3 for (i) and 4 for (ii)
(B) either totem pole or open collector configuration
(C) common base configuration
Q134 Consider the sequence of 8085 instructions given
below (D) common collector configuration
LXI H, 9258
MOV A, M Q139 Without any additional circuitry, an 8:1 MUX can
CMA be used to obtain
MOV M, A (A) some but not all Boolean functions of 3 variables
Which one of the following is performed by this sequence ? (B) all functions of 3 variables but non of 4 variables
(A) Contents of location 9258 are moved to the accumulator
(C) all functions of 3 variables and some but not all of 4
(B) Contents of location 9258 are compared with the variables
contents of the accumulator
(D) all functions of 4 variables
(C) Contents of location 8529 are complemented and
stored in location 8529
Q140 A 0 to 6 counter consists of 3 flip flops and a
(D) Contents of location 5892 are complemented and
combination circuit of 2 input gate (s). The common
stored in location 5892
circuit consists of
(A) one AND gate
Q135 It is desired to multiply the numbers 0AH by 0BH (B) one OR gate
and store the result in the accumulator. The numbers are
(C) one AND gate and one OR gate
available in registers B and C respectively. A part of the
8085 program for this purpose is given below : (D) two AND gates
MVI A, 00H Get More Study Material by
LOOP ------
------ Whatsapp. Send Your College Pin
----- Code, Branch and BTech year to
HLT
END 9414243489 by whatsapp
The sequence of instructions to complete the program
would be
(A) JNX LOOP, ADD B, DCR C
GATE 2003 TWO MARKS
(B) ADD B, JNZ LOOP, DCR C
(C) DCR C, JNZ LOOP, ADD B
Q141 The circuit in the figure has 4 boxes each described
(D) ADD B, DCR C, JNZ LOOP by inputs P, Q, R and outputs Y, Z with Y = P 5 Q 5 R
and Z = RQ + PR + QP
The circuit acts as a
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 270 Digital Electronics Chapter 7

(A) 4 bit adder giving P + Q


(B) 4 bit subtractor giving P - Q
(C) 4 bit subtractor giving Q-P
(D) 4 bit adder giving P + Q + R

Q142 If the function W, X, Y and Z are as follows


W = R + PQ + RS
X = PQRS + PQRS + PQRS
Y = RS + PR + PQ + P .Q
Z = R + S + PQ + P .Q .R + PQ .S
Then,
(A) W = Z, X = Z (B) W = Z, X = Y
(A) 1111 (B) 1011
(C) W = Y (D) W = Y = Z
(C) 1000 (D) 0010

Q143 A 4 bit ripple counter and a bit synchronous counter


are made using flip flops having a propagation delay of 10 Q145 The DTL, TTL, ECL and CMOS famil GATE of
ns each. If the worst case delay in the ripple counter and digital ICs are compared in the following 4 columns
the synchronous counter be R and S respectively, then
(A) R = 10 ns, S = 40 ns (B) R = 40 ns, S = 10 ns (P) (Q) (R) (S)
(C) R = 10 ns S = 30 ns (D) R = 30 ns, S = 10 ns Fanout is minimum DTL DTL TTL CMOS
Power consumption TTL CMOS ECL DTL
is minimum
Q144 In the circuit shown in the figure, A is parallel-in,
parallel-out 4 bit register, which loads at the rising edge Propagation delay is CMOS ECL TTL TTL
of the clock C . The input lines are connected to a 4 bit minimum
bus, W . Its output acts at input to a 16 # 4 ROM whose The correct column is
output is floating when the input to a partial table of the (A) P (B) Q
contents of the ROM is as follows
(C) R (D) S
Data 0011 1111 0100 1010 1011 1000 0010 1000
Q146 The circuit shown in figure converts
Address 0 2 4 6 8 10 11 14

The clock to the register is shown, and the data on the W


bus at time t1 is 0110. The data on the bus at time t2 is

(A) BCD to binary code


(B) Binary to excess - 3 code
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 7 Digital Electronics Page 271

(C) Excess -3 to gray code


(D) Gray to Binary code

Q147 In an 8085 microprocessor, the instruction CMP B


has been executed while the content of the accumulator is
(A) 0 (B) 1
less than that of register B . As a result
(A) Carry flag will be set but Zero flag will be reset (C) X (D) X
(B) Carry flag will be rest but Zero flag will be set
(C) Both Carry flag and Zero flag will be rest GATE 2002 TWO MARKS
(D) Both Carry flag and Zero flag will be set
Q152 The gates G1 and G2 in the figure have propagation
delays of 10 ns and 20 ns respectively. If the input V1,
Q148 The circuit shown in the figure is a 4 bit DAC
makes an output change from logic 0 to 1 at time t = t0 ,
then the output waveform V0 is

The input bits 0 and 1 are represented by 0 and 5 V


respectively. The OP AMP is ideal, but all the resistance
and the 5 v inputs have a tolerance of !10%. The
specification (rounded to nearest multiple of 5%) for the
tolerance of the DAC is
(A) !35% (B) !20% Q153 If the input X3, X2, X1, X0 to the ROM in the figure
(C) !10% (D) !5% are 8 4 2 1 BCD numbers, then the outputs Y3, Y2, Y1, Y0
are
GATE 2002 ONE MARK

Q149 4 - bit 2’s complement representation of a decimal


number is 1000. The number is
(A) +8 (B) 0
(C) -7 (D) -8

Q150 The number of comparators required in a 3-bit


comparators type ADC
(A) 2 (B) 3
(C) 7 (D) 8
(A) gray code numbers
Q151 If the input to the digital circuit (in the figure) (B) 2 4 2 1 BCD numbers
consisting of a cascade of 20 XOR - gates is X , then the (C) excess - 3 code numbers
output Y is equal to
(D) none of the above

Q154 Consider the following assembly language program


MVI B, 87H
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 272 Digital Electronics Chapter 7

MOV A, B Q158 Ab 8085 microprocessor based system uses a 4K # 8


START : JMP NEXT bit RAM whose starting address is AA00H. The address
MVI B, 00H of the last byte in this RAM is
XRA B (A) OFFFH (B) 1000H
OUT PORT1 (C) B9FFH (D) BA00H
HLT
NEXT : XRA B
JP START GATE 2001 TWO MARKS
OUT PORT2
HTL Q159 In the TTL circuit in the figure, S2 and S0 are
The execution of above program in an 8085 microprocessor select lines and X7 and X0 are input lines. S0 and X0 are
will result in LSBs. The output Y is
(A) an output of 87H at PORT1
(B) an output of 87H at PORT2
(C) infinite looping of the program execution with
accumulator data remaining at 00H
(D) infinite looping of the program execution with
accumulator data alternating between 00H and 87H

Q155 The circuit in the figure has two CMOS NOR gates.
This circuit functions as a: (A) indeterminate (B) A 5 B
(C) A 5 B (D) C (A 5 B ) + C (A 5 B)

Q160 The digital block in the figure is realized using


two positive edge triggered D-flip-flop. Assume that for
t < t0, Q1 = Q2 = 0 . The circuit in the digital block is given
by

(A) flip-flop
(B) Schmitt trigger
(C) Monostable multivibrator
(D) astable multivibrator

GATE 2001 ONE MARKS

Q156 The 2’s complement representation of -17 is


(A) 101110 (B) 101111
(C) 111110 (D) 110001

Q157 For the ring oscillator shown in the figure, the


propagation delay of each inverter is 100 pico sec. What is
the fundamental frequency of the oscillator output

(A) 10 MHz (B) 100 MHz


(C) 1 GHz (D) 2 GHz
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 7 Digital Electronics Page 273

Q161 In the DRAM cell in the figure, the Vt of the (C) 1,1,1 (D) 0,1,1
NMOSFET is 1 V. For the following three combinations
of WL and BL voltages.
Q166 The number of hardware interrupts (which require
an external signal to interrupt) present in an 8085
microprocessor are
(A) 1 (B) 4
(C) 5 (D) 13

Q167 In the microprocessor, the RST6 instruction


(A) 5 V; 3 V; 7 V (B) 4 V; 3 V; 4 V transfer the program execution to the following location :
(C) 5 V; 5 V; 5 V (D) 4 V; 4 V; 4 V (A)30 H (B) 24 H
(C) 48 H (D) 60 H
Q162 In the figure, the LED
GATE 2000 TWO MARKS

Q168 The contents of register (B) and accumulator (A)


of 8085 microprocessor are 49J are 3AH respectively. The
contents of A and status of carry (CY) and sign (S) after
execution SUB B instructions are
(A) A = F1, CY = 1, S = 1
(B) A = 0F, CY = 1, S = 1
(C) A = F0, CY = 0, S = 0
(A) emits light when both S1 and S2 are closed
(D) A = 1F, CY = 1, S = 1
(B) emits light when both S1 and S2 are open
(C) emits light when only of S1 and S2 is closed
Q169 For the logic circuit shown in the figure, the
(D) does not emit light, irrespective of the switch positions. simplified Boolean expression for the output Y is

GATE 2000 ONE MARKS

Q163 An 8 bit successive approximation analog to digital


communication has full scale reading of 2.55 V and its
conversion time for an analog input of 1 V is 20 ms. The
conversion time for a 2 V input will be
(A) 10 ms (B) 20 ms (A) A + B + C (B) A
(C) 40 ms (D) 50 ms (C) B (D) C

Get More Study Material by


Q164 The number of comparator in a 4-bit flash ADC is
(A) 4 (B) 5
Whatsapp. Send Your College Pin
(C) 15 (D) 16 Code, Branch and BTech year to
9414243489 by whatsapp
Q165 For the logic circuit shown in the figure, the required
input condition (A, B, C) to make the output (X) = 1 is
Q170 For the 4 bit DAC shown in the figure, the output
voltage V0 is

(A) 1,0,1 (B) 0,0,1


Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 274 Digital Electronics Chapter 7

Q174 A Darlington emitter follower circuit is sometimes


used in the output stage of a TTL gate in order to
(A) increase its IOL
(B) reduce its IOH
(C) increase its speed of operation
(D) reduce power dissipation

Q175 Commercially available ECL gears use two ground


(A) 10 V (B) 5 V lines and one negative supply in order to
(C) 4 V (D) 8 V (A) reduce power dissipation
(B) increase fan-out
Q171 A sequential circuit using D flip-flop and logic gates (C) reduce loading effect
is shown in the figure, where X and Y are the inputs and (D) eliminate the effect of power line glitches or the biasing
Z is the inputs. The circuit is circuit

Q176 The resolution of a 4-bit counting ADC is 0.5 volts


. For an analog input of 6.6 volts, the digital output of the
ADC will be
(A) 1011 (B) 1101
(C) 1100 (D) 1110

GATE 1999 TWO MARKS

(A) S - R Flip-Flop with inputs X = R and Y = S Q177 The minimized form of the logical expression
(B) S - R Flip-Flop with inputs X = S and Y = R (ABC + ABC + ABC + ABC ) is
(C) J - K Flip-Flop with inputs X = J and Y = K (A) AC + BC + AB (B) AC + BC + AB
(D) J - K Flip-Flop with input X = K and Y = J (C) AC + BC + AB (D) AC + BC + AB

Q178 For a binary half-subtractor having two inputs A


Q172 In the figure, the J and K inputs of all the four
Flip-Flips are made high. The frequency of the signal at and B, the correct set of logical expressions for the outputs
output Y is D (= A minus B) and X (= borrow) are
(A) D = AB + AB, X = AB
(B) D = AB + AB + AB , X = AB
(C) D = AB + AB , X = AB
(D) D = AB + AB , X = AB

Q179 If CS = A15 A14 A13 is used as the chip select logic


of a 4 K RAM in an 8085 system, then its memory range
will be
(A) 0.833 kHz (B) 1.0 kHz (A) 3000 H - 3 FFF H
(C) 0.91 kHz (D) 0.77 kHz (B) 7000 H - 7 FFF H
(C) 5000 H - 5 FFF H and 6000 H - 6 FFF H
GATE 1999 ONE MARK (D) 6000 H - 6 FFF H and 7000 H - 7 FFF H

Q173 The logical expression y = A + AB is equivalent to Q180 The ripple counter shown in the given figure is
(A) y = AB (B) y = AB works as a
(C) y = A + B (D) y = A + B
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 7 Digital Electronics Page 275

(A) - 5 V and 0 V (B) - 5 V and 5 V


(A) mod-3 up counter (B) mod-5 up counter
(C) - 0 V and 3 V (D) 3 V and 5 V
(C) mod-3 down counter (D) mod-5 down counter

Q186 An I/O processor control the flow of information


GATE 1998 ONE MARK between
(A) cache memory and I/O devices
Q181 The minimum number of 2-input NAND gates (B) main memory and I/O devices
required to implement of Boolean function Z = ABC , (C) two I/O devices
assuming that A, B and C are available, is (D) cache and main memories
(A) two (B) three
(C) five (D) six
Q187 Two 2’s complement number having sign bits x
and y are added and the sign bit of the result is z . Then,
Q182 The noise margin of a TTL gate is about the occurrence of overflow is indicated by the Boolean
(A) 0.2 V (B) 0.4 V function
(C) 0.6 V (D) 0.8 V (A) xyz (B) x y z
(C) x yz + xyz (D) xy + yz + zx
Q183 In the figure is A = 1 and B = 1, the input B is
now replaced by a sequence 101010....., the output x and Q188 The advantage of using a dual slope ADC in a
y will be digital voltmeter is that
(A) its conversion time is small
(B) its accuracy is high
(C) it gives output in BCD format
(D) it does not require a

Q189 For the identity AB + AC + BC = AB + AC , the


(A) fixed at 0 and 1, respectively dual form is
(B) x = 1010.....while y = 0101...... (A) (A + B) (A + C) (B + C) = (A + B) (A + C)
(C) x = 1010.....and y = 1010...... (B) (A + B ) (A + C ) (B + C ) = (A + B ) (A + C )
(D) fixed at 1 and 0, respectively (C) (A + B) (A + C) (B + C) = (A + B ) (A + C )
(D) AB + AC + BC = AB + AC
Q184 An equivalent 2’s complement representation of the
2’s complement number 1101 is Q190 An instruction used to set the carry Flag in a
(A) 110100 (B) 01101 computer can be classified as
(C) 110111 (D) 111101 (A) data transfer (B) arithmetic
(C) logical (D) program control
Q185 The threshold voltage for each transistor in the
figure is 2 V. For this circuit to work as an inverter, Vi Q191 The figure is shows a mod-K counter, here K is
must take the values equal to
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 276 Digital Electronics Chapter 7

Q195 A 2 bit binary multiplier can be implemented using


(A) 2 inputs ANSs only
(B) 2 input XORs and 4 input AND gates only
(C) Two 2 inputs NORs and one XNO gate
(D) XOR gates and shift registers

(A) 1 (B) 2 Q196 In standard TTL, the ‘totem pole’ stage refers to
(A) the multi-emitter input stage
(C) 3 (D) 4
(B) the phase splitter
(C) the output buffer
Q192 The current I through resistance r in the circuit
shown in the figure is (D) open collector output stage

Q197 The inverter 74 ALSO4 has the following


specifications
IOH max =- 0.4 A, IOL max = 8 mA, IIH max = 20 mA,
IIL max =- 0.1 mA
The fan out based on the above will be
(A) 10 (B) 20
(C) 60 (D) 100
(A) - V (B) V
12R 12R
Q198 The output of the logic gate in the figure is
(C) V (D) V
6R 3T

Q193 The K -map for a Boolean function is shown in the


figure is the number of essential prime implicates for this
function is (A) 0 (B) 1
(C) A (D) F

Q199 In an 8085 mP system, the RST instruction will


cause an interrupt
(A) only if an interrupt service routine is not being
executed
(B) only if a bit in the interrupt mask is made 0
(C) only if interrupts have been enabled by an EI
instruction
(D) None of the above
(A) 4 (B) 5
(C) 6 (D) 8 Q200 The decoding circuit shown in the figure is has
been used to generate the active low chip select signal
GATE 1997 ONE MARK
for a microprocessor peripheral. (The address lines are
designated as AO to A7 for I/O address)
Q194 Each cell of a static Random Access Memory
contains
(A) 6 MOS transistors
(B) 4 MOS transistors and 2 capacitors
(C) 2 MOS transistors and 4 capacitors
(D) 1 MOS transistors and 1 capacitors
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 7 Digital Electronics Page 277

The peripheral will correspond to I/O address in the range GATE 1997 TWO MARKS
(A) 60 H to 63 H (B) A4 to A 7H
(C) 30 H to 33 H (D) 70 H to 73 H Q203 For the NMOS logic gate shown in the figure is the
logic function implemented is
Q201 The following instructions have been executed by
an 8085 mP
ADDRESS (HEX) INSTRUCTION
6010 LXI H, 8 A 79 H
6013 MOV A, L
6015 ADDH
6016 DAA
6017 MOV H, A
6018 PCHL

From which address will the next instruction be fetched ? (A) ABCDE (B) (AB + C ) : (D + E )
(A) 6019 (B) 6379 (C) A : (B + C) + D : E (D) (A + B ) : C + D : E
(C) 6979 (D) None of the above
Q204 In a J–K flip-flop we have J = Q and K = 1
Q202 A signed integer has been stored in a byte using the . Assuming the flip flop was initially cleared and then
2’s complement format. We wish to store the same integer clocked for 6 pulses, the sequence at the Q output will be
in a 16 bit word. We should
(A) copy the original byte to the less significant byte of
the word and fill the more significant with zeros
(B) copy the original byte to the more significant byte of
the word and fill the less significant byte with zeros
(C) copy the original byte to the less significant byte of
the word and make each fit of the more significant
byte equal to the most significant bit of the original
(A) 010000 (B) 011001
byte
(C) 010010 (D) 010101
(D) copy the original byte to the less significant byte as
well as the more significant byte of the word
Q205 The gate delay of an NMOS inverter is dominated

Get More Study by charge time rather than discharge time because
(A) the driver transistor has larger threshold voltage than
the load transistor
Material by (B) the driver transistor has larger leakage currents
compared to the load transistor

Whatsapp. Send Your (C) the load transistor has a smaller W/L ratio compared
to the driver transistor

College Pin Code, (D) none of the above

Branch and BTech


Q206 The boolean function A + BC is a reduced form of
(A) AB + BC (B) (A + B) : (A + C)
(C) AB + ABC (D) (A + C) : B
year to 9414243489
GATE 1996 ONE MARK
by whatsapp Q207 Schottky clamping is resorted in TTl gates
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 278 Digital Electronics Chapter 7

(A) to reduce propagation delay discharge current of 1 pA, the storage capacitance of the
(B) to increase noise margins cell is
(C) to increase packing density (A) 4 # 10-6 F (B) 4 # 10-9 F
(D) to increase fan-out (C) 4 # 10-12 F (D) 4 # 10-15 F

Q212 A 10-bit ADC with a full scale output voltage of


Q208 A pulse train can be delayed by a finite number of
clock periods using 10.24 V is designed to have a ! LSB/2 accuracy. If the
ADC is calibrated at 25c C and the operating temperature
(A) a serial-in serial-out shift register
ranges from 0c C to 25c C , then the maximum net
(B) a serial-in parallel-out shift register temperature coefficient of the ADC should not exceed
(C) a parallel-in serial-out shift register (A) ! 200 mV/cC (B) ! 400 mV/cC
(D) a parallel-in parallel-out shift register (C) ! 600 mV/cC (D) ! 800 mV/cC

Q209 A 12-bit ADC is operating with a 1 m sec clock Q213 A memory system of size 26 K bytes is required to
period and the total conversion time is seen to be 14 m sec be designed using memory chips which have 12 address
. The ADC must be of the lines and 4 data lines each. The number of such chips
(A) flash type required to design the memory system is
(B) counting type (A) 2 (B) 4
(C) intergrating type (C) 8 (D) 13
(D) successive approximation type
Q214 The following sequence of instructions are executed
Q210 The total number of memory accesses involved by an 8085 microprocessor:
(inclusive of the op-code fetch) when an 8085 processor 1000 LXI SP, 27 FF
executes the instruction LDA 2003 is 1003 CALL 1006
(A) 1 (B) 2 1006 POP H
The contents of the stack pointer (SP) and the HL, register
(C) 3 (D) 4
pair on completion of execution of these instruction are
(A) SP = 27 FF, HL = 1003
GATE 1996 TWO MARKS (B) SP = 27 FD, HL = 1003
(C) SP = 27 FF, HL = 1006
Q211 A dynamic RAM cell which hold 5 V has to be (D) SP = 27 FD, HL = 1006
refreshed every 20 m sec, so that the stored voltage does
not fall by more than 0.5 V. If the cell has a constant

***********
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 7 Digital Electronics Page 279

SOLUTIONS
S1 Correct option is (C). 1 1 1
Y = ABC 5 AB 5 BC = AB (C 5 1) 5 BC
= ABC 5 BC = B (AC 5 C )
= B 8ACC + AC .C B S6 Correct option is (A).
= B 6^A + C h C + AC @ = B 6AC + C + AC @ Minimum number of NAND gates required for 2-input
= B 6C + AC @ = B [C + A] " Y = B (A + C) EX-OR gate=4

S2 Correct answer is 1.5. S7 Correct option is (A).


(No Answer) if considering OP6 " IP5 , OP7 " IP4
X2 X1 X0 OP0 OP1 OP2 OP3 OP4 OP5 OP6 OP7
0 0 0 1 0 0 0 0 0 0 0
0 0 1 0 1 0 0 0 0 0 0
0 1 0 0 0 1 0 0 0 0 0
0 1 1 0 0 0 1 0 0 0 0
- -
- -
The waveform at OR gate output, Y is [A =+ 5 V]
1 1 0 0 0 0 0 0 0 1 0
1 1 1 0 0 0 0 0 0 0 1
IP0 IP1 IP2 IP3 IP4 IP5 IP6 IP7 Y2 Y1 Y0
1 0 0 0 0 0 0 0 0 0 0
0 1 0 0 0 0 0 0 0 0 1
S3 Correct option is (A). 0 0 0 1 0 0 0 0 0 1 1
Ci + 1 (A, B, Ci) = Sm (3, 5, 6, 7) using 4 : 1 Max 0 0 1 0 0 0 0 0 0 1 0
- -
- -
0 0 0 0 0 1 0 0 1 0 1
0 0 0 0 1 0 0 0 1 0 0
Thus it is a Binary to Gray code converter.

S4 Correct option is (D). S8 Correct option is (B).


CY
Given A = A7 H = 1 0 1 0 0 1 1 0
After executing RLC C1 C0 Y
A = 1 0 0 0 111 1 0 0 P
A = 4FH and cy = 1
0 1 Q
S5 Correct option is (D) 1 0 R
It is a AND gate 1 1 S

A B Y Hence it is a “4 to 1 multiplexer”.
0 0 0
S9 Correct option is (C).
0 1 0 Push takes 12T states due to pre decrement and pop takes
1 0 0 10T states.
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 280 Digital Electronics Chapter 7

S10 Correct option is (A). S14 Correct option is (D).


th
Address Range given is At 6 C1k pulse (i.e. at 6ns)& Q2 Q1 Q 0 = 110 & It makes
NAND gate output ‘0’ at 8ns due to its delay. By that
time counter receives 7 th, 8 th C1k pulse and counts 111,
000. Thus it is a mod - 8 counter.

S15 Correct option is (B).


The shift registers A and F store the result of an addition
and the overflow bit.

S16 Correct answer is 7.


Memory chip = (Number of rows) # (Number of
columns)
= M#N
To provide CS as low, the condition is The number of address line required per row decoder is n
A 15 = A 14 = 0 and A 13 A 12 = 01 (or) (10) , where
i.e. A 15 = A 14 = 0 and A 13 A 12 shouldn’t be 00, 11. M = 2n or n = log 2 M
Thus it is A 15 + A 14 + 6A 13 A 12 + A 13, A 12@ Given M = N,
M # N = M # M = M 2 = 16 K
S11 Correct option is (B). or M2 = 2 4 # 210
or M = 128
Hence, N =7

S17 Correct option is 0.9375.


n = number of bit = 4
Analog output
V in1 = Vin T = (Decimal equivalent of input) # (Resolution)
RC eq
0000 " 0 V
Vin has to settle down within 1 LSB of full scale value 0001 " 0.0625 V =
2
509 V = Vin T Resolution as decimal equivalent
i.e.
510 in 75 # ^255 # 8 # 10-12h =1
1111 " ?
& T = ^75 # 255 # 8 # 10-2h # 509 Analog output
510 = ^Decimal equivalenth # ^Resolutionh
T . 0.15 m sec = ^15h^.0625h
Thus sample period Ts > T = .9375
Ts > 0.15 m sec

fs , max = 1 = 1 Hz
Tsmin 0.15 # 10-6
. 6 Megasamples S18 Correct option is (A).
We need Y = AB + C D
S12 Correct option is (B). As Y is sum of two literals, so G2 should be OR gate.
It is a 5-variable K-map Again, to get AB literal G2 should be NOR-gate, i.e.
= Q S X + QS X
= A+B
= AB
S13 Correct answer is 6
T = 0 " NOR " MUXI " MUX2
2ns 1.5ns 1.5ns S19 Correct option is (D).
` Delay = 2 + 1.5 + 1.5 = 5ns SBI BE (H)
T = 1 " NOT " MUXI " NOR " MUX2 This instruction subtract the immediate data from
1ns 1.5ns 2ns 1.5ns
accumulator and store the result in accumulator. So,
` Delay = 1 + 1.5 + 2 + 1.5 = 6ns
accumulator is affected.
` Maximum delay = 6 n sec
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 7 Digital Electronics Page 281

S20 Correct answer is 7. S23 Correct answer is 40.


For finding the modular of counter, if the input of NAND Given logic circuit is
gate are 1,1 then the counter will be clear

At t = 0- , A = C = 0, B = 1
Z = AB 5 C
Z = 050
Z =0
At t = 3, A = C = 1, B = 0
Z = AB 5 C
Z = 151
Z =0
So, we obtain the output pulses as

S21
Correct option is (C).
We check the given options.
The codes given in option (C), executes the following
instructions
MVI A 00H (loading the accumulator with OOH)
LOOP ADD C (adding the contents of C to
accumulator and store it to accumulator)
DCR B (Decrementing the content of registers B)
JNZ LOOP
HLT
Hence, decreasing the number in B as many time as
adding the another number C will result in product of two
numbers till value in registers B is zero.

S22 Correct option is (A).


Hence, Z = 1 for the duration of 40 ns.
From the figure, it can be seen it is basic modulo UP
counter configuration because clock is negative edge
S24 Correct option is (B).
triggering.
3 input majority gate is given as
At Modulo-5 M ^a, b, c h = ab + bc + ca
1 0 1
For this state, all the 3 flip flops will be set to initial We have to obtain M ^M ^a, b, c h, M ^a, b, c h, c h
condition.
Let P = M ^a, b, c h = ab + bc + ca
Get More Study Material by
= ab : bc : ca (by DeMorgan’s Law)
Whatsapp. Send Your College Pin = ^a + b h : ^b + c h : ^c + a h
Code, Branch and BTech year to = ^a b + a c # b + b c h^c + a h
= ^b # a c h^c + a h
9414243489 by whatsapp = b c+b a+a c+a c
= b c+b a+a c
Q = M ^a, b, c h = ab + bc + ca
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 282 Digital Electronics Chapter 7

and R =C
M ^P, Q, Rh = PQ + QR + RP
So, = M ^b c + b a + a c, ab + bc + ca, c h
= ^b c + b a + a c h^ab + bc + ca h
+^ab + bc + ca h c + c ^b c + b a + a c h
= b ca + abc + abc + b ac
We obtain truth table for the function as

a b c M
0 0 0 0
0 0 1 1
0 1 0 1
0 1 1 0
1 0 0 1
Hence, it is modulo 5 counter.
1 0 1 0
1 1 0 0 S27 Correct option is (D).
1 1 1 1 We need to implement 1:8 DEMUX

So, the function is odd number of 1’s detector. This


function represent the 3-input XOR gate.

S25 Correct option is (A).


We have the SOP Boolean form,
F ^x, y, z h = xyz + xy z + xyz + xyz

As input to both the decoder should be same. So from


figure only line P is acting same to both 2 # 4 decoder.
Hence, P is mapped with Din . Again, we have

So, F = Sm ^2, 4, 6, 7h

Here, we observe that S2 is ‘0’ in 4 cases then ‘1’ logic.


From figure, it can be seen only line Q is connected to
NOT gate to OR gate.So Q is mapped to S2 and remaining
Hence, in POS form, we have two line should be mapped in same order because select
F = ^x + y + z h^x + y + z h^x + y + z h^x + y + z h
lines of 1:8 DEMUX should be mapped with address line
= Pm ^0, 1, 3, 5h
of decoder. Hence, the mapping is
P " D in
S26 Correct option is (C).
R " S0
From figure, it can be observed that once the Ex-NOR
Q " S2
gate output is ‘0’ login counter will be reset to initial S " S1
stage.
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 7 Digital Electronics Page 283

S28 Correct option is (B). = ^X + Y h^X + Y h + ^X + Y h X "AB = A + B ,


Given minterm is F ^X, Y, Z h = S ^1, 2, 5, 6, 7h = ^X + Y h^X + Y h + XY "XX = 0,
Maxterm or POS is complement of SOP. So, in POS form, = ^X + 0 + XY + XY h + XY
we obtain =X "A + AB = A,
F ^X, Y, Z h = p ^0, 3, 4h
= ^X + Y + Z h^X + Y + Z h^X + Y + Z h S34 Correct answer is 62.5.
Given the cascaded flip-flops
S29 Correct option is (D).
For D -flip flop
Q (t + 1) = D (t)
or next state = input
So, we may write
Q2 (t + 1) = Q1 (t) + Q 0 (t)
Q1 (t + 1) = Q2 (t)
Q 0 (t + 1) = Q1 (t)
The resulting output is illustrated in the truth table below.
We observe that the circuit is a ripple counter or
asynchronous counter. So, we have the output of the
cascaded flip-flops as

S30 Correct option is (D)


SR latch truth table is shown below.

S R Q
0 0 hold
0 1 reset
1 0 Set
1 1 Not Defined
So, the waveform at Q 3 changes its state after 2 4 = 16
clock pulses. Hence, the frequency of waveform at Q 3 is
The above truth table can be obtained from the given
given as
circuit, if we change 5 V to ground.
f
f 3 = clock
16
S31 Correct option is (C).
In general, the only universal gates are NAND and NOR = 1 MHz = 1000 kHz
16 16
gates but none of the given question is NAND/NOR gate. = 62.5 kHz
However, we must observe the given Gate 3. All the
Boolean function can be implemented by using the gate. S35 Correct option is (D).
Hence it is a universal gate. A prime implicant of a function is an implicant that can
not be reduced to a more general form.
S32 Correct option is (C). For example, we consider the K-map of variables A, B .

S33 Correct option is (A).


Given the Boolean expression
f = ^X + Y h^X + Y h + ^XY h + X
We simplify the expression as
f = ^X + Y h^X + Y h + XY X
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 284 Digital Electronics Chapter 7

S39 Correct option is (D).


Given digital logic circuit is

In the K-map, pair or quadrants are not for opened for


the two cases
m1 = m 4 = 1, m2 = m 3 = 0 ; Prime implication / "A B , AB , In the circuit, the first latch will respond for high level
m1 = m 4 = 0 , m2 = m 3 = 0 ; Prime implication / "A B , AB , of CLK pulse, and end will respond for low level, i.e. the
For all other cases of two or more implicants, the K-map second latch will respond corresponding to the stable
has a pair or quadrant; i.e function is reduced. Hence, the output of the first latch. Thus, it is of master slave type
maximum number of prime implicant is 2. D-Flip-Flop (D-FF).
Similarly, we may consider the cases for more number of
S40 Correct option is (B).
variables. Thus, the maximum number of prime implicant
is 2n - 1 . We have the multiplexer based logic circuit as

S36 Correct answer is 4 bits.


A digit of decimal number is represented into 4 bits of a
BCD number. Since, the decimal number 1856357 have 7
digits. So, the total number of bits required to represent it
in BCD form is 7 # 4 = 28 bits .
Hence, the number of bytes ^1 byte = 8 bitsh required is
number of bytes $ 28 = 3.5
8 From the circuit, we get
or number of bytes = 4 bits Y = S 1 W + S1 W = S1 5 W
and therefore, the output of the logic circuit is
S37 Correct option is (C). F = S2 Y + S2 Y = S2 5Y
We have the given difference function = S1 5 S 2 5 W
N = X-Y
and the borrow is M . S41 Correct option is (A).
So, we may obtain the truth table as Given digital logic circuit is redrawn as

X Y Difference N Borrow M
0 0 0 0
0 1 1 1
1 0 1 0
1 1 0 0

From the truth table, we obtain


N = X Y+XY = X5Y From the circuit, we obtain
M =XY Y = W = ^^A + B h + AB h

= ^A + B h^A + B h = AB + AB
S38 Correct answer is 0.25 .
Maximum quantization error is given by S42 Correct option is (A).
e =T Given circuit is 3 input NAND Gate. Hence, the output is
2
Y = A$B$C = A+B+C = A+B+C
where T = VPP = 8
L 16
S43 Correct option is (A).
Hence, e = 8 = 1 = 0.25
32 4 We have the digital logic circuit as
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 7 Digital Electronics Page 285

S46 Correct option is (C).


The two flip-flops in the circuit are negative edge triggered
D -flip-flop. So, the output of the flip-flop changes when.
Clock pulse changes from 1 to 0; i.e.
Q1 = X1 , when CLK switches from 1 to 0
Q2 = X2 , when CLK switches from 1 to 0
The output Y is Y = Q1 Q2
Hence, we obtain the output waveform as
In the logic circuit, the two inputs to the output AND
gate are
and X5Y
^X 5 Y h 5 Z
So, we have the output
F = ^X 5 Y h6^X 5 Y h 5 Z @
= ^X 5 Y h6X 5 Y Z + ^X 5 Y h Z @
= ^X 5 Y h Z
= ^XY + XY h Z
= XY Z + XYZ

S44 Correct option is (D).


Given the Boolean function,
F ^w, x, y, z h = wy + xy + wxyz + w xy + xz + x y z
So, the k -map for the Boolean function can be given as

S47 Correct option is (D).


From the given circuit, we may deduce that
J 1 = Q 2 , K1 = Q 2
J 2 = Q1 , K 2 = Q1
Initially, the output of flip-flops are
Q1 = 0
Q2 = 0
So, we obtain the truth table for the sequential circuit as

Therefore, the simplified So P from for the Boolean J1 K1 Q1 J2 K2 Q2


function is - - 0 - - 0
F ^w, x, y, z h = x z + xz + y 1 0 1 0 1 0
Hence, the prime implicants are y , xz , x z 1 0 1 1 0 1
0 1 0 1 0 1
S45 Correct option is (D).
0 1 0 1 0 1
When Q1 , is connected to input A, then we have the input
D2 as 0 1 0 1 0 1
D2 = A 5 S Thus, the sequence generated at Q1 is 01100...
= Q1 5 S = Q1 S + Q 1 S
This input satisfies the state-diagram. Now, the XOR gate S48 Correct option is (D).
is replaced by XNOR gate. So, the output D2 is
D2 = A 5 S S49 Correct option is (B).
= A S + AS The RAM cell is shown in option (B).
To preserve the state diagram, the D2 must be same as
obtained in previous case. Hence, the input A should be S50 Correct option is (C).
A = Q1 Given multiplexer circuit is
i.e. input A is connected to Q 1 .
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 286 Digital Electronics Chapter 7

I1 = I 3 = I 6 = D
Hence, the output logic function is
Y = ABCI1 + ABCI 3 + ABC I 6
= ABCD + ABCD + ABC D
= ACD ^B + B h + ABC
= ACD + ABC

S53 Correct answer is 195 .


For the given ripple carry adder, we have carry propagation
delay,
For the first 4:1 MUX, we have TCarry = 12 ns
Q = I1 + I 2 and sum propagation delay,
= W X + WX = W 5 X Tsum = 15 ns
So, we get the output For worst Case, the input carry to all full adder should
F = Y ZQ + Y ZQ = Y ^Z + Z h Q change. Since, the adder is of 16 bit, so the time delay to
= Y ^W X + WX h = WXY + W XY get C14 is
tC14 = 15t carry = 15 # 12 = 180 ns
S51 Correct option is (A). Now, we have
For the half subtractor, we have the truth table t carry < t sum
So, for last full adder FA 15 , we consider the case of sum to
X Y D B get stable. Hence, the net delay for the worst case is
0 0 0 0 Tworst case delay = tC14 + t sum = 180 + 15 = 195 ns
0 1 1 1
S54 Correct option is ().
1 0 1 0
Given the pneumonic code,
1 1 0 0 STA 1234 H
Now, we visualize the instruction in the input memory.
From the truth table, we get
D = X 5 Y = XY + XY The instructions are executed as
B = XY 1FFE H – op-code of STA
For the multiplexer circuit, we have 1FFF H – 12 (Higher order address)
D = SY + SY = XY + XY = X 5 Y 2000 H – 34 (lower order address)
B = XY Now, PC will point to 1FFE during op-code fetch. So,
Hence, the diagram shown in option (A) implements half A15 - A 8 = 1F
subtractor. Again, PC will point to 1FFH during higher order address
read, so
S52 Correct option is (C). A15 - A 8 = 1F
Given multiplexer is PC will point to 2000 H during lower order address read,
so
A15 - A 8 = 20
After reading address, PC will hold 1234 H, so
A15 - A 8 = 12
Thus, the sequence of values written at the address pins
A15 - A 8 is
1F , 1F, 20, 12

S55 Option (C) is correct.


Let A denotes the position of switch at ground floor and B
denotes the position of switch at upper floor. The switch
can be either in up position or down position. Following
For the selection line, let A be the most significant bit and are the truth table given for different combinations of A
C be the least significant bit. Now, the non-zero inputs and B
are
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 7 Digital Electronics Page 287

A B Y(Bulb) From to
up(1) up(1) OFF(0) A15 0 0
Down(0) Down(0) OFF(0) A14 0 0
up(1) Down(0) ON(1) A13 0 0
Down(0) up(1) ON(1) A12 0 0
When the switches A and B are both up or both down, A11 1 1
output will be zero (i.e. Bulb will be OFF). Any of the A10 0 0
switch changes its position leads to the ON state of bulb. A9 0 1
Hence, from the truth table, we get
A8 0 1
Y = A5B
i.e., the XOR gate A7 0 1
A6 0 1
S56 Option (A) is correct. A5 0 1
The program is being executed as follows
A4 0 1
MVI A, 0.5H; A = 05H
MVI B, 0.5H; B = 05H A3 0 1
At the next instruction, a loop is being introduced in A2 0 1
which for the instruction “DCR B” if the result is zero A1 0 1
then it exits from loop so, the loop is executed five times A0 0 1
as follows :
In Hexadecimal & 0800 H to 0BFFH
Content in B Output of ADD B (Stored value at A) Similarly, for chip 2, we obtain the range as follows
05 05 + 05 E = 1 for S1 S 0 = 0 1
04 05 + 05 + 04 so, A13 = 0 and A12 = 1
and also the I/P = 1 for A10 = 0 , A11 = 1, A14 = 0 , A15 = 0
03 05 + 05 + 04 + 03
so, the fixed inputs are
02 05 + 05 + 04 + 03 + 02
01 05 + 05 + 04 + 03 + 02 + 01 A15 A14 A13 A12 A11 A10
00 System is out of loop 0 0 0 1 1 0

i.e., A = 05 + 05 + 04 + 03 + 02 + 01 = 144 Therefore, the address range is


At this stage, the 8085 microprocessor exits from the loop From to
and reads the next instruction. i.e., the accumulator is A15 0 0
being added to 03 H. Hence, we obtain A14 0 0
A = A + 03 H = 14 + 03 = 17 H
A13 0 0
S57 Option (D) is correct. A12 1 1
For chip-1, we have the following conclusions: A11 1 1
it is enable when (i) S1 S 0 = 0 0
A10 0 0
and (ii) Input = 1
For S1 S 0 = 0 0 A9 0 1
We have A13 = A12 = 0 A8 0 1
and for I/p = 1we obtain
A7 0 1
A10 = 1 or A10 = 0
A11 = 1 A6 0 1
A14 = 1 or A14 = 0 A5 0 1
A15 = 1 or A15 = 0 A4 0 1
Since, A 0 - A 9 can have any value 0 or 1
A3 0 1
Therefore, we have the address range as
A2 0 1
A1 0 1
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 288 Digital Electronics Chapter 7

From to a1 a0 b1 b0 Y
A0 0 1 1 0 0 1 1
In hexadecimal it is from 1800 H to 1BFFH . There is 1 1 0 0 1
no need to obtain rest of address ranged as only (D) is
1 1 0 1 1
matching to two results.
1 1 1 0 1
S58 Option (A) is correct.
The given circuit is Total combination = 6

S60 Option (A) is correct.


Parallel connection of MOS & OR operation
Series connection of MOS & AND operation
The pull-up network acts as an inverter. From pull down
network we write
Y = (A + B) C = (A + B) + C = A B + C

Condition for the race-around S61 Option (A) is correct.


It occurs when the output of the circuit (Y1, Y2) oscillates Prime implicants are the terms that we get by solving K-map
between ‘0’ and ‘1’ checking it from the options.
1. Option (A): When CLK = 0
Output of the NAND gate will be A1 = B1 = 0 = 1. Due to
these input to the next NAND gate, Y2 = Y1 : 1 = Y1 and
Y1 = Y2 : 1 = Y2 .
If Y1 = 0 , Y2 = Y1 = 1 and it will remain the same and
F = XY + XY
doesn’t oscillate. 1prime
44 2 44 3
implicants
If Y2 = 0 , Y1 = Y2 = 1 and it will also remain the same
for the clock period. So, it won’t oscillate for CLK = 0 . S62 Option (D) is correct.
So, here race around doesn’t occur for the condition Let Qn + 1 is next state and Qn is the present state. From
CLK = 0 . the given below figure.
2. Option (C): When CLK = 1, A = B = 1 D = Y = AX 0 + AX1
A1 = B1 = 0 and so Y1 = Y2 = 1 Qn + 1 = D = AX 0 + AX1
And it will remain same for the clock period. So race Qn + 1 = A Qn + AQn X 0 = Q , X1 = Q
around doesn’t occur for the condition. If A = 0, Qn + 1 = Qn (toggle of previous state)
3. Option (D): When CLK = 1, A = B = 0 If A = 1, Qn + 1 = Qn
So, A1 = B1 = 1 So state diagram is
And again as described for Option (B) race around doesn’t
occur for the condition.

S59 Option (B ) is correct.

S63 Option (B) is correct.


The given circuit is shown below:

Y = 1, when A > B
A = a1 a 0, B = b1 b 0

a1 a0 b1 b0 Y
0 1 0 0 1
1 0 0 0 1
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 7 Digital Electronics Page 289

(PQ QR ) PR = (PQ + QR PR ) QB ^t + 1h
= PQ + QR + PR
= PQ + QR + PR
If any two or more inputs are ‘1’ then output y will be 1.
QB ^t + 1h = Q A
S64 Option (A) is correct.
For the output to be high, both inputs to AND gate should
be high.
The D-Flip Flop output is the same, after a delay.
Let initial input be 0; (Consider Option A)
st
then Q = 1 (For 1 D-Flip Flop). This is given as input
to 2nd FF. DA = Q A Q B + QA QB
Let the second input be 1. Now, considering after 1 time
interval; The output of 1st Flip Flop is 1 and 2nd FF is also
1. Thus Output = 1. S68 Option (C) is correct.
Initially Carry Flag, C = 0
S65 Option (D) is correct. MVI A, 07 H ; A = 0000 0111
F = S1 S 0 I 0 + S1 S 0 I1 + S1 S 0 I 2 + S1 S 0 I 3 RLC ; Rotate left without carry.
I0 = I3 = 0 A = 0000 1110
F = PQ + PQ = XOR (P, Q) ( S1 = P, S 0 = Q ) MVO B, A ; B = A = 0000 1110
RLC ; A = 0001 1100
S66 Option (A) is correct. RLC ; A = 0011 1000
All the states of the counter are initially unset. ADD B ; A = 0011 1000
; + 0000 1110
; 0100 0110
RRC ; Rotate Right with out carry,
A = 0010 0011
Thus A = 23 H

S69 Option ( ) is correct.


State Initially are shown below in table :
Q2 Q1 Q0
0 0 0 0
1 0 0 4
1 1 0 6
1 1 1 7
0 1 1 3
0 0 1 1
0 0 0 0

S67 Option (D) is correct.


The sequence is QB QA
00 " 11 " 01 " 10 " 00 " ...
S70 Option (B) is correct.
QB QA QB (t + 1) QA (t + 1) Since G2 is active low input, output of NAND gate must
0 0 1 1 be 0
1 1 0 1 G2 = A15 : A14 A13 A12 A11 = 0
So, A15 A14 A13 A12 A11 = 00101
0 1 1 0
To select Y5 Decoder input
1 0 0 0 ABC = A 8 A 9 A10 = 101
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 290 Digital Electronics Chapter 7

Address range CMC & Complement carry flag, C = 0


A15 A14 A13 A12 A11 A10 A 9 A 8 ...............A 0 RAR & Rotate accumulator right through carry
0011101........A 0
S2
S D

^2D00 - 2DFF h

S71 Option (A) (B) (C) are correct.


In the circuit F = (A 5 B) 9 (A 9 B) 9 C
For two variables A 5 B = A 9 B A = 00100010
So, (A 5 B) 9 (A 9 B) = 0 (always) XRA B & XOR A and B
F = 09C = 0$C+1$C = C A = A 5 B = 00100010 5 01000101
So, F = 1 when C = 1 or C = 0 = 01100111 = 674

S72 Option (D) is correct. S75 Option (C) is correct.


Let QA (n), QB (n), QC (n) are present states and TTL " Transistor - Transistor logic
QA (n + 1), QB (n + 1), QC (n + 1) are next states of flop- CMOS " Complementary Metal Oxide Semi-conductor
flops.
In the circuit S76 Option (D) is correct.
QA (n + 1) = QB (n) 9 QC (n) Vectored interrupts : Vectored interrupts are those
QB (n + 1) QA (n) interrupts in which program control transferred to a fixed
QC (n + 1) QB (n) memory location.
Initially all flip-flops are reset Maskable interrupts : Maskable interrupts are those
1st clock pulse QA = 0 9 0 = 1 interrupts which can be rejected or delayed by
QB = 0 microprocessor if it is performing some critical task.
QC = 0
2 nd clock pulse QA = 0 9 0 = 1 S77 Option (D) is correct.
QB = 1
We have 6X + Z {Y + (Z + XY )}@[X + Z (X + Y)] = 1
QC = 0
Substituting X = 1 and X = 0 we get
3 rd clock pulse QA = 1 9 0 = 0
[1 + Z {Y + (Z + 1Y )}][ 0 + Z (1 + Y)] = 1
QB = 1
or [1][ Z (1)] = 1 1 + A = 1 and 0 + A = A
QC = 1
th or Z =1)Z=0
4 clock pulse QA = 1 9 1 = 1
QB = 0
S78 Option (A) is correct.
QC = 1
So, sequence QA = 01101....... The AND gate implementation by 2:1 mux is as follows

S73 Option (D) is correct.


Output of the MUX can be written as
F = I 0 S 0 S1 + I1 S 0 S1 + I 2 S 0 S1 + I 3 S 0 S1
Here, I 0 = C, I1 = D, I2 = C , I 3 = CD Y = AI 0 + AI1 = AB
and S 0 = A, S1 = B
So, F = C A B + D A B + C A B + C DA B The EX - OR gate implementation by 2:1 mux is as
Writing all SOP terms follows
F = A B C D + A B C D + A BCD +
1 44 2
m
44 3 1 44 2 m
44 3 S m
3 2 7

+A B C D + A B C D + A B C D + ABC D
1 44 2m
4
4 3 1 44 2 m
4
4 3 1 44 2
m
44 3 S
m
5 9 8 12

F = / m (2, 3, 5, 7, 8, 9, 12)

S74 Option (C) is correct.


By executing instruction one by one
Y = BI0 + BI1 = AB + BA
MVI A, 45 H & MOV 45 H into accumulator, A = 45 H
STC & Set carry, C = 1
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 7 Digital Electronics Page 291

S79 Option (A) is correct. S81 Option (D) is correct.


The given circuit is as follows. As shown in previous solution 2 NOT gates and 3-OR
gates are required.

S82 Option (C) is correct.


For the NAND latche the stable states are as follows

The truth table is as shown below. Sequence is 00, 11, 10,


00 ...

CLK J1 K1 Q1 J2 K2 Q2 For the NOR latche the stable states are as follows
1 1 1 0 1 1 0
2 1 1 1 1 1 1
3 0 0 1 0 1 0
4 1 1 0 1 1 0

S80 Option (B) is correct.


S83 Option (D) is correct.
The given situation is as follows
From the figure shown below it may be easily seen upper
MOSFET are shorted and connected to Vdd thus OUT is 1
only when the node S is 0,

The truth table is as shown below

P1 P2 a b c d e f g
0 0 1 1 1 1 1 1 0 Since the lower MOSFETs are shorted to ground, node S
is 0 only when input P and Q are 1. This is the function
0 1 1 0 1 1 0 1 1
of AND gate.
1 0 1 1 0 1 1 0 1
1 1 1 0 0 1 1 1 1 S84 Option (B) is correct.
MSB of both number are 1, thus both are negative number.
From truth table we can write Now we get
a =1 11101101 = (- 19) 10
b = P 1 P 2 + P1 P 2 = P 2 1 NOT Gate and 11100110 = (- 26) 10
c = P1 P2 + P1 P2 = P1 1 NOT Gate P - Q = (- 19) - (- 26) = 7
d = 1 = c+e Thus 7 signed two’s complements form is
and c = P1 P2 = P1 + P2 1 OR GATE (7) 10 = 00000111
f = P1 P2 = P1 + P2 1 OR GATE
g = P1 P2 = P1 + P2 1 OR GATE S85 Option (D) is correct.
Thus we have g = P1 + P2 and d = 1 = c + e . It may be The circuit is as shown below
observed easily from figure that
Led g does not glow only when both P1 and P2 are 0. Thus
g = P1 + P2
LED d is 1 all condition and also it depends on
d = c+e
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 292 Digital Electronics Chapter 7

3
VDAC = / 2n - 1bn = 2- 1b0 + 20 b1 + 21b2 + 22 b3
n=0
or VDAC = 0.5b0 + b1 + 2b2 + 4b3
The counter outputs will increase by 1 from 0000 till
Vth > VDAC . The output of counter and VDAC is as shown
below
X = PQ
Y = (P + Q) Clock b3 b3 b2 b0 VDAC
So Z = PQ (P + Q) 1 0001 0
= (P + Q )( P + Q)
= PQ + PQ = P 5 Q 2 0010 0.5
and M1 = Z 5 R = (P 5 Q) 5 R 3 0011 1
4 0100 1.5
S86 Option (A) is correct.
5 0101 2
The circuit is as shown below
6 0110 2.5
7 0111 3
8 1000 3.5
9 1001 4
10 1010 4.5
11 1011 5
12 1100 5.5
The truth table is shown below. When CLK make 13 1101 6
transition Q goes to 1 and when D goes to 1, Q goes to 0 14 1110 6.5

S87 Option (B) is correct. and when VADC = 6.5 V (at 1101), the output of AND is
Since the input to both JK flip-flop is 11, the output will zero and the counter stops. The stable output of LED
change every time with clock pulse. The input to clock is display is 13.

S89 Option (B) is correct.


The VADC - Vin at steady state is = 6.5 - 6.2 = 0.3V

S90 Option (A) is correct.


The output Q0 of first FF occurs after time 3 T and it is Z = I0 RS + I1 RS + I2 RS + I3 RS
as shown below = (P + Q ) RS + PRS + PQRS + PRS
= PRS + QRS + PRS + PQRS + PRS
The k - Map is as shown below

The output Q1 of second FF occurs after time 3 T when it


gets input (i.e. after 3 T from t1) and it is as shown below

Z = PQ + PQS + QRS

S91 Option (C) is correct.


S88 Option (D) is correct. 2710H LXI H, 30A0H ; Load 16 bit data 30A0 in HL pair
We have 2713H DAD H ; 6140H " HL
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 7 Digital Electronics Page 293

2714H PCHL ; Copy the contents 6140H of HL in PC Chip 8255 will be selected if bits A3 to A7 are 1. Bit A0 to
Thus after execution above instruction contests of PC and A2 can be 0 or.
HL are same and that is 6140H 1. Thus address range is
11111000 F8H
S92 Option (C) is correct. 11111111 FFH
MSB of Y is 1, thus it is negative number and X is positive
number S99 Option (B) is correct.
Now we have X = 01110 = (14) 10 Since the inverting terminal is at virtual ground the
and Y = 11001 = (- 7) 10 resistor network can be reduced as follows
X + Y = (14) + (- 7) = 7
In signed two’s complements from 7 is
(7) 10 = 000111

S93 Option (B) is correct.


Y = AB + CD = AB .CD
This is SOP form and we require only 3 NAND gate

S94 Option (A) is correct.


The circuit is as shown below

Y = AB + AB
and X = YC + YC
= (AB + AB ) C + (AB + AB ) C
= (AB + AB) C + (AB + AB ) C
The current from voltage source is
= ABC + ABC + ABC + ABC
I = VR = 10 = 1 mA
R 10k
S95 Option (D) is correct.
This current will be divide as shown below
Y = ABCD + ABCD + ABC D + ABC D
= ABCD + ABC D + ABC D + ABC D
= ABCD + ABC D + BC D (A + A)
= ABCD + ABC D + BC D A+A = 1

S96 Option (B) is correct.


In given TTL NOT gate when Vi = 2.5 (HIGH), then
Q1 " Reverse active
Q2 " Saturation
Q3 " Saturation
Q4 " cut - off region
-3
Now i = I = 1 # 10 = 62.5 m A
S97 Option (C) is correct. 16 16
For X = 0, Y = 1 P = 1, Q = 0
For X = 0, Y = 0 P = 1, Q = 1 S100 Option (C) is correct.
For X = 1, Y = 1 P = 1, Q = 0 or P = 0, Q = 1 The net current in inverting terminal of OP - amp is
I - = 1 + 1 = 5I
S98 Option (C) is correct. 4 16 16
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 294 Digital Electronics Chapter 7

So that V0 =- R # 5I =- 3.125 S105 Option (B) is correct.


16 The output is taken from the 5th line.

S101 Option (B) is correct. S106 Option (D) is correct.


Line After applying two clock poles, the outputs of the full
1 : MVI A, B5H ;
Move B5H to A adder is S = 1, C0 = 1
2 : MVI B, 0EH ;
Move 0EH to B A B Ci S Co
3 : XRI 69H ;
[A] XOR 69H and store in A 1st 1 0 0 0 1
;
Contents of A is CDH 2nd 1 1 1 1 1
4 : ADDB ;
Add the contents of A to contents
of B and S107 Option (D) is correct.
; store in A, contents of A is EAH SSSS
100010011001
4 2 3 1
5 : ANI 9BH ; [a] AND 9BH, and store in A,
; Contents of A is 8 AH
6 : CPI 9FH ; Compare 9FH with the contents of A S108 Option (B) is correct.
; Since 8 AH < 9BH, CY = 1 In this the diode D2 is connected to the ground. The
7 : STA 3010 H ; Store the contents of A to location following table shows the state of counter and D/A
3010 H converter
8 : HLT ; Stop
Thus the contents of accumulator after execution of ADD Q2 Q1 Q0 D3 = Q2 D2 = 0 D1 = Q1 D0 = Q0 Vo
instruction is EAH. 000 0 0 0 0 0
001 0 0 0 1 1
S102 Option (C) is correct.
010 0 0 1 0 2
The CY = 1 and Z = 0
011 0 0 1 1 3
S103 Option (A) is correct. 100 1 0 0 0 8
For this circuit the counter state (Q1, Q0) follows the 101 1 0 0 1 9
sequence 00, 01, 10, 00 ... as shown below
110 1 0 1 0 10
Clock D1 D0 Q1 Q0 Q1 NOR Q0 111 1 0 1 1 11
00 1 000 0 0 0 0 0
1st 01 10 0 001 0 0 0 1 1
2nd 10 01 0 Thus option (B) is correct
3rd 00 00 0
S109 Option (B) is correct.
LXI, EFFF H ; Load SP with data EFFH
CALL 3000 H ; Jump to location 3000 H
:
:
:
S104 Option (A) is correct. 3000H LXI H, 3CF4 ; Load HL with data 3CF4H
As shown below there are 2 terms in the minimized sum
PUSH PSW ; Store contnets of PSW to Stack
of product expression.
POP PSW ; Restore contents of PSW from
1 0 0 1 stack
0 d 0 0 PRE ; stop
0 0 d 1 Before instruction SPHL the contents of SP is 3CF4H.
1 0 0 1 After execution of POP PSW, SP + 2 " SP
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 7 Digital Electronics Page 295

After execution of RET, SP + 2 " SP


Thus the contents of SP will be 3CF4H + 4 = 3CF8H

S110 Option (A) is correct.


The inputs D0 and D1 respectively should be connected as
Q1 and Q0
where Q0 " D1 and Q1 " D0

S111 Option (D) is correct.


If output is at logic 0, the we have V0 = 0 which signifies
If the point P is stuck at 1, then output f is equal to A
BJT Q3 is in saturation and applying KVL we have
VBE3 = IR # 1k
or 0.75 = IR # 1k
or IR = 0.75 mA

S115 Option (A) is correct.


We have f = ABC + ABC = B (AC + AC )
= B (A + C)( A + C )
S112 Option (B) is correct.
Dividing 43 by 16 we get S116 Option (C) is correct.

g
2
16 43 Characteristic equation for a jk flip-flop is written as
Qn + 1 = JQ n + K Qn
32 Where Qn is the present output
11 Qn + 1 is next output
11 in decimal is equivalent is B in hexamal. So, Qn + 1 = 10 + K : 0 Qn = 0
Thus 4310 * 2B16 Qn + 1 = 1
Now 410 * 01002
S117 Option (C) is correct.
310 * 00112
Thus 4310 * 01000011BCD Since T2 T1 T0 is at 111, at every clock Q2 Q1 Q0 will be
changes. Ir present state is 011, the next state will be 100.
S113 Option (A) is correct.
S118 Option (D) is correct.
The diagram is as shown in fig
S119 Option (C) is correct.
0100H LXI SP, 00FF ; Load SP with 00FFG
0103H LXI H, 0701 ; Load HL with 0107H
0106H MVI A, 20H ; Move A with 20 H
0108 H SUB M ; Subtract the contents of memory
; location whose address is stored
in HL
f' = BC + BC ; from the A and store in A
f = f' A + f ' 0 0109H ORI 40H ; 40H OR [A] and store in A
= f'A = ABC + ABC
010BH ADD M ; Add the contents of memory
S114 Option (C) is correct. location
The circuit is as shown below ; whose address is stored in HL
to A
; and store in A
HL contains 0107H and contents of 0107H is 20H
Thus after execution of SUB the data of A is 20H - 20H
= 00
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 296 Digital Electronics Chapter 7

S120 Option (C) is correct. Thus 2’s complement of 11001, 1001 and 111001 is 7.
Before ORI instruction the contents of A is 00H. On So the number given in the question are 2’s complement
execution the ORI 40H the contents of A will be 40H correspond to -7.
00H = 00000000
40H = 01000000 S127 Option (C) is correct.
ORI 01000000 In the modulo - 6 ripple counter at the end of sixth pulse
After ADD instruction the contents of memory location (i.e. after 101 or at 110) all states must be cleared. Thus
whose address is stored in HL will be added to and will when CB is 11 the all states must be cleared. The input
be stored in A to 2-input gate is C and B and the desired output should
40H + 20 H = 60 H be low since the CLEAR is active low
Thus when C and B are 0, 0, then output must be 0. In all
S121 Option (C) is correct. other case the output must be 1. OR gate can implement
A master slave D-flip flop is shown in the figure. this functions.

S128 Option (C) is correct.


Number of MUX is 4 = 2 and 2 = 1. Thus the total
3 2
number 3 multiplexers is required.

S129 Option (D) is correct.


In the circuit we can see that output of flip-flop call be AC + BC = AC1 + BC 1
triggered only by transition of clock from 1 to 0 or when = AC (B + B ) + BC (A + A)
state of slave latch is affected. = ACB + ACB + BC A + BC A

S122 Option (A) is correct. S130 Option (D) is correct.


The range of signed decimal numbers that can be We have f (x, y) = xy + xy + xy
represented by n - bits 1’s complement number is = x (y + y) + xy = x + xy
- (2n - 1 - 1) to + (2n - 1 - 1). or f (x, y) = x + y
Thus for n = 6 we have Here compliments are not available, so to get x we use
Range =- (26 - 1 - 1) to + (26 - 1 - 1) NOR gate. Thus desired circuit require 1 unit OR and 1
=- 31 to + 31 unit NOR gate giving total cost 2 unit.

S123 Option (D) is correct. S131 Option (D) is correct.


The minimum number of bit require to encode 100 For 8255, various modes are described as following.
increment is Mode 1 : Input or output with hand shake
2n $ 100 or n $ 7 In this mode following actions are executed
1. Two port (A & B) function as 8 - bit input output
S124 Option (B) is correct. ports.
Shift Register " Serial to parallel data conversion 2. Each port uses three lines from C as a hand shake
Counter " Frequency division signal
Decoder " Addressing in memory chips. 3. Input and output data are latched.
Form (ii) the mode is 1.
S125 Option (A) is correct.
Mode 2 : Bi-directional data transfer
For the TTL family if terminal is floating, then it is at
This mode is used to transfer data between two computer.
logic 1.
Thus Y = (AB + 1) = AB .0 = 0 In this mode port A can be configured as bidirectional
port. Port A uses five signal from port C as hand shake
S126 Option (C) is correct. signal.
11001 1001 111001 For (1), mode is 2
00110 0110 000110
S132 Option (B) is correct.
+1 +1 +1
00111 0111 000111 LDA 16 bit & Load accumulator directly this instruction
7 7 7 copies data byte from memory location (specified within
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 7 Digital Electronics Page 297

the instruction) the accumulator.


It takes 4 memory cycle-as following.
1. in instruction fetch
2. in reading 16 bit address
1. in copying data from memory to accumulator S140 Option (B) is correct.
LXI D, (F0F1) 4 & It copies 16 bit data into register pair We have Y = P5Q5R
Z = RQ + PR + QP
D and E.
Here every block is a full subtractor giving P - Q - R
It takes 3 memory cycles.
where R is borrow. Thus circuit acts as a 4 bit subtractor
S133 Option (A) is correct. giving P - Q .
LXI H, 9258H ; 9258H " HL
S141 Option (A) is correct.
MOV A, M ; (9258H) " A
W = R + PQ + RS
CMa ; A"A
X = PQRS + PQRS + PQRS
MOV M, A ; A"M Y = RS + PR + PQ + PQ
This program complement the data of memory location
= RS + PR $ PQ $ PQ
9258H. = RS + (P + R )( P + Q)( P + Q)
= RS + (P + PQ + PR + QR )( P + Q)
S134 Option (D) is correct. = RS + PQ + QR (P + P ) + QR
MVI A, 00H ; Clear accumulator = RS + PQ + QR
LOOP ADD B ; Add the contents of B to A Z = R + S + PQ + PQR + PQS
DCR C ; Decrement C = R + S + PQ $ PQR $ PQS
JNZ LOOP ; If C is not zero jump to loop = R + S + (P + Q )( P + Q + R)( P + Q + S)
HLT = R + S + PQ + PQ + PQS + PR + PQR
END + PRS + PQ + PQS + PQR + QRS
This instruction set add the contents of B to accumulator = R + S + PQ + PQS + PR + PQR +
to contents of C times. + PRS + PQS + PQR + QRS
= R + S + PQ (1 + S) + PR (1 + P ) +
S135 Option (D) is correct. + PRS + PQS + PQR + QRS
The number of distinct boolean expression of n variable = R + S + PQ + PR + PRS + PQS + PQR + QRS
is 22n . Thus = R + S + PQ + PR (1 + Q ) + PQS + QRS
22 = 216 = 65536
4
= R + S + PQ + PR + PQS + QRS
Thus W = Z and X = Z
S136 Option (C) is correct.
In the flash analog to digital converter, the no. of S142 Option (B) is correct.
comparators is equal to 2n - 1, where n is no. of bit.s Propagation delay of flip flop is
So, 2n - 1 = 28 - 1 = 255 tpd = 10 nsec
Propagation delay of 4 bit ripple counter
S137 Option (B) is correct. R = 4tpd = 40 ns
When output of the 74 series gate of TTL gates is taken and in synchronous counter all flip-flop are given clock
from BJT then the configuration is either totem pole or simultaneously, so
open collector configuration . S = tpd = 10 ns

S143 Option (C) is correct.


S138 Option (D) is correct.
n
A 2 : 1 MUX can implement all logic functions of (n + 1) After t = t1, at first rising edge of clock, the output of shift
variable without andy additional circuitry. Here n = 3 . register is 0110, which in input to address line of ROM. At
Thus a 8 : 1 MUX can implement all logic functions of 4 0110 is applied to register. So at this time data stroed in
variable. ROM at 1010 (10), 1000 will be on bus.
When W has the data 0110 and it is 6 in decimal, and it’s
S139 Option (D) is correct. data value at that add is 1010
Counter must be reset when it count 111. This can be then 1010 i.e. 10 is acting as odd, at time t2 and data at
implemented by following circuitry that movement is 1000.
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 298 Digital Electronics Chapter 7

S144 Option (B) is correct.


The DTL has minimum fan out and CMOS has minimum
power consumption. Propagation delay is minimum in
ECL.

S145 Option (D) is correct.


Let input be 1010; output will be 1101
Let input be 0110; output will be 0100
Thus it convert gray to Binary code.
S152 Option (B) is correct.
S146 Option (A) is correct. Let X3 X2 X1 X0 be 1001 then Y3 Y2 Y1 Y0 will be 1111.
CMP B & Compare the accumulator content with Let X3 X2 X1 X0 be 1000 then Y3 Y2 Y1 Y0 will be 1110
context of Register B Let X3 X2 X1 X0 be 0110 then Y3 Y2 Y1 Y0 will be 1100
If A < R CY is set and zero flag will be reset. So this converts 2-4-2-1 BCD numbers.

S147 Option (A) is correct. S153 Option (B) is correct.


MVI B, 87H ; B = 87
Vo =- V1 :R bo + R b1 + R b2 + R b 3D
R 2R 4R 4R MOV A, B ; A = B = 87
Exact value when V1 = 5 , for maximum output START : JMP NEXT ; Jump to next
VoExact =- 5 :1 + 1 + 1 + 1 D =- 9.375 XRA B ; A 5 B " A,
2 4 8 ; A = 00, B = 87
Maximum Vout due to tolerance JP START ; Since A = 00 is positive
Vo max =- 5.5 :110 + 110 + 110 + 110 D ; so jump to START
90 2 # 90 4 # 90 8 # 90
JMP NEXT ; Jump to NEXT
=- 12.604 ; unconditionally
Tolerance = 34.44% = 35%
NEXT : XRA ; B ; A 5 B " A, A = 87 ,
; B = 87 H
S148 Option (D) is correct.
JP START ; will not jump as D7 , of A is 1
If the 4- bit 2’s complement representation of a decimal
OUT PORT2 ; A = 87 " PORT2
number is 1000, then the number is -8
S154 Option (C) is correct.
S149 Option (C) is correct.
The circuit is as shown below
In the comparator type ADC, the no. of comparators is
equal to 2n - 1, where n is no. of bits.
So, 23 - 1 = 7

S150 Option (B) is correct.


Output of 1 st XOR = X $ 1 + X $ 1 = X
Output of 2 nd XOR = X X + XX = 1
So after 4,6,8,...20 XOR output will be 1. The circuit shown is monostable multivibrator as it
requires an external triggering and it has one stable and
S151 Option (B) is correct.
one quasistable state.
They have prorogation delay as respectively,
G1 " 10 nsec S155 Option (B) is correct.
G2 " 20 nsec
The two’s compliment representation of 17 is
For abrupt change in Vi from 0 to 1 at time t = t0 we have 17 = 010001
to assume the output of NOR then we can say that option Its 1’s complement is 101110
(B) is correct waveform. So 2’s compliment is
101110
+1
101111
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 7 Digital Electronics Page 299

S156 Option (C) is correct. S163 Option (C) is correct.


The propagation delay of each inverter is tpd then The In the flash analog to digital converter, the no. of
fundamental frequency of oscillator output is comparators is equal to 2n - 1, where n is no. of bits.
f = 1 So, 2 4 - 1 = 15
2ntpd
= 1 = 1 GHz S164 Option (D) is correct.
2 # 5 # 100 # 10 - 12 As the output of AND is X = 1, the all input of this AND
must be 1. Thus
S157 Option (C) is correct.
AB + AB = 1 ...(1)
4K # 8 bit means 102410 location of byte are present BC + BC = 1 ...(2)
Now 102410 * 1000H C =1 ...(3)
It starting address is AA00H then address of last byte is From (2) and (3), if C = 1, then B = 1
AA00H + 1000H - 0001H = B9FFH If B = 1, then from (1) A = 0 . Thus A = 0, B = 1 and
C=1
S158 Option (D) is correct.
S165 Option (C) is correct.
Y = I0 + I3 + I5 + I6
Interrupt is a process of data transfer by which an external
= C BA + C AB + CBA + CBA
= C (BA + AB) + C (AB + BA) device can inform the processor that it is ready for
or Y = C (A 5 B ) + C (A 5 B) communication. 8085 microprocessor have five interrupts
namely TRAP, INTR, RST 7.5, RST 6.5 and RST 5.5
S159 Option (C) is correct.
The output of options (C) satisfy the given conditions S166 Option (A) is correct.
For any RST instruction, location of program transfer is
obtained in following way.
RST x & (x ) 8) 10 " convert in hexadecimal
So for RST 6 & (6 ) 8) 10 = (48) 10 = (30) H

S167 Option (A) is correct.


Accumulator contains A = 49 H
Register B = 3 AH
SUB B = A minus B
A = 49 H = 01001001
B = 3 AH = 00111010
2’s complement of (- B) = 11000110
A - B = A + (- B)
S160 Option (B) is correct. 010 010 01
& +1 1 0 0 0 1 1 0
S161 Option (D) is correct.
0 0 0 0 1111
For the LED to glow it must be forward biased. Thus Carry = 1
output of NAND must be LOW for LED to emit light. So so here outputA = 0 F
both input to NAND must be HIGH. If any one or both Carry CY = 1
switch are closed, output of AND will be LOW. If both Sign flag S = 1
switch are open, output of XOR will be LOW. So there
can’t be both input HIGH to NAND. So LED doesn’t S168 Option (C) is correct.
emit light. The circuit is as shown below :

S162 Option (B) is correct.


Conversion time of successive approximate analog to
digital converters is independent of input voltage. It
depends upon the number of bits only. Thus it remains
unchanged.
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 300 Digital Electronics Chapter 7

Y = B + (B + C ) = B (B + C ) = B S173 Option (C) is correct.


Darligton emitter follower provides a low output impedance
S169 Option (B) is correct. in both logical state (1 or 0). Due to this low output
The circuit is as shown below impedance, any stray capacitance is rapidly charged
and discharged, so the output state changes quickly. It
improves speed of operation.

S174 Option (D) is correct.

S175 Option (B) is correct.


For ADC we can write
Analog input = (decimal eq of digital output) # resol
6.6 = (decimal eq. of digital output) # 0.5
6.6 = decimal eq of digital. output
The voltage at non-inverting terminal is 0.5
V+ = 1 + 1 = 5 13.2 = decimal equivalent of digital output
8 2 8
so output of ADC is 1101.
V- = V+ = 5 ...(1)
8
S176 Option (A) is correct.
Now applying voltage divider rule
We use the K -map as below.
V- = 1k V% = 1 Vo ...(2)
1k + 7k 8
From (1) and (2) we have
Vo = 8 # 5 = 5V
8

S170 Option (D) is correct.


The truth table is shown below
Z = XQ + YQ
So given expression equal to
Comparing from the truth table of J - K FF = AC + BC + AB
Y = J,
X =K S177 Option (C) is correct.
For a binary half-subtractor truth table si given below.
X Y Z
0 0 Q
0 1 0
1 0 1
1 1 Q1

from truth table we can find expressions of D & X


S171 Option (B) is correct. D = A 5 B = AB + AB
In the figure the given counter is mod-10 counter, so X = AB
frequency of output is 10k = 1k
10 S178 Option (B) is correct.
We have 4 K RAM (12 address lines)
S172 Option (D) is correct.
We have y = A + AB
we know from Distributive property
x + yz = (x + y) (x + z)
Thus y = (A + A) (A + B)
= A+B ` A+A = 1
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 7 Digital Electronics Page 301

S181 Option (B) is correct.


For TTL worst cases low voltages are
VOL (max) = 0.4 V
VIL (max) = 0.8 V
Worst case high voltages are
VOH (min) = 2.4 V
VIH (min) = 2 V
The difference between maximum input low voltage and
maximum output low voltage is called noise margin. It is
0.4 V in case of TTL.
So here chip select logic CS = A15 A14 A13
address range (111) S182 Option (D) is correct.
A15 A14 A13 A12 A11 A10 A 9 A 8 A7 A6 A5 A 4 A 3 A2 A1 A 0 From the figure we can see
initial 1 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 If A=1 B=0
address &7 0 0 0 H then y =1 x=0
final 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 If A=1 B=1
address &7 F F F H then also y =1 x=0
so address range is (7 0 0 0 H – 7 F F F H) so for sequence B = 101010....output x and y will be fixed
at 0 and 1 respectively.
S179 Option (D) is correct.
From the given figure we can write the output S183 Option (D) is correct.
Given 2’s complement no. 1101; the no. is 0011
for 6 digit output we can write the no. is – 000011
2’s complement representation of above no. is 111101

S184 Option (A) is correct.

S185 Option (B) is correct.


An I/O Microprocessor controls data flow between main
memory and the I/O device which wants to communicate.

S186 Option (D) is correct.


For the state 010 all preset = 1 and output QA QB QC
= 111 so here total no. of states = 5 (down counter) S187 Option (B) is correct.
Dual slope ADC is more accurate.
S180 Option (C) is correct.
Given boolean function is S188 Option (A) is correct.
Z = ABC Dual form of any identity can be find by replacing all
Now Z = ABC = ACB = AC + B AND function to OR and vice-versa. so here dual form
Thus Z = AC + B will be
we have Z = X + Y (1 NOR gate) (A + B) (A + C) (B + C) = (A + B) (A + C)
where X = AC (1 NAND gate)
To implement a NOR gate we required 4 NAND gates as S189 Option (B) is correct.
shown below in figure. Carry flag will be affected by arithmetic instructions only.

S190 Option (C) is correct.


This is a synchronous counter. we can find output as
QA QB
0 0
1 0
0 1
here total no. of NAND gates required
= 4+1 = 5 0 0
h
Get More Study Material by Whatsapp. Send Your College
Pin Code, Branch and BTech year to 9414243489 by whatsapp
Page 302 Digital Electronics Chapter 7

The given gate is ex-OR so output


So It counts only three states. It is a mod-3 counter. F = AB + AB
K =3 Here input B = 0 so,
F = A1 + A0 = A
S191 Option (B) is correct.
S198 Option (C) is correct.
S192 Option (A) is correct. EI = Enabled Interput flag ,RST will cause an
Essential prime implicates for a function is no. of terms Interrupt only it we enable EI .
that we get by solving K -map. Here we get 4 terms when
solve the K -map. S199 Option (A) is correct.
Here only for the range 60 to 63 H chipselect will be 0,
so peripheral will correspond in this range only chipselect
= 1 for rest of the given address ranges.

S200 Option (B) is correct.


By executing instructions one by one
LXI H, 8A79 H (Load HL pair by value 8A79)
H = 8AH L = 79 H
MOV A, L (copy contain of L to accumulator)
A = 79 H
ADDH (add contain of H to accumulator)
A = 79 H = 0 1111 0 0 1
y = B D + A C D + C AB + CA B H = 8AH = add 1 0 0 0 1 0 1 0
so no of prime implicates is 4 =A= 0 0 0 0 0 0 11
Carry = 1
S193 Option (A) is correct. DAA (Carry Flag is set, so DAA adds 6 to high order four
bits)
S194 Option (B) is correct. 0 1111 0 0 1
For a 2 bit multiplier DAA add 1 0 0 0 1 0 1 0
B1 B0 A = 0 0 0 0 0 0 1 1 = 63 H
# A1 A0 MOV H, A (copy contain of A to H)
A 0 B1 A 0 B 0 H = 63 H
# A1 B1 A1 B 0 PCHL (Load program counter by HL pair)
PC = 6379 H
C3 C2 C1 C0

This multiplication is identical to AND operation and S201 Option (C) is correct.
then addition.
S202 Option (C) is correct.
S195 Option (C) is correct. NMOS In parallel makes OR Gate & in series makes AND
In totem pole stage output resistance will be small so it so here we can have
acts like a output buffer. F = A (B + C) + DE
we took complement because there is another NMOS
given above (works as an inverter)
S196 Option (B) is correct.
Consider high output state
S203 Option (D) is correct.
fan out = IOH max = 400 mA = 20 For a J -K flip flop we have characteristic equation as
IIH max 20 mA
Q (t + 1) = JQ (t) + KQ (t)
Consider low output state
Q (t) & Q (t + 1) are present & next states.
fan out = IOL max = 8 mA = 80 In given figure J = Q (t), K = 1 so
IIL max 0.1 mA
Thus fan out is 20 Q (t + 1) = Q (t) Q (t) + 0Q (t)
Q (t + 1) = Q (t)
S197 Option (A) is correct. [complement of previous state]
we have initial input Q (t) = 0
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 7 Digital Electronics Page 303

so for 6 clock pulses sequence at output Q will be 010101


C = i = 1 # 10-12
dv 5 - 0.5
b dt l b 20 10-3 l
S204 Option (C) is correct. #
-12 -3
S205 Option (B) is correct. = 1 # 10 # 20 # 10
4.5
By distributive property in boolean algebra we have = 4.4 # 10-15 F
(A + BC) = (A + B) (A + C)
(A + B) (A + C) = AA + AC + AB + BC S211 Option (A) is correct.
= A (1 + C) + AB + BC
= A + AB + BC Accuracy ! 1 LSB = Tcoff # DT
2
= A (1 + B) + BC = A + BC 1 10.24 = T
or coff # DT
2 # 210
S206 Option (A) is correct. 10.24
or Tcoff =
The current in a p n junction diode is controlled by 2 # 1024 # (50 - 25) cC
diffusion of majority carriers while current in schottky = 200 mV/cC
diode dominated by the flow of majority carrier over the
potential barrier at metallurgical junction. So there is no
S212 Option (D) is correct.
minority carrier storage in schottky diode, so switching 210 # 8 = 13
time from forward bias to reverse bias is very short No. of chips = 26 #
212 # 4
compared to p n junction diode. Hence the propagation
delay will reduces. S213 Option (C) is correct.
Given instruction set
S207 Option (B) is correct.
1000 LXI SP 27FF
S208 Option (D) is correct. 1003 CALL 1006
The total conversion time for different type of ADC are 1006 POP H
given as–
First Instruction will initialize the SP by a value
t is clock period
27FF SP ! 27FF
For flash type & 1t
Counter type & (2n - t) = 4095 m sec CALL 1006 will “Push PC” and Load PC by value 1006
n = no.of bits PUSH PC will store value of PC in stack
Integrating type conver time > 4095 m sec PC = 1006
successive approximation type nt = 12 m sec
here n = 12 so
nt = 12
12t = 12
so this is succ. app. type ADC.

S209 Option (D) is correct.


LDA 2003 (Load accumulator by a value 2003 H) so here
total no. of memory access will be 4.
now POP H will be executed
1 = Fetching instruction
which load HL pair by stack values
2 = Read the value from memory
HL = 1006 and
1 = write value to accumulator
SP = SPl + 2
SP = SPl + 2 = SP - 2 + 2 = SP
S210 Option (D) is correct.
SP = 27FF
Storage capacitance

***********
To Get Updated Solved Paper by Whatsapp, Send Your
Branch and BTech year to 9414243489 by whatsapp
Page 304 Control Systems Chapter 8

CHAPTER 8
CONTROL SYSTEMS

GATE 2016 EC01 ONE MARK GATE 2016 EC03 ONE MARK

Q1 Match the inferences X , Y and Z , about a system, Q5 The block diagram of a feedback control system is
to the corresponding properties of the elements of first shown in the figure. The overall closed-loop gain G of the
column in Routh’s Table of the system characteristic system is
equation.
X : The system is stable ...
Y : The system is unstable ...
Z : The test breaks down ....
P : ... When all elements are positive
Q : .... When any one element is zero
R : .... When there is a change in sign of coefficients
(A) X " P , Y " Q , Z " R (A) G = G1 G 2
1 + G1 H1
(B) X " Q , Y " P , Z " R
(B) G = G1 G 2
(C) X " R , Y " Q , Z " P 1 + G 1 G 2 + G 1 H1
(D) X " P , Y " R , Z " Q
(C) G = G1 G 2
1 + G 1 G 2 H1
Q2 A closed-loop control system is stable if the Nyquist G1 G 2
(D) G =
plot of the corresponding open loop transfer function 1 + G 1 G 2 + G 1 G 2 H1
(A) encircles the s -plane point (- 1 + j0) in the
counterclockwise direction as many times as the Q6 For the unity feedback control system shown in the
number of right-half s -plane poles. figure, the open-loop transfer function G ^s h is given as
(B) encircles the s -plane point (0 - j1) in the clockwise G ^s h = s^s 2+ 1h . The steady state error ess due to a unit step
direction as many times as the number of right-half s input is
-plane poles.
(C) encircles the s -plane point (- 1 + j0) in the
counterclockwise direction as many times as the
number of left-half s -plane poles.
(D) encircles the s -plane point (- 1 + j0) in the
counterclockwise direction as many times as the
number of right-half s -plane zeros. (A) 0 (B) 0.5
(C) 1.0 (D) 3

GATE 2016 EC02 ONE MARK


GATE 2016 EC01 TWO MARKS

Q3 The response of the system G (s) = s-2 to


(s + 1) (s + 3) Q7 The open-loop transfer function of a unity-feedback
the unit step input u (t) is y (t).
dy control system is
The value of at t = 0+ is _____
dt G (S ) = 2 K
s + 5s + 5
Q4 The number and direction of encirclements around The value of K at the breakaway point of the feedback
the point - 1 + j0 in the complex plane by the Nyquist control system’s root-locus plot is _____
plot of G (S ) = 1 - s is
4 + 2s
(A) zero (B) one, anti-clockwise Q8 The open-loop transfer function of a unity-feedback
(C) one, clockwise (D) two, clockwise control system is given by
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 8 Control Systems Page 305

G (S ) = K
s (s + 2)
For the peak overshoot of the closed-loop system to a unit
step input to be 10%, the value of K is _____.

Q9 The transfer function of a linear time invariant The positive value of k for which the gain margin of the
system is given by H (s) = 2s 4 - 5s3 + 5s - 2 . The number loop is exactly 0 dB and the phase margin of the loop is
of zeros in the right half of the s-plane is _____. exactly zero degree is ______

Q13 The Asymptotic Bode Phase plot of


GATE 2016 EC02 TWO MARKS
G ^S h = k ,
^s + 0.1h^s + 10h^s + p1h
Q10 The state transition diagram for a finite state
machine with states A, B and C , and binary inputs X, Y with k and p1 both positive, is shown below.
and Z is shown in the figure.

The value of p1 is ______

Which one of the following statements is correct? GATE 2016 EC03 TWO MARKS
(A) Transitions from State A are ambiguously defined.
(B) Transition from State B are ambiguously defined. Q14 The first two rows in the Routh table for the
(C) Transitions from State C are ambiguously defined. characteristic equation of a certain closed-loop control
(D) All of the state transitions are defined unambiguously system are given as

Q11 In the feedback system shown below G ^S h = ^s +1 2s h .


2

The step response of the closed-loop system should have


minimum setting time and have no overshoot.

The range of K for which the system is stable is


(A) - 2.0 < K < - 0.5
(B) 0 < K < 0.5
(C) 0 < K < 3
The required value of gain k to achieve this is ______ (D) 0.5 < K < 3
Get More Study Material by
Q15 A second-order linear time-invariant system is
Whatsapp. Send Your College Pin described by the following state equations
Code, Branch and BTech year to
dt 1 ^ h 1^ h ^ h
d x t + 2x t = 3u t
9414243489 by whatsapp
dt 2 ^ h 2^ h ^ h
d x t +x t = u t

where x1 ^ t h and x2 ^ t h are the two state variables and


Q12 In the feedback system shown below u ^ t h denotes the input. If the output c ^ t h = x1 ^ t h, then
G ^s h = 1
^s + 1h^s + 2h^s + 3h the system is
To Get Updated Solved Paper by Whatsapp, Send Your
Branch and BTech year to 9414243489 by whatsapp
Page 306 Control Systems Chapter 8

(A) controlled but not observable G (s) = 10 is______.


(B) observable but not controllable s (s + 10)
(C) both controllable and observable Q23 The transfer function of a first-order controller is
(D) neither controllable nor observable given as
K (s + a)
Gc (s) =
s+b
Q16 The forward-path transfer function and the feedback-
where K , a and b are positive real numbers. The condition
path transfer function of a single loop negative feedback
for this controller to act as a phase lead compensator is
control system are given as G ^s h = sK+^ 2s +h2 and H ^s h = 1
s+2
2
(A) a < b (B)a > b
respectively. If the variable parameter K is real positive,
then the location of the breakaway point on the root locus (C) K < ab (D) K > ab
diagram of the system is ______
Q24 Consider the Bode plot shown in the figure. Assume
GATE 2015 EC01 ONE MARK
that all the poles and zeros are real-valued.

Q17 A unity negative feedback system has the open-loop


transfer function G (s) = s (s + 1K)(s + 3) . The value of the gain
K (>0) at which the root locus crosses the imaginary axis
is _______.

10 (s + 1)
Q18 The polar plot of the transfer function G (s) = s + 10
for # w < 3 will be in the The value of fH - fL (in Hz) is...................
(A) first quadrant (B) second quadrant
(C) third quadrant (D) fourth quadrant
GATE 2015 EC01 TWO MARKS

Q19 Negative feedback in a closed-loop control system Q25 A plant transfer function is given as
DOES NOT G (s) = ^KP + s h s (s + 2) . When the plant operates in a unity
K I 1
(A) reduce the overall gain feedback configuration, the condition for the stability of
(B) reduce bandwidth the closed loop system is
(C) improve disturbance rejection (A) KP > KI > 0 (B) 2KI > KP > 0
2
(D) reduce sensitivity to parameter variation
(C) 2KI < KP (D) 2KI > KP

GATE 2015 EC02 ONE MARK


Q26 A lead compensator network includes a parallel
combination of R and C in the feed-forward path. If the
Q20 A unity negative feedback system has an open-loop
transfer function of the compensator is Gc (s) = ss ++ 42 , the
transfer function G (s) = s (s K+ 10) . The gain K for the system
value of RC is ________.
to have a damping ratio of 0.25 is________.

Q27 The open-loop transfer function of a plant in a unity


Q21 By performing cascading and/or summing/
feedback configuration is given as G (s) = (s +K8()(s +s 4-) 9) . The
differencing operations using transfer function blocks
2

value of the gain K (> 0) for which - 1 + j2 lies on the


G1 (s) and G2 (s), one CANNOT realize a transfer function
root locus is _______.
of the form
G (s)
(A) G1 (s) G2 (s) (B) 1
G2 (s) GATE 2015 EC02 TWO MARKS

(C) G1 (s) c 1 + G2 (s)m (D) G1 (s) c 1 - G2 (s)m


G1 (s) G1 (s) Q28 The state variable representation of a system is given
as
GATE 2015 EC03 ONE MARK 0 1 1
xo = > H x ; x (0) = > H
0 -1 0
Q22 The phase margin (in degrees) of the system y = [0 1] x
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 8 Control Systems Page 307

The response y (t) is Q33 The position control of a DC servo-motor is given in


t
(A) sin (t) (B)1 - e the figure. The values of the parameters are KT = 1 N-m/A,
(C) 1 - cos (t) (D) 0 Ra = 1W , La = 0.1 H, J = 5 kg-m2, B = 1 N-m(rad/sed)
and Kb = 1V(rad/sec). The steady-state position response
(in radians) due to unit impulse disturbance torque Td
Q29 The transfer function of a mass-spring damper is_______.
system is given by
G (s) = 1
Ms2 + Bs + K
The frequency response data for the system are given in
the following table.

w in rad/s G (jw) in dB arg (G (jw))


in deg
0.01 - 18.5 - 0.2
0.1 - 18.5 - 1.3 Q34 For the system shown in the figure, s =- 2.75 lies on
the root locus if K is______.
0.2 - 18.4 - 2.6
1 - 16 - 16.9
2 - 11.4 - 89.4
3 - 21.5 - 151
5 - 32.8 - 167
10 - 45.3 - 174.5

The unit step response of the system approaches a steady


state value of________. GATE 2014 EC01 ONE MARK

Q30 The output of a standard second-order system for a Q35 The forward path transfer function of a unity
unit step input is given as y (t) = 1 - 23 e-t cos _ 3t - p6 i. negative feedback system is given by
The transfer function of the system is G ^s h = K
^s + 2h^s - 1h
(A) 2 (B) 1 The value of K which will place both the poles of the
2
(s + 2) (s + 3) s + 2s + 1 closed-loop system at the same location, is ______.

(C) 3 (D) 4
Q36 Consider the feedback system shown in the figure.
s2 + 2s + 3 s 2 + 2s + 4
The Nyquist plot of G ^s h is also shown. Which one of the
following conclusions is correct ?
GATE 2015 EC03 TWO MARKS

Q31 The characteristic equation of an LTI system is given


by F (s) = s5 + 2s 4 + 3s3 + 6s2 - 4s - 8 = 0 . The number of
roots that lie strictly in the left half s -plane is______.

Q32 A network is described by the state model as


xo1 = 2x1 - x2 + 3u
xo2 =- 4x2 - u (A) G ^s h is an all pass filter
y = 3x1 - 2x2 (B) G ^s h is a strictly proper transfer function
(C) G ^s h is a stable and minimum phase transfer function
The transfer function H (s) a= UY ((ss)) k is
(D) The closed-loop system is unstable for sufficiently
(A) 11s + 35 (B) 11s - 35 large and positive k
(s - 2) (s + 4) (s - 2) (s + 4)
(C) 11s + 38 (D) 11s - 38
(s - 2) (s + 4) (s - 2) (s + 4)
To Get Updated Solved Paper by Whatsapp, Send Your
Branch and BTech year to 9414243489 by whatsapp
Page 308 Control Systems Chapter 8

GATE 2014 EC02 ONE MARK

Q37 The natural frequency of an undamped second-


order system is 40 rad/s. If the system is damped with a
damping ratio 0.3, the damped natural frequency in rad/s
is ______. (A) 16 (B) 4
(C) 2 (D) 1
Q38 For the following system,
GATE 2014 EC01 TWO MARKS

Q43 Consider the state space model of a system, as given


below
R o V R- 1 1 0VRx V R0V Rx V
Sx1W S WS 1W S W S 1W
Sx2W =
o S 0 - 1 0WSx W
2 + S4 W u; y = 81 1 1BSx2W
when X1 ^s h = 0 , the transfer function X ^^shh is SSxo WW SS 0 0 - 2WWSSx 3WW SS0WW
Ys
SSx WW
2 3 3
(A) s +2 1 (B) 1 T X T
The system is
XT X T X T X
s s+1
(A) controllable and observable
(C) s + 2 (D) s + 1
s ^s + 1h s ^s + 2h (B) uncontrollable and observable
(C) uncontrollable and unobservable
GATE 2014 EC03 ONE MARK (D) controllable and unobservable

Q39 Consider the following block diagram in the figure. Q44 The phase margin in degrees of G ^s h = ^s + 0.1h^s +1 1h^s + 10h
calculated using the asymptotic Bode plot is _____.

Q45 For the following feedback system G ^s h = ^s + 1h1^s + 2h .


The 2% settling time of the step response is required to be
less than 2 seconds.
C ^s h
The transfer function is
R ^s h
(A) G1 G2 (B) G1 G2 + G1 + 1
1 + G1 G 2
(C) G1 G2 + G2 + 1 (D) G1
1 + G1 G 2

Q40 The input - 3e2t u ^ t h, where u ^ t h is the unit step Which one of the following compensators C ^s h achieves
function, is applied to a system with transfer function ss +- 32 this ?
. If the initial value of the output is - 2 , then the value of (A) 3 b 1 l (B) 5 b 0.03 + 1l
s+5 s
the output at steady state is _______.
(C) 2 ^s + 4h (D) 4 b s + 8 l
s+3
GATE 2014 EC04 ONE MARK

GATE 2014 EC02 TWO MARKS


Q41 In a Bode magnitude plot, which one of the following
slopes would be exhibited at high frequencies by a 4 th
Q46 An unforced linear time invariant (LTI) system is
order all-pole system ?
represented by
(A) - 80 dB/decade (B) - 40 dB/decade
xo1 - 1 0 x1
(C) + 40 dB/decade (D) + 80 dB/decade > H => H> H
x2
o 0 - 2 x2
If the initial conditions are x1 ^0 h = 1 and x2 ^0 h =- 1, the
Q42 For the second order closed-loop system shown in solution of the state equation is
the figure, the natural frequency (in rad/s) is (A) x1 ^ t h =- 1, x2 ^ t h = 2
(B) x1 ^ t h =- e-t , x2 ^ t h = 2e-t
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 8 Control Systems Page 309

(C) x1 ^ t h = e-t , x2 ^ t h =- e-2t 1 e-t


(D) x1 ^ t h =- e-t , x2 ^ t h =- 2e-t For x 0 = > H, x ^ t h = > -tH and for
-1 -e
0 e-t - e-2t
Q47 The Bode asymptotic magnitude plot of a minimum x 0 = > H x ^ t h = > -t H
1 - e + 2e-2t
phase system is shown in the figure
3
when x 0 = > H, x ^ t h is
5
- 8e-t + 11e-2t 11e-t - 8e-2t
(A) > -t H (B) > H
8e - 22e-2t - 11e-t + 16e-2t
3e-t - 5e-2t 5e-t - 3e-2t
(C) > H (D) > H
- 3e-t + 10e-2t - 5e-t + 6e-2t

Q51 In the root locus plot shown in the figure, the pole/
zero marks and the arrows have been removed. Which one
of the following transfer functions has this root locus ?
If the system is connected in a unity negative feedback
configuration, the steady state error of the closed loop
system, to a unit ramp input, is_____.

Q48 Consider the state space system expressed by the


signal flow diagram shown in the figure.

(A) s+1 (B) s+4


^s + 2h^ + 4h^s + 7h
s ^s + 1h^ + 2h^s + 7h
s
The corresponding system is s+7 ^s + 1h^s + 2h
(C) (D)
(A) always controllable (B) always observable ^s + 1h^s + 2h^s + 4h ^s + 7h^s + 4h
(C) always stable (D) always unstable
GATE 2014 EC04 TWO MARKS

GATE 2014 EC03 TWO MARKS


Q52 The state transition matrix f ^ t h of a system
xo1 0 1 x1
Q49 The steady state error of the system shown in the > o H = >0 0H>x H is
figure for a unit step input is _______. x2 2

t 1 1 0
(A) > H (B) > H
1 0 t 1
0 1 1 t
(C) > H (D) > H
1 t 0 1

Q53 Consider a transfer function


ps2 + 3ps - 2
G p ^s h = 2
s + ^3 + p h s + ^2 - p h
Q50 The state equation of a second-order linear system with p a positive real parameter. The maximum value of
is given by p until which G p remains stable is _______.
x ^ t h = Ax ^ t h, x ^0 h = x 0
Q54 The characteristic equation of a unity negative
feedback system is 1 + KG ^s h = 0 . The open loop transfer
To Get Updated Solved Paper by Whatsapp, Send Your
Branch and BTech year to 9414243489 by whatsapp
Page 310 Control Systems Chapter 8

function G ^s h has one pole at 0 and two poles at - 1. The . Then G ^s h is


root locus of the system for varying K is shown in the (A) 39.8 (B) 392.8
figure. s s
(C) 32 (D) 322
s s

GATE 2013 TWO MARKS

Q56 The signal flow graph for a system is given below.


Y ^s h
The transfer function for this system is
U ^s h

(A) s+1 (B) s+1


The constant damping ratio line, for x = 0.5 , intersects 5s2 + 6s + 2 s 2 + 6s + 2
the root locus at point A. The distance from the origin (C) 2 s + 1 (D) 2 1
to point A is given as 0.5. The value of K at point A is s + 4s + 2 5s + 6s + 2
_______.
Get More Study Material by Statement for Linked Answer Questions 57 and 58:
The state diagram of a system is shown below. A system is
Whatsapp. Send Your College Pin described by the state-variable equations Xo = AX + Bu
Code, Branch and BTech year to ; y = CX + Du
9414243489 by whatsapp

GATE 2013 ONE MARK

Q55 The Bode plot of a transfer function G ^s h is shown


in the figure below. Q57 The state-variable equations of the system shown in
the figure above are
Xo = >- 1 0 H X + >- 1H u
(A) 1 -1 1
y = 61 - 1@ X + u

X o = >- 1 0 H X + >- 1H u
(B) -1 -1 1
y = 6- 1 - 1@ X + u

Xo = >- 1 0 H X + >- 1H u
(C) -1 -1 1
y = 6- 1 - 1@ X - u

Xo = >- 1 - 1H X + >- 1H u
(D) 0 -1 1
y = 61 - 1@ X - u
The gain _20 log G ^s h i is 32 dB and - 8 dB at 1 rad/s
and 10 rad/s respectively. The phase is negative for all w Q58 The state transition matrix eAt of the system shown
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 8 Control Systems Page 311

in the figure above is


e-t 0 e-t 0 where y is the output and u is the input. The system is
(A) > -t -tH (B) > H controllable for
te e - te e-t
-t
(A) a1 ! 0, a2 = 0, a 3 ! 0 (B) a1 = 0, a2 ! 0, a 3 ! 0
e-t 0 e-t - te-t (C) a1 = 0, a 3 ! 0, a 3 = 0 (D) a1 ! 0, a2 ! 0, a 3 = 0
(C) > -t -tH (D) > H
e e 0 e-t
GATE 2011 ONE MARK
Q59 The open-loop transfer function of a dc motor is
given as V ^^shh = 1 +1010s . When connected in feedback as shown
ws
Q63 The root locus plot for a system is given below. The
a

below, the approximate value of Ka that will reduce the open loop transfer function corresponding to this plot is
time constant of the closed loop system by one hundred given by
times as compared to that of the open-loop system is

(A) 1 (B) 5
(C) 10 (D) 100

GATE 2012 ONE MARK s (s + 1)


(A) G ^s h H ^s h = k
(s + 2) (s + 3)
Q60 A system with transfer function (s + 1)
(s2 + 9) (s + 2) (B) G ^s h H ^s h = k
G (s) = s (s + 2) (s + 3) 2
(s + 1) (s + 3) (s + 4)
(C) G ^s h H ^s h = k 1
is excited by sin (wt). The steady-state output of the s (s - 1) (s + 2) (s + 3)
system is zero at
(s + 1)
(A) w = 1 rad/s (B) w = 2 rad/s (D) G ^s h H ^s h = k
s (s + 2) (s + 3)
(C) w = 3 rad/s (D) w = 4 rad/s
Q64 For the transfer function G (jw) = 5 + jw , the
corresponding Nyquist plot for positive frequency has the
GATE 2012 TWO MARKS
form

Q61 The feedback system shown below oscillates at


2 rad/s when

(A) K = 2 and a = 0.75 (B) K = 3 and a = 0.75


(C) K = 4 and a = 0.5 (D) K = 2 and a = 0.5

Q62 The state variable description of an LTI system is


given by
Jxo1N J 0 a1 0NJx1N J0N Jx1N
K O K OK O K O K O
Kxo2O = K 0 0 a2OKx2O + K0O u y = _1 0 0iKx2O
Kxo O Ka 0 0OKx 3O K 1O Kx 3O
3 3
L P L PL P L P L P
To Get Updated Solved Paper by Whatsapp, Send Your
Branch and BTech year to 9414243489 by whatsapp
Page 312 Control Systems Chapter 8

GATE 2011 TWO MARKS

Q65 The block diagram of a system with one input u and


two outputs y1 and y2 is given below.

A state space model of the above system in terms of the


state vector x and the output vector y = [y1 y2]T is GATE 2010 ONE MARK
(A) xo = [2] x + [1] u ; y = [1 2] x
1
(B) xo = [- 2] x + [1] u; y = > H x Q68 The transfer function Y (s) /R (s) of the system shown
2 is
-2 0 1
(C) xo = > H x + > H u ; y = 81 2B x
0 -2 1
2 0 1 1
(D) xo = > H x + > H u ; y = > H x
0 2 1 2

Common Data Question 66 and 67


The input-output transfer function of a plant
100 (A) 0 (B) 1
H (s) = . s+1
s (s + 10) 2
The plant is placed in a unity negative feedback (C) 2 (D) 2
configuration as shown in the figure below. s+1 s+3

Q69 A system with transfer function


Y (s)
= s
X (s) s + p
has an output y (t) = cos ^2t - p3 h for the input signal
x (t) = p cos ^2t - p2 h. Then, the system parameter p is

(A) 3 (B) 2/ 3
Q66 The gain margin of the system under closed loop (C) 1 (D) 3 /2
unity negative feedback is
(A) 0 dB (B) 20 dB Q70 For the asymptotic Bode magnitude plot shown
(C) 26 dB (D) 46 dB below, the system transfer function can be

Q67 The signal flow graph that DOES NOT model the
plant transfer function H (s) is

(A) 10s + 1 (B) 100s + 1


0.1s + 1 0.1s + 1
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 8 Control Systems Page 313

GATE 2009 ONE MARK


(C) 100s (D) 0.1s + 1
10s + 1 10s + 1
Q74 The magnitude plot of a rational transfer function
G (s) with real coefficients is shown below. Which of the
GATE 2010 TWO MARKS following compensators has such a magnitude plot ?

Common Data Question 71 and 72


The signal flow graph of a system is shown below:

(A) Lead compensator (B) Lag compensator


(C) PID compensator (D) Lead-lag compensator

Q71 The state variable representation of the system can Q75 Consider the system
be
1 1 0 -1 1 0 dx = Ax + Bu with A = =1 0G and B = =p G
o= > H > xo = > H x +> Hu
2H
x x + u dt 0 1 q
(A) -1 0 (B) -1 0 2
where p and q are arbitrary real numbers. Which of
yo = [0 0.5] x yo = 80 0.5B x the following statements about the controllability of the
system is true ?
1 1 0 -1 1 0
xo = > H x +> Hu xo = > H x +> Hu (A) The system is completely state controllable for any
(C) -1 0 2 (D) -1 0 2
nonzero values of p and q
yo = 80.5 0.5B x yo = 80.5 0.5B x (B) Only p = 0 and q = 0 result in controllability
Q72 The transfer function of the system is (C) The system is uncontrollable for all values of p and q
(A) 2+ 1
s (B) s2- 1 (D) We cannot conclude about controllability from the
s +1 s +1 given data
(C) 2 s + 1 (D) 2 s - 1
s +s+1 s +s+1
GATE 2009 TWO MARKS

Q73 A unity negative feedback closed loop system has Q76 The feedback configuration and the pole-zero
a plant with the transfer function G (s) = s + 21s + 2 and a
2
locations of
controller Gc (s) in the feed forward path. For a unit set 2
input, the transfer function of the controller that gives G (s) = s2 - 2s + 2
s + 2s + 2
minimum steady state error is
are shown below. The root locus for negative values of k
(A) Gc (s) = s + 1 (B) Gc (s) = s + 2 , i.e. for - 3 < k < 0 , has breakaway/break-in points and
s+2 s+1
angle of departure at pole P (with respect to the positive
(s + 1) (s + 4)
(C) Gc (s) = (D) Gc (s) = 1 + 2 + 3s real axis) equal to
(s + 2) (s + 3) s

Get More Study Material by


Whatsapp. Send Your College Pin
Code, Branch and BTech year to
9414243489 by whatsapp
(A) ! 2 and 0c (B) ! 2 and 45c
(C) ! 3 and 0c (D) ! 3 and 45c

Q77 The unit step response of an under-damped second


To Get Updated Solved Paper by Whatsapp, Send Your
Branch and BTech year to 9414243489 by whatsapp
Page 314 Control Systems Chapter 8

order system has steady state value of -2. Which one of


the following transfer functions has theses properties ?
(A) 2 - 2.24 (B) 2 - 3.82
s + 2.59s + 1.12 s + 1.91s + 1.91

(C) - 2.24 (D) - 382


s2 - 2.59s + 1.12 s2 - 1.91s + 1.91

Common Data Question 78 and 79 :


The Nyquist plot of a stable transfer function G (s) is
shown in the figure are interested in the stability of the
closed loop system in the feedback configuration shown.

Q81 The pole-zero given below correspond to a

Q78 Which of the following statements is true ?


(A) G (s) is an all-pass filter (A) Law pass filter (B) High pass filter
(B) G (s) has a zero in the right-half plane (C) Band filter (D) Notch filter
(C) G (s) is the impedance of a passive network
(D) G (s) is marginally stable GATE 2008 TWO MARKS

Q79 The gain and phase margins of G (s) for closed loop Q82 A signal flow graph of a system is given below
stability are
(A) 6 dB and 180c (B) 3 dB and 180c
(C) 6 dB and 90c (D) 3 dB and 90c

Get More Study Material by


Whatsapp. Send Your College Pin
Code, Branch and BTech year to
The set of equalities that corresponds to this signal flow
9414243489 by whatsapp graph is
Jx1N R b - g 0 VJx1N R0 0 V
K O S WK O S W u1
(A) d Kx2O = S g a 0 WKx2O + S0 1 We o
dt K O S u2
GATE 2008 ONE MARKS x3 S- a b 0 WWKx3O SS1 0 WW
JLx1NP RT0 a g XVLJx1PN TR1 0 XV
K O S WK O S W u1
Q80 Step responses of a set of three second-order (B) d Kx2O = S0 - a - g WKx2O + S0 1 We o
dt K O S u2
underdamped systems all have the same percentage x3 S0 b - b WWKx3O SS0 0 WW
LJ PN TR VL P R V
overshoot. Which of the following diagrams represents the x1 S - a b 0 WXJx1N ST1 0 WX
K O K O u1
poles of the three systems ? (C) d Kx2O = S- b - g 0 WKx2O + S0 1 We o
dt K O S u2
x3 S a g 0 WWKx3O SS0 0 WW
LJ PN TR VL P R V
x1 S- a 0 b XWJx1N TS1 0XW
K O K O u1
(D) d Kx2O = S g 0 a WKx2O + S0 1 We o
dt K O S u2
x3 S- b 0 - a WWKx3O SS0 0 WW
L P T XL P T X
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 8 Control Systems Page 315

Q83 Group I lists a set of four transfer functions. Group


II gives a list of possible step response y (t). Match the (A) 0 (B) 1
step responses with the corresponding transfer functions. (C) 2 (D) 3

Q86 The magnitude of frequency responses of an


underdamped second order system is 5 at 0 rad/sec and
peaks to 103 at 5 2 rad/sec. The transfer function of the
system is
(A) 2 500 (B) 2 375
s + 10s + 100 s + 5s + 75
(C) 2 720 (D) 2 1125
s + 12s + 144 s + 25s + 225

Q87 Group I gives two possible choices for the impedance


Z in the diagram. The circuit elements in Z satisfy
the conditions R2 C2 > R1 C1. The transfer functions VV 0

represents a kind of controller.

Match the impedances in Group I with the type of


(A) P - 3, Q - 1, R - 4, S - 2 controllers in Group II
(B) P - 3, Q - 2, R - 4, S - 1
(C) P - 2, Q - 1, R - 4, S - 2
(D) P - 3, Q - 4, R - 1, S - 2

Q84 A certain system has transfer function


G (s) = 2 s + 8
s + as - 4
where a is a parameter. Consider the standard negative (A) Q - 1, R - 2 (B) Q - 1, R - 3
unity feedback configuration as shown below (C) Q - 2, R - 3 (D) Q - 3, R - 2

GATE 2007 ONE MARK

Q88 If the closed-loop transfer function of a control


Which of the following statements is true? system is given as T (s) s-5 , then It is
(A) The closed loop systems is never stable for any value (s + 2)( s + 3)
of a (A) an unstable system
(B) For some positive value of a, the closed loop system (B) an uncontrollable system
is stable, but not for all positive values. (C) a minimum phase system
(C) For all positive values of a, the closed loop system is (D) a non-minimum phase system
stable.
(D) The closed loop system stable for all values of a, both
positive and negative. GATE 2007 TWO MARKS

Q89 A control system with PD controller is shown in the


Q85 The number of open right half plane of
10 figure. If the velocity error constant KV = 1000 and the
G (s) = 5 is damping ratio z = 0.5 , then the value of KP and KD are
s + 2s 4 + 3s3 + 6s2 + 5s + 3
To Get Updated Solved Paper by Whatsapp, Send Your
Branch and BTech year to 9414243489 by whatsapp
Page 316 Control Systems Chapter 8

Q94 The state space representation of a separately excited


DC servo motor dynamics is given as
dw
-1 1 w 0
> di H = =- 1 - 10G=ia G + =10Gu
dt
o
dt
(A) KP = 100, KD = 0.09 (B) KP = 100, KD = 0.9 where w is the speed of the motor, ia is the armature
(C) KP = 10, KD = 0.09 (D) KP = 10, KD = 0.9 current and u is the armature voltage. The transfer
w (s)
function of the motor is
U (s)
Q90 The transfer function of a plant is
T (s) = 5 (A) 2 10 (B) 2 1
(s + 5)( s2 + s + 1) s + 11s + 11 s + 11s + 11
The second-order approximation of T (s) using dominant (C) 2 10s + 10 (D) 2 1
pole concept is s + 11s + 11 s + s + 11
(A) 1 (B) 5
(s + 5)( s + 1) (s + 5)( s + 1)
Statement for linked Answer Question 95 and 96
(C) 2 5 (D) 2 1
s +s+1 s +s+1 Consider a linear system whose state space representation
is x (t) = Ax (t). If the initial state vector of the system is
1 e-2x
Q91 The open-loop transfer function of a plant is given x (0) = = G, then the system response is x (t) = > H.
as G (s) = s 1- 1 . If the plant is operated in a unity feedback
2
-2 - 2e-2t
1
configuration, then the lead compensator that an stabilize If the itial state vector of the system changes to x (0) = = G
this control system is -2
e-t
(A)
10 (s - 1)
(B)
10 (s + 4) , then the system response becomes x (t) = > -tH
s+2 s+2 -e
10 (s + 2) 2 (s + 2) Q95 The eigenvalue and eigenvector pairs (li vi) for the
(C) (D)
s + 10 s + 10 system are
1 1
(A) e- 1 = Go and e- 2 = Go
-1 -2
Q92 A unity feedback control system has an open-loop
1 1
transfer function (B) e- 1, = Go and e2, = Go
G (s) = 2 K -1 -2
s (s + 7s + 12)
1 1
(C) e- 1, = Go and e- 2, = Go
The gain K for which s = 1 + j1 will lie on the root locus -1 -2
of this system is 1 1
(A) 4 (B) 5.5 (D) e- 2 = Go and e1, = Go
-1 -2
(C) 6.5 (D) 10
Q96 The system matrix A is
0 1 1 1
(A) = G (B) =
Q93 The asymptotic Bode plot of a transfer function -1 1 - 1 - 2G
is as shown in the figure. The transfer function G (s)
2 1 0 1
(C) =
- 1 - 1G
(D) =
- 2 - 3G
corresponding to this Bode plot is

GATE 2006 ONE MARK

Q97 The open-loop function of a unity-gain feedback


control system is given by
G (s) = K
(s + 1)( s + 2)
The gain margin of the system in dB is given by
1 1 (A) 0 (B) 1
(A) (B)
(s + 1)( s + 20) s (s + 1)( s + 20) (C) 20 (D) 3
(C) 100 (D) 100
s (s + 1)( s + 20) s (s + 1)( 1 + 0.05s)
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 8 Control Systems Page 317

GATE 2006 TWO MARKS Q103 The value of a so that the system has a phase -
margin equal to p is approximately equal to
4
Q98 Consider two transfer functions G1 (s) = 2 1 (A) 2.40 (B) 1.40
s + as + b
and G2 (s) = 2 s . (C) 0.84 (D) 0.74
s + as + b
The 3-dB bandwidths of their frequency responses are,
respectively Q104 With the value of a set for a phase - margin of p
4
(A) a2 - 4b , a2 + 4b (B) a2 + 4b , a2 - 4b , the value of unit - impulse response of the open - loop
(C) a2 - 4b , a2 - 4b (D) a2 + 4b , a2 + 4b system at t = 1 second is equal to
(A) 3.40 (B) 2.40
(C) 1.84 (D) 1.74
Q99 The Nyquist plot of G (jw) H (jw)for a closed loop
control system, passes through (- 1, j0) point in the GH
plane. The gain margin of the system in dB is equal to GATE 2005 ONE MARK
(A) infinite (B) greater than zero
(C) less than zero (D) zero Q105 Which one of the following polar diagrams
corresponds to a lag network ?
Q100 The positive values of K and a so that the system
shown in the figures below oscillates at a frequency of 2
rad/sec respectively are

(A) 1, 0.75 (B) 2, 0.75


(C) 1, 1 (D) 2, 2

Q101 The transfer function of a phase lead compensator


is given by Gc (s) = 1 + 3Ts where T > 0
1 + Ts Q106 A linear system is equivalently represented by two
The maximum phase shift provide by such a compensator sets of state equations :
is Xo = AX + BU and Wo = CW + DU
(A) p (B) p The eigenvalues of the representations are also computed
2 3 as [l] and [m]. Which one of the following statements is
(C) p (D) p true ?
4 6 (A) [l] = [m] and X = W (B) [l] = [m] and X ! W
(C) [l] ! [m] and X = W (D) [l] = [m] and X ! W
Q102 A linear system is described by the following state
equation Q107 Despite the presence of negative feedback, control
0 1
Xo (t) = AX (t) + BU (t), A = =
- 1 0G
systems still have problems of instability because the
(A) Components used have non- linearities
The state transition matrix of the system is (B) Dynamic equations of the subsystem are not known
cos t sin t - cos t sin t exactly.
(A) = G (B) =
- sin t cos t - sin t - cos t G (C) Mathematical analysis involves approximations.
- cos t - sin t cos t - sin t (D) System has large negative phase angle at high
(C) =
- sin t cos t G
(D) =
cos t sin t G frequencies.

Statement for Linked Answer Question 103 and 104: GATE 2005 TWO MARKS

Consider a unity - gain feedback control system whose


Q108 The polar diagram of a conditionally stable system
open - loop transfer function is : G (s) = as +2
1
s
To Get Updated Solved Paper by Whatsapp, Send Your
Branch and BTech year to 9414243489 by whatsapp
Page 318 Control Systems Chapter 8

for open loop gain K = 1 is shown in the figure. The open


loop transfer function of the system is known to be stable.
The closed loop system is stable for

Statement for Linked Answer Question 113 and 114


The open loop transfer function of a unity feedback
(A) K < 5 and 1 < K < 1 (B) K < 1 and 1 < K < 5 system is given by
2 8 8 2 -2s
G (s) = 3e
(C) K < 1 and 5 < K (D) K > 1 and 5 > K s (s + 2)
8 8
Q113 The gain and phase crossover frequencies in rad/
Q109 In the derivation of expression for peak percent sec are, respectively
overshoot (A) 0.632 and 1.26 (B) 0.632 and 0.485
- px
Mp = exp e o # 100% (C) 0.485 and 0.632 (D) 1.26 and 0.632
1 - x2
Which one of the following conditions is NOT required ?
(A) System is linear and time invariant Q114 Based on the above results, the gain and phase
(B) The system transfer function has a pair of complex margins of the system will be
conjugate poles and no zeroes. (A) -7.09 dB and 87.5c (B) 7.09 dB and 87.5c
(C) There is no transportation delay in the system. (C) 7.09 dB and - 87.5c (D) - 7.09 and - 87.5c
(D) The system has zero initial conditions.
GATE 2004 ONE MARK
Q110 A ramp input applied to an unity feedback system
results in 5% steady state error. The type number and Q115 The gain margin for the system with open-loop
zero frequency gain of the system are respectively transfer function
(A) 1 and 20 (B) 0 and 20 2 (1 + s)
G (s) H (s) = , is
s2
(C) 0 and 1 (D) 1 and 1
20 20 (A) 3 (B) 0
(C) 1 (D) - 3
Q111 A double integrator plant G (s) = K/s2, H (s) = 1 is
to be compensated to achieve the damping ratio z = 0.5
and an undamped natural frequency, wn = 5 rad/sec which Q116 Given G (s) H (s) = s (s + 1)(
K
s + 3)
.The point of
one of the following compensator Ge (s) will be suitable ? intersection of the asymptotes of the root loci with the
real axis is
(A) s + 3 (B) s + 99
s + 99 s+3 (A) - 4 (B) 1.33
(C) s - 6 (D) s - 6 (C) - 1.33 (D) 4
s + 8.33 s

K (1 - s) GATE 2004 TWO MARKS


Q112 An unity feedback system is given as G (s) =
s (s + 3)
. Indicate the correct root locus diagram.
Q117 Consider the Bode magnitude plot shown in the
fig. The transfer function H (s) is
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 8 Control Systems Page 319

Q122 The open-loop transfer function of a unity feedback


system is
G (s) = K
s (s2 + s + 2)( s + 3)
The range of K for which the system is stable is
(A) 21 > K > 0 (B) 13 > K > 0
4

(s + 10) 10 (s + 1) (C) 21 < K < 3 (D) - 6 < K < 3


(A) (B) 4
(s + 1)( s + 100) (s + 10)( s + 100)
102 (s + 1) 103 (s + 100) Q123 For the polynomial P (s) = s2 + s4 + 2s3 + 2s2 + 3s + 15
(C) (D) the number of roots which lie in the right half of the s -
(s + 10)( s + 100) (s + 1)( s + 10)
plane is
Q118 A causal system having the transfer function (A) 4 (B) 2
H (s) = 1/ (s + 2) is excited with 10u (t). The time at which (C) 3 (D) 1
the output reaches 99% of its steady state value is
(A) 2.7 sec (B) 2.5 sec
Q124 The state variable equations of a system are :
(C) 2.3 sec (D) 2.1 sec xo1 =- 3x1 - x2 = u, xo2 = 2x1 and y = x1 + u . The system is
(A) controllable but not observable
Q119 A system has poles at 0.1 Hz, 1 Hz and 80 Hz; zeros (B) observable but not controllable
at 5 Hz, 100 Hz and 200 Hz. The approximate phase of the (C) neither controllable nor observable
system response at 20 Hz is
(D) controllable and observable
(A) - 90c (B) 0c
(C) 90c (D) - 180c
1 0
Q125 Given A = = G, the state transition matrix eAt is
0 1
Q120 Consider the signal flow graph shown in Fig. The given by
x
gain 5 is 0 e-t et 0
x1 (A) > -t H (B) = t G
e 0 0 e
e-t 0 0 et
(C) > H (D) = t G
0 e-t e 0

1 - (be + cf + dg)
(A) GATE 2003 ONE MARK
abcd
bedg
(B) Q126 Fig. shows the Nyquist plot of the open-loop
1 - (be + cf + dg)
transfer function G (s) H (s) of a system. If G (s) H (s) has
(C) abcd one right-hand pole, the closed-loop system is
1 - (be + cf + dg) + bedg
1 - (be + cf + dg) + bedg
(D)
abcd

-2 2
If A = =
1 - 3G
Q121 , then sin At is

sin (- 4t) + 2 sin (- t) - 2 sin (- 4t) + 2 sin (- t) (A) always stable


(A) 1 = G
3 - sin (- 4t) + sin (- t) 2 sin (- 4t) + sin (- t) (B) unstable with one closed-loop right hand pole
sin (- 2t) sin (2t) (C) unstable with two closed-loop right hand poles
(B) =
sin (t) sin (- 3t)G (D) unstable with three closed-loop right hand poles
sin (4t) + 2 sin (t) 2 sin (- 4t) - 2 sin (- t)
(C) 1 =
3 - sin (- 4t) + sin (t) 2 sin (4t) + sin (t) G Q127 A PD controller is used to compensate a system.
cos (- t) + 2 cos (t) 2 cos (- 4t) + 2 cos (- t) Compared to the uncompensated system, the compensated
(D) 1 = G system has
3 - cos (- 4t) + cos (- t) - 2 cos (- 4t) + cos (t)
To Get Updated Solved Paper by Whatsapp, Send Your
Branch and BTech year to 9414243489 by whatsapp
Page 320 Control Systems Chapter 8

(A) a higher type number


(B) reduced damping
(C) higher noise amplification
(D) larger transient overshoot

GATE 2003 TWO MARKS

Q128 The signal flow graph of a system is shown in Fig.


below. The transfer function C (s)/ R (s) of the system is

(A) 6 (B) 6s
2 2
s + 29s + 6 s + 29s + 6 Q132 The gain margin and the phase margin of feedback
s (s + 2) s (s + 27) system with
(C) 2 (D) 2
s + 29s + 6 s + 29s + 6 G (s) H (s) = 8 are
(s + 100) 3
Q129 The root locus of system G (s) H (s) = K (A) dB, 0c (B) 3, 3
s (s + 2)( s + 3)
has the break-away point located at (C) 3, 0c (D) 88.5 dB, 3
(A) (- 0.5, 0) (B) (- 2.548, 0)
(C) (- 4, 0) (D) (- 0.784, 0)
Q133 The zero-input response of a system given by the
state-space equation
Q130 The approximate Bode magnitude plot of a xo1 1 0 x1 x1 (0) 1
=xo G = =1 1G=x G and =x (0)G = =0 G is
minimum phase system is shown in Fig. below. The 2 2 2
transfer function of the system is
tet et
(A) = G (B) = G
t t
et t
(C) = t G (D) = t G
te te

GATE 2002 ONE MARK

Q134 Consider a system with transfer function


G (s) = ks s++s6+ 6 . Its damping ratio will be 0.5 when the
(s + 0.1) 3 (s + 0.1) 3
2
8 7
(A) 10 (B) 10 value of k is
(s + 10) 2 (s + 100) (s + 10)( s + 100)
(A) 2 (B) 3
(s + 0.1) 2 (s + 0.1) 3 6
(C) (D)
(s + 10) 2 (s + 100) (s + 10)( s + 100) 2 (C) 1 (D) 6
6
Q131 A second-order system has the transfer function
C (s) Q135 Which of the following points is NOT on the root
= 2 4
R (s) s + 4s + 4 locus of a system with the open-loop transfer function
With r (t) as the unit-step function, the response c (t) of G (s) H (s) = k
the system is represented by s (s + 1)( s + 3)
(A) s =- j 3 (B) s =- 1.5
(C) s =- 3 (D) s =- 3

Q136 The phase margin of a system with the open - loop


transfer function
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 8 Control Systems Page 321

(1 - s) (A) 0 (B) 1
G (s) H (s) =
(1 + s)( 2 + s) (C) 2 (D) 3
(A) 0c (B) 63.4c
(C) 90c (D) 3 Q143 The equivalent of the block diagram in the figure
is given is
Q137 The transfer function Y (s)/ U (s) of system
described by the state equation xo (t) =- 2x (t) + 2u (t) and
y (t) = 0.5x (t) is
(A) 0.5 (B) 1
(s - 2) (s - 2)
(C) 0.5 (D) 1
(s + 2) (s + 2)

GATE 2002 TWO MARKS

Q138 The system shown in the figure remains stable


when
(A) k < - 1 (B) - 1 < k < 3
(C) 1 < k < 3 (D) k > 3
Q144 The root-locus diagram for a closed-loop feedback
Q139 The transfer function of a system is G (s) = (s + 1)(100 system is shown in the figure. The system is overdamped.
s + 100)
. For a unit - step input to the system the approximate
settling time for 2% criterion is

(A)100 sec (B) 4 sec


(C) 1 sec (D) 0.01 sec

Q140 The characteristic polynomial of a system is


q (s) = 2s5 + s 4 + 4s3 + 2s2 + 2s + 1 (A) only if 0 # k # 1 (B) only if 1 < k < 5
The system is (C) only if k > 5 (D) if 0 # k < 1 or k > 5
(A) stable (B) marginally stable
(C) unstable (D) oscillatory
Q145 If the characteristic equation of a closed - loop
system is s2 + 2s + 2 = 0 , then the system is
Q141 The system with the open loop transfer function (A) overdamped (B) critically damped
G (s) H (s) = 1 has a gain margin of (C) underdamped (D) undamped
s (s2 + s + 1)
(A) - 6 db (B) 0 db
(C) 35 db (D) 6 db GATE 2001 TWO MARKS

Q146 An electrical system and its signal-flow graph


GATE 2001 ONE MARK
representations are shown the figure (A) and (B)
respectively. The values of G2 and H , respectively are
Q142 The Nyquist plot for the open-loop transfer function
G (s) of a unity negative feedback system is shown in the
figure, if G (s) has no pole in the right-half of s -plane, the
number of roots of the system characteristic equation in
the right-half of s -plane is
To Get Updated Solved Paper by Whatsapp, Send Your
Branch and BTech year to 9414243489 by whatsapp
Page 322 Control Systems Chapter 8

(A) a > 0, ak < 3 (B) a > 0, ak > 3


(C) a < 0, ak > 3 (D) a > 0, ak < 3

GATE 1999 ONE MARK

Q151 For a second order system with the closed-loop


transfer function
T (s) = 2 9
s + 4s + 9
the settling time for 2-percent band, in seconds, is
Z3 (s) - Z3 (s)
(A) , (A) 1.5 (B) 2.0
Z1 (s) + Z3 (s) + Z4 (s) Z1 (s) + Z3 (s)
(C) 3.0 (D) 4.0
- Z3 (s) - Z3 (s)
(B) ,
Z2 (s) - Z3 (s) + Z4 (s) Z1 (s) + Z3 (s)
Z3 (s) Z3 (s) Q152 The gain margin (in dB) of a system a having the
(C) , loop transfer function
Z2 (s) + Z3 (s) + Z4 (s) Z1 (s) + Z3 (s)
G (s) H (s) = 2 is
- Z3 (s) Z3 (s) s (s + 1)
(D) ,
Z2 (s) - Z3 (s) + Z4 (s) Z1 (s) + Z3 (s)
(A) 0 (B) 3
Q147 The open-loop DC gain of a unity negative feedback (C) 6 (D) 3
system with closed-loop transfer function 2 s + 4 is
s + 7s + 13 Q153 The system modeled described by the state
(A) 4 (B) 4 equations is
13 9
0 1 0
(C) 4 (D) 13 X => H x + > Hu
2 -3 1
Y = 81 1B x
Q148 The feedback control system in the figure is stable
(A) controllable and observable
(B) controllable, but not observable
(C) observable, but not controllable
(D) neither controllable nor observable
(A) for all K $ 0 (B) only if K $ 0
(C) only if 0 # K < 1 (D) only if 0 # K # 1 Q154 The phase margin (in degrees) of a system having
the loop transfer function G (s) H (s) = 2 3 is
s (s + 1)
GATE 2000 ONE MARK (A) 45c (B) - 30c
(C) 60c (D) 30c
Q149 An amplifier with resistive negative feedback has
tow left half plane poles in its open-loop transfer function.
The amplifier GATE 1999 TWO MARKS
(A) will always be unstable at high frequency
(B) will be stable for all frequency Q155 An amplifier is assumed to have a single-pole high-
(C) may be unstable, depending on the feedback factor frequency transfer function. The rise time of its output
response to a step function input is 35 n sec . The upper
(D) will oscillate at low frequency.
3 dB frequency (in MHz) for the amplifier to as sinusoidal
input is approximately at
GATE 2000 TWO MARKS (A) 4.55 (B) 10
(C) 20 (D) 28.6
Q150 A system described by the transfer function H (s)
= 3 1 is stable. The constraints on a and k Q156 If the closed - loop transfer function T (s) of a unity
2
are.s + as + ks + 3 negative feedback system is given by
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 8 Control Systems Page 323

T (s) = a s + an
n-1 (C) 1 - (1/s) e-sT (D) 1 + (1/s) e-sT
n n-1
s + a1 s + .... + an - 1 s + an
then the steady state error for a unit ramp input is
(A) an (B) an Q163 In the Bode-plot of a unity feedback control system,
an - 1 an - 2 the value of phase of G (jw) at the gain cross over frequency
(C) an - 2 (D) zero is - 125c. The phase margin of the system is
an (A) - 125c (B) - 55c
(C) 55c (D) 125c
Q157 Consider the points s1 =- 3 + j4 and s 2 =- 3 - j2
in the s-plane. Then, for a system with the open-loop
transfer function Q164 Consider a feedback control system with loop
G (s) H (s) = K 4 transfer function
(s + 1) K (1 + 0.5s)
G (s) H (s) =
(A) s1 is on the root locus, but not s2 s (1 + s) (1 + 2s)
(B) s2 is on the root locus, but not s1 The type of the closed loop system is
(C) both s1 and s2 are on the root locus (A) zero (B) one
(D) neither s1 nor s2 is on the root locus (C) two (D) three

Q165 The transfer function of a phase lead controller is


Q158 For the system described by the state equation
R 0 1 0V R0V 1 + 3Ts . The maximum value of phase provided by this
S W S W 1 + Ts
xo = S 0 0 1W x + S0W u controller is
SS0.5 1 2WW SS1WW (A) 90c (B) 60c
T X T X (C) 45c (D) 30c
If the control signal u is given by u = [- 0.5 - 3 - 5] x + v
, then the eigen values of the closed-loop system will be
(A) 0, - 1, - 2 (B) 0, - 1, - 3 Q166 The Nyquist plot of a phase transfer function
(C) - 1, - 1, - 2 (D) 0, - 1, - 1 g (jw) H (jw) of a system encloses the (–1, 0) point. The
gain margin of the system is
(A) less than zero (B) zero
GATE 1998 ONE MARK
(C) greater than zero (D) infinity

Q159 The number of roots of s3 + 5s2 + 7s + 3 = 0 in the 2s2 + 6s + 5


left half of the s -plane is Q167 The transfer function of a system is ^s + 1h2 ^s + 2h
. The
(A) zero (B) one characteristic equation of the system is
(A) 2s2 + 6s + 5 = 0
(C) two (D) three
(B) (s + 1) 2 (s + 2) = 0
(C) 2s2 + 6s + 5 + (s + 1) 2 (s + 2) = 0
Q160 The transfer function of a tachometer is of the form
(D) 2s2 + 6s + 5 - (s + 1) 2 (s + 2) = 0
(A) Ks (B) K
s

(C) K (D) K Q168 In a synchro error detector, the output voltage is


(s + 1) s (s + 1) proportional to [w (t)] n, where w (t) is the rotor velocity
and n equals
Q161 Consider a unity feedback control system with (A) –2 (B) –1
open-loop transfer function G (s) = K . (C) 1 (D) 2
s (s + 1)
The steady state error of the system due to unit step
GATE 1997 ONE MARK
input is
(A) zero (B) K
(C) 1/K (D) infinite Q169 In the signal flow graph of the figure is y/x equals

Q162 The transfer function of a zero-order-hold system is


(A) (1/s) (1 + e-sT ) (B) (1/s) (1 - e-sT )
To Get Updated Solved Paper by Whatsapp, Send Your
Branch and BTech year to 9414243489 by whatsapp
Page 324 Control Systems Chapter 8

(A) 3 (B) 5
2
(C) 2 (D) None of the above y = 81 1B: X1 D,
X2
dy
Q170 A certain linear time invariant system has the state If X1 (0) = 1, X2 (0) =- 1, u (0) = 0, then is
dt t=0
and the output equations given below (A) 1 (B) –1
Xo1 1 - 1 X1 0
> o H = >0 1 H>X H + >1H u (C) 0 (D) None of the above
X2 2

***********
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 8 Control Systems Page 325

SOLUTIONS
S1 Correct option is (D). S4 Correct option is (A).

Number of Encirclements about (- 1, j 0) is Zero

S5 Correct option is (B)


From block diagram
Y ^s h
S2 Correct option is (A). = G ^s h
X ^s h G1 G 2
=
1 + G1 H1 + G1 G 2
S6 Correct option is (A).
Given G ^s h = 2 ,
s ^s + 1h

H ^s h = 1
N = P-Z & Type - 1 system, to the unit step input the ess = 0
For closed loop stability Z = 0 , N = P
` (- 1, j0) should be encircled in Counter clock wise S7 Correct answer is 1.25.
direction equaling P poles in RHP. Break away point dk = 0
ds
S3 Correct answer is 1. d 1
ds c s2 - 5s + 5 m
=0
Method 1:
Given Y (s) = s-2 u (s) 0 - (2s + 5) = 0
(s + 1) (s + 3) s =- 2.5 is a break away point
s-2 K Value is obtain From Magnitude Condition
Y (s) = [Given u (s) 1 ] K
s (s + 1) (s + 3) s
s2 + 5s + 5 s = 2.5 = 1
dy
L : dt D = sY (s) K
6.25 - 12.5 + 5 = 1
sY (s) = s-2
(s + 1) (s + 3) K = 1.25
dy (s - 2)
= Lt e o= 1 S8 Correct answer is 2.87.
dt t=0
s " 3 (s + 1) (s + 3)

Method: 2 Given %M p = 10% ; M p = 10%


2

(s - 2) M p = e- px/ 1 - x
Y (s) = e
s (s + 1) (s + 3) o
2
0.1 = e- px/ 1 - x
- px
= -2 + 3 + 5 ln (0.1) =
3s 2 (s + 1) 6 (s + 3) 1 - x2
px
y (t) = - 2 + 3 e-t - 5 e-3t 2.3 =
3 2 6 1 - x2
dy x = 0.59
(t = 0 +) = 3 (- 1) e-1 - 5 (- 3) e-3t
dt 2 6
Given G (S ) = K
= -3 + 5 = 1 s (s + 2)
2 2 CE:-
To Get Updated Solved Paper by Whatsapp, Send Your
Branch and BTech year to 9414243489 by whatsapp
Page 326 Control Systems Chapter 8

1 + G (S ) = 0
2
s + 2s + K = 0
S1 b 66 -11
6-K l= 0

2ew n = 2
2 # 0.59 # w n = 2 S0 ^6 + K h
w n = 1.69 r/ sec & K = 60 For Marginal Stable
K = w n2 = 2.87
S13 Correct answer is 1.
S9 Correct answer is 3.
From the Bode Diagram at w = 1, the phase Angle is
TF H (s) & 2s 4 - 5s3 + 5s - 2
- 135c
RH- Criteria
- 135c w = 1 =- tan-1 c w m - tan-1 c w m - tan-1 c w m
0.1 10 p1

- 135c =- tan-1 b 1 l - tan-1 b 1 l - tan-1 b 1 l


0.1 10 p1
- 135c = tan-1 c 1 m& 1 = 1 & P1 = 1
p1 P1
S14 Correct option is (D)
3 Sign Changes s3 1 2k + 3
3 Roots (Zeros) in the RH-S-Plane. s2 2k 4
for stability
s1
S10 Correct option is (C) 4
s0
In state C , when XYZ = 111, then Ambiguity occurs
Because, from state C & When X = 1, Z = 1 4k2 + 6k - 4 > 0
& N.S. is A k > - 2 >, k > 0.5
When Y = 1, Z = 1& N.S. is B 0.5 < k < 3

S11 Correct answer is 1 S15 Correct option is (A).


Given G ^s h = 1 xo1 =- 2x1 + 3U
^s2 + 2s h xo2 =- x2 + U
c = x1
From Diagram CE & 1 + KG ^s h x1
o - 2 0 x1 1
=0 > o H = > 0 - 1H>x H + >1H U
2
x2 2
s + 2s + K = 0
x1
Minimum Settling Time is obtain. For Critical Damped 6c @ = 81 0B>x2H
System for Critical Damped System.
^x = 1h the % m p = 0% By applying Gilbert’s test, the system is controlled but
2xw n = 2 not observable.
2 # 1 # wn = 2
w n = 1 rad/sec. S16 Correct answer is - 3.41.
K =1 K ^s + 2h
Given G ^s h = 2 ,
s + 2s + 2
S12 Correct answer is 60
1 H ^s h = 1
Given forward path TF =
Given GM = ^0 dB,h^
s + 1 s + 2h^s + 3h dk = 0
Break away point
PM = 0c ds
That means Given System is Marginal Stable d d s+2 n = 0
1 + KG ^s h = 0 ds s2 + 2s + 2
CE = s3 + 11s2 + 6s + 6 + K
1 ^s2 + 2s + 2h - ^s + 2h^2s + 2h
&
=0 > H=0
^s2 + 2s + 2h
2

S3 1 6
S2 11 6+K
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 8 Control Systems Page 327

9w
w = 3, G ^ jwh = lim 10j c 10 + w2
For
w"3 100 + w2 m
+ 10 c 100 + w2 m
= 10 + 0j 1st quadrant
Hence, the polar plot of transfer function is in the first
quadrant.

S19 Correct option is (B).


& - s2 - 4s - 2 = 0 Negative feedback in closed-loop control system does not
& - 0.58 , - 3.41 reduce bandwidth.
Valid BAP is - 3.41
S20 Correct answer is 400.
S17 Correct answer is 12. Open loop transfer function for negative feedback system
is
G ^s h = K
s ^s + 10h

G ^s h = K
s ^s + 1h^s + 3h
C.L.T.F (close loop transfer function) is
G ^s h
= (for negative feedback) G ^s h
1 + G ^s h H ^s h So, CLTF = = 2 K
1 + G ^s h s + 10s + K
G ^s h
= [H ^s h = 1]
1 + G ^s h Comparing with s2 + 2xw n s + w n2 , we get
= K
s ^s + 1h^s + 3h + K x = 0.25
So, characteristic equation is wn = K
s ^s + 1h^s + 3h + K = 0 2xw n = 10
s3 + 4s2 + 3s + K = 0 x = 10 = 0.25
Now, we obtain the Routh array as 2 K
s3 1 3 10 = 1
s 2
4 K 2 K 4
s b K - 12
-4 l
1
40 = K
2
s0 K
1
Row of s to be zero for oscillatory response or for poles to 20 = K
K = 400
be on imaginary axis. So, we have
K - 12 = 0 or K = 12
-4 S21 Correct option is (B)
This is the value of gain at which root locus crosses the Division of two transfer function can’t be performed
imaginary axis. by performing cascading and/or summing/differencing
operations.
S18 Correct option is (A).
Given transfer function, S22 Correct answer is 84.28c.
10 ^s + 1h
G ^s h = 0#w#3 To find PM , we need to find gain cross over frequency w g
s + 10
At w = wg ,
10 ^ jw + 1h 10 - jw
or G ^ jwh = (Put s = jw ) G (s) = 1
10 + jw # 10 - jw 10
So, =1
10 ^10jw + 10 + w2 - jwh w g w g2 + 100
=
100 + w2 10 = w g w g2 + 100
= 10
^9jw + ^10 + w2hh Squaring both side,
100 + w2 100 = w g2 (w g2 + 100)
For w = 0 , G ^ jwh = 61 + j0@ 1st quadrant 100 = w g4 + 100w g2
4 2
w g + 100w g - 100 = 0
To Get Updated Solved Paper by Whatsapp, Send Your
Branch and BTech year to 9414243489 by whatsapp
Page 328 Control Systems Chapter 8

Accepted value, w g2 = 3.98 s3 1 KP


w g . 2 rad/sec s 2
2 KI
Hence, PM = 180° + G (jw) 1 K I - 2K P
s
wg -2
= 180° - 90° - tan-1 a 10 k s0 KI
For stability, we must have
2
= 90° - tan-1 b 10 l = 84.28° KI > 0 and KI - 2KP > 0 (Routh stability criteria)
-2
S23 Correct option is (A). KI > 0 and KI - 2KP <0
The pole zero plot of lead compensator is shown below. KI > 0 and KP > KI
2
Hence, KP > KI > 0
2
S26 Correct answer is 0.5.
Given transfer function is
1
s+1
Gc ^s h = s + 2 = 1 = 12
s + 4 2 4 s + 1G
(1)
Comparing it to the given problem, we get For load compensator, RC circuit is shown below.
1 =a
t
1 =b
at
So, a = a
b
For lead compensator,
a<1
a <1
b
a <b
From the circuit, we have the transfer function
S24 Correct answer is 8970. G ^ s h = ts + 1
bts + 1
For f L , equating the slope, where t = RC
40 = 40 - 0 1
log 10 (300) - log 10 (f L) So, = RC [Comparing with equation (1)]
2
300
= log 10 c f m = 1 = 300 = 10 Hence, RC = 0.5 .
L fL
fL = 30 Hz S27 Correct answer is 25.5.
For f H , equating the slope Given open loop transfer function,
0 - 40 K ^s + 4h
- 40 =
log 10 fH - _ 900 i G ^s h =
^s + 8h^s2 - 9h
log "
fH
log 10 b 900 l = 1 and K >0

f H = 900 # 10 = 9000 If the point ^- 1 + j2h lies on the locus, then by the
Hence, f H - f L = 9000 - 30 = 8970 Hz magnitude criteria
G ^s h H ^s h s =- 1 + j2 = 1
S25 Correct option is (A).
K ^- 1 + j2 + 4h
Given plant transfer function, or =1
P + KI
^- 1 + j 2 + 8h^- 1 + j2 + 3h^- 1 + j2 - 3h
G ^s h = :KP + KI D; 1 E = sK
s s ^s + 1h s ^s + 1h
2
K ^3 + 2j h
or =1
^7 + j2h^2 + 2j h^- 4 + j2h
So, closed loop transfer function is
T.F. = G = 2 sKP + KI
1+G s ^s + 2h + sKP + KI or K 13 =1
53 8 20
Therefore, characteristics equation is
s2 ^s + 2h + sKP + KI = s3 + 2s2 + sKP + KI Hence, K = 25.5403
For stability, we form the Routh array.
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 8 Control Systems Page 329

S28
y ^ t h = 1 - 2 e-t cos c 3 t - p m
Correct option is (D).
Given
0 1 3 6
xo = >
0 - 1H
x;
In standard form, we define
1 y ^ t h = 1 - Ae-t/t cos ^wdt - fh
x ^0 h = > H
0 For standard equation,
y = 60 1@x t = xw n
Since, X ^s h = f ^s h X ^0 h w d = w n 1 - x2 = 3
where f ^s h is state transition matrix given by or 1 = 3 ( xw n = 1, or w n = 1/x )
f ^s h = ^sI - Ah-1 x 1 - x2
So, X ^s h = ^sI - Ah-1 # ^0 h
or 1 = 3
s - 1 -1 1 s+1 1 1
=> H > H = 1
> H> H x2 1 - x2
0 s+1 0 s ^s + 1h 0 s 0 or 1 - x2 = 3x2
s+1 1 1 s+1 or 1 = 4x2
= 1
> 0 sH>0H = 1
> 0 H
s ^s + 1h s ^s + 1h or x2 = 1
4
1
X ^s h = > H
s So, x = 0.5
0 Again, w n = 1 = 11
x 2
1
x^t h = > H =2
0
So, the characteristic equation is
1 = s2 + 2xw n s + w n2
Hence, y ^ t h = 60 1@> H = 0
0 = s2 # 2 # 1 # 2s + 4
2
S29 Correct answer is 0.12. = s2 + 2s + 4
G ^s h = 2
1
This denominator term is present only in option (D).
Ms + Bs + K

S31 Correct answer is 2.


Given characteristic equation,
F (s) = s5 + 2s 4 + 3s 3 + 6s 2 - 4s - 8 = 0
Now, we have to obtain unit step response. So, Applying the Routh stability criterion,
input = 1
s 1 3 -4
s5
Therefore, Y ^s h = G ^s h X ^s h
s4 2 6 -8
=d 2 1 n
1
Ms + Bs + K s s3 0 0 0
At steady state value, s2
Y ^wh = lim y ^ t h = lim sY ^s h
t"3 s"0 s1
= lim 1 s0
s"0 Ms2 + Bs + K
Y ^w h = 1 It contains complete zero row, so we obtain the auxiliary
K equation as
Now, from given table
At w = 0.01 G ^ jwh dB =- 18.5 2s 4 + 6s 2 - 8 = 0
Put x = s2 ,
So, 20 log G ^ jwh =- 18.5 2x2 + 6x - 8 = 0
20 log 1 =- 18.5 x = 1, - 4
K
So, s 2 = 1 or s = ! 1
log 1 = - 18.5 and s 2 =- 4 or s = ! 2j
K 20
Hence, one root s =- 1 lies on left side. Taking differential
1 = 10
-18.5

of auxiliary equation,
20

K
8s 3 + 12s = 0
y ^wh = 1 = 10-
18.5

Hence, 20
= 0.1188 Now, the Routh array is redrawn as
K
S30 Correct option is (D).
To Get Updated Solved Paper by Whatsapp, Send Your
Branch and BTech year to 9414243489 by whatsapp
Page 330 Control Systems Chapter 8

s5 1 3 -4
s4 2 6 -8 = 1 = 1 1 = 1 = 0.5
B+ K + Kb 1+1 2
s3 8 12 0 Ra
s2 3 -8 0 S34 Correct answer is 0.3.
s 1
- 9.33 0 The open loop transfer function is
K (s + 3) (10)
s0 0 G (s) H (s) =
(s + 2)
Since, there is only one sign change in the first column of At point s =- 2.75 ,
Routh array, so one pole lie in R.H.P and two poles lie G (s) H (s) = 1 (point lie on root locus)
on imaginary axis. Hence, the remaining two poles lies in K (s + 3)
L.H.P. 10 = 1
(s + 2)
1
S32 Correct option is (A). Hence, K = 0.75 b 10 l = 3 = 0.3
0.25 10
x1 = 2x1 - x2 + 3u
o
xo2 =- 4x2 - u S35 Correct answer is 2.25.
y = 3x 1 - 2 x 2 Given the forward path transfer function,
where G ^s h = K
x1 ^s + 2h^s - 1h
X = > H, U = input vector Since, we have unity negative feedback system, i.e.
x2
In matrix form, H ^s h = 1
2 -1 3 So, the closed loop transfer function of the system is
xo1
> H => HX + > HU G ^s h
xo2 0 -4 -1 T ^s h =
1 + G ^s h H ^s h
x1
Y = [3 - 2] ; E K
x2 ^s + 2h^s - 1h
=
2 -1 3 K
So, A = > H , B = > H, C = [3 - 2], D = 0 1+
^s + 2h^s - 1h
0 -4 -1
-1
H (s) = C (sI - A) B + D = K
Here, D = 0 . So, ^s + 2h^ - 1h + K
s
= 2 K
H (s) = C (sI - A) -1 B
-1 s +s-2+K
s-2 1 3
= [3 - 2] > H > H Therefore, the poles of the system are obtained as
0 s + 4 -1
s2 + s - 2 + K = 0
s + 4 -1 3 - 1 ! 1 - 4 ^- 2 + K h
= [3 - 2] 2 1 > H> H or s=
(s + 2s - 8) 0 s - 2 - 1 2
11s + 35 For the poles of closed loop system to be at same location,
=
(s - 2) (s + 4) we must have
1 - 4 ^- 2 + K h = 0
S33 Correct answer is 0.5.
1 + 8 - 4K = 0
To find the response due to Td (s), we will use superposition
making input Va (s) = 0 and finding K = 9 = 2.25
4
Q ^s h
Transfer function =
Td ^s h S36 Correct answer is (D).
Given the feedback system
Td ^s h = 1 (unit impulse response)
^ Js +1 B h 1
So, q (s) =
81 + ^ Js + B h_ Ra + L s iB
1 k + kb #s
a

= 1
K + Kb
s :(Js + B) + Ra + La s D
For steady state response, and the Nyquist plot of G ^s h is
lim sq (s) = 1
s"0
(Js + B) + K + KB
Ra + La s
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 8 Control Systems Page 331

Hence, we obtain the transfer function as


For the given system, we have the open loop transfer Y (s ) 1/s
function as = G = = s+1
X2 (s ) 1 + GH 1+ #1 s s (s + 2)
G1 ^s h = KG ^s h s s+1
Considering the open loop system G ^s h is stable, we have
no open loop poles in right half plane S39 Correct option is (C).
i.e. P =0 We minimize the given block diagram as
From Nyquist theorem, we know that
N = P-Z
Where N is the number of encirclements of ^- 1 + j0h,
P is number of open loop poles in right half plane, Z
is number of closed loop poles in right half plane. For
stability, we must have
Z =0
Thus, we get, N = 0 , if closed loop system is stable
N ! 0 , if closed loop system is unstable
observing the Nyquist plot, we conclude that the plot of
KG ^s h encircles ^- 1 + j0h, if Hence, the transfer function is
K >1 (sufficiently large) C ^s h
G ^s h = = ^G1 + 1h G2 + 1 = G1 G2 + G2 + 1
Hence, N ! 0 for sufficient large and positive value of K R ^s h
. Thus, the closed-system is unstable for sufficiently large
S40 Correct answer is 0.
and positive K .
Given input signal,
x ^ t h =- 3e2t u ^ t h
S37 Correct answer is 4 rad/s.
Laplace transform is obtained as
Given the natural frequency of an undamped second order
system, X ^s h = - 3
s-2
w n = 40 rad/ sec
The transfer function of the given system is
Damping ratio, d = 0.3
So, the damped natural frequency is H ^s h = s - 2
s+3
w d = w n 1 - d2 So, the output is given by
= 40 1 - (0.3) 2 = 40 1 - 0.09 Y ^s h = kX ^s h H ^s h (Output has initial value)
= 40 # 0.1 = 4 rad/s
= k b - 3 lc s - 2 m = - 3k
s-2 s+3 s+3
S38 Correct option is (D). Now, the initial value of output is
We have the block diagram y ^0 h =- 2
So, we may write
Lim sY ^s h =- 2
s"3

s ^- 3k h
or Lim =- 2
s"3 s+3
or k =2
3
When X1 (s ) = 0 , the block diagram in its reduced form is Hence, we get
shown below Y ^s h = - 2
s+3
Thus, the value of output steady state is
To Get Updated Solved Paper by Whatsapp, Send Your
Branch and BTech year to 9414243489 by whatsapp
Page 332 Control Systems Chapter 8

Lim sY ^s h = 0 We have the equation of LTI system as


s"0
xo1 - 1 0 x1
> H => H> H
S41 Correct option is (B). x2
o 0 - 2 x2
Since, the transfer function has 4 poles, so the slope at and the initial conditions are
high frequencies will be x1 (0) = 1, x2 (0) =- 1
= 4 # (- 20 dB/decade) So, we have the matrix
=- 80 dB/decade -1 0
A => H
0 -2
S42 Correct option is (C).
Now, we may define the Laplace transform of signal x (t )
Transfer function of the given closed loop system is
as
G ^s h
4

T ^s h =
s^s + 4h
= = 2 4 X (s ) = [SI - A] -1 x (0) ...(i)
1 + G ^s h 1 + s^s +4 4h s + 4s + 4
So, we obtain
Hence, the natural frequency is obtained as s+1 0
w n2 = 4 or w n = 2 [SI - A] = > H
0 s+2
Therefore,
S43 Correct option is (B).
s+2 0
Given the state-space model of system, [SI - A] -1 = 1 > H
R o V R- 1 1 0VRx V R0V (s + 1) (s + 2) 0 s + 1
Sx1W S WS 1W S W 1
0
Sxo2W = S 0 - 1 0WSx2W + S4W u => H
s+1
SSxo WW SS 0 0 - 2WWSSx 3WW SS0WW 0 1
s+2
3
T X T Rx V XT X T X Also, we have the initial condition
S 1W
y = 61 1 1@Sx2W x [ 0] = >
x1 (0) 1
H=> H
SSx WW
3
x2 (0) -1
In standard form, we define T X the state space model as Substituting these values in equation (1), we obtain
6X @ = A 6X @ + Bu
o 1
0 1 1

y = C 6X @ + Du X (s) = > H> H > H


s+1 s+1
=
0 s +1 2 - 1 - s +1 2
Comparing it to the given space model, we have the matrix
R V R V Hence, the signal in time domain is
S- 1 1 0W S0W 1
e-t
A = S 0 - 1 0W, B = S4W and C = 81 1 1B x (t ) = L "X (s), = L-1 *
-1 s+1
4=> H
SS 0 0 - 2WW SS0WW - 1
s+2 - e-2t
-t -2t
So, we obtain theT controllability X T X as
matrix or x1 (t ) = e , x2 (t ) =- e
CM = 9B AB A2 BC
S47 Correct answer is 0.50.
R V
S0 4 - 8W
S48 Correct option is (A).
= S4 - 4 4W
SS0 0 0WW We have the signal flow diagram of state space system as
T X
Therefore, the rank of matrix CM is
Rank ^CM h = 2 < 3 (order of system)
Hence, the system is uncontrollable
Again, we obtain the observability matrix as
R C V R 1 1 1V
S W S W
OM = SCA W = S- 1 0 - 2W
SSCA2WW SS 1 - 1 4WW
Therefore, the rank T
T ofX observability X
matrix is From the signal flow graph, we obtain
Rank (OM ) = 3 = order of system xo1 = x2
Hence, the system is observable. xo2 = x 3
xo3 = a1 x1 + a2 x2 + a 3 x 3 + u
S44 Correct answer is 48. y = c 1 x1 + c 2 x 2 + c 3 x 3
In matrix form, we can write
S45 Correct option is (C). R V R VR V R V
Sxo1W S 0 1 0 WSx1W S0W
Sxo2W = S 0 0 1 WSx2W + S0W u
S46 Correct option is (C). SS o WW SSa a a WWSSx WW SS1WW
x3 1 2 3 3
T X T XT X T X
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 8 Control Systems Page 333

R V R V S50 Correct option is (B).


Sy1W Sx1W For state equation, we have
Sy2W = 8c1 c2 c 3BSx2W 1 e-t
SSy WW SSx WW x 01 = > H and x1 ^ t h = > -tH
3 3 -1 -e
T X T X
So, we have the matrices Also, we have
R V R V
S0 1 0 W S0W 0 e-t - e-2t
[A ] = S 0 0 1 W, [B ] = S0W and X 02 = > H and x2 ^ t h = > -t H
1 - e + 2e-2t
SSa a a WW SS1WW
T
1 2 3
X T X Now, we have to obtain x ^ t h for
[C ] = 8C1 C2 C 3B 3
x0 = > H
5
Therefore, we obtain the controllability matrix It can be expressed as
U = 9B AB A2 BC x 0 = 3x 01 + 8x 02
R V So, we get
S0 0 1 W x ^ t h = 3x1 ^ t h + 8x2 ^ t h
= S0 1 a3 W
SS1 a a + a 2WW 3e-t 8e-t - 8e-2t
3 2 3 => H + >- 8e-t + 16e-2tH
T X - 3e-t
So, | U | =- 1 ! 0
11e-t - 8e-2t
i.e. the rank of controllability matrix is 3; which is equal => H
- 11e-t + 16e-2t
to number of variable. Hence, the system is always
controllable matrix S51 Correct option is (C).
R V
SC W We have the root locus plot as
[V ] = SCA W
SSCA2WW
R T X V
S C1 C2 C3 W
=S C3 a1 C1 + C2 a2 C2 + C3 a3 W
SS(C + C a ) C C a + (C + C a ) a C + C a + (C + C a ) a WW
2 3 3 1 3 1 2 3 3 2 1 2 2 2 3 3 3
T X
Therefore | V | depends on the value of the unknown.
Hence, the system is not observable always.

Note: For this root locus, we consider the given transfer


function in the options.
By Checking controllability only. We can deduce the
Option (A)
answer. Although the observability matrix is solved to s+1
H (s ) =
verify the answer. ^s + 2h^ + 4h^s + 7h
s
The pole-zero plot is obtained as
S49 Correct answer is 0.5.
For the given system, we have transfer function
4
C (s) s+2
H (s ) = =
R (s) 1 + ^ s +4 2 h^ s +2 4 h
From the given block diagram, we have error signal as
E (s ) = R (s) - 2 C (s) = R (s) - 2 C (s) From the pole-zero plot, we conclude that there can not
s+4 s+4
a breakaway point between - 1 and - 2 . So, it does not
Also, we have the unit step input. So, represent the root locus.
R (s ) = 1 Option (B)
s
H (s ) = s+4
Hence, the steady state error is obtained as ^s + 1h^s + 2h^s + 7h
lim sE (s) = lims :R (s) - 2 C (s)D Again, we have the pole-zero plot for the transfer function
s"0 s"0 s+4
as shown below.
= lim :1 - 2 H (s)D
s"0 s+4
4
= 1- 2 0+2
= 1 - 1 = 0.5
0 + 4 1 + ^ 0 +4 2 h^ 0 +2 4 h 2
To Get Updated Solved Paper by Whatsapp, Send Your
Branch and BTech year to 9414243489 by whatsapp
Page 334 Control Systems Chapter 8

ps2 + 3ps - 2
G p ^s h =
s2 + ^p + 3h s + ^2 - p h
For system to be stable, roots of denominator must be
negative. Equating the denominator to zero,
Also, we obtain s2 + ^p + 3h s + ^2 - p h = 0
/p - /z
Centroid = = - 1 - 2 - 7 + 4 =- 6 =- 3 So, we have
p-z 3-1 2 (sum of roots < 0 and (product of roots) > 0
Hence, the transfer function satisfies the given root locus. or -^p + 3h < 0 and ^2 - p h > 0
Option (C) or ^p + 3h > 0 and p < 2
H (s ) s+7 or p > - 3 and p < 2
^s + 1h^s + 2h^s + 4h Hence, p max = 2
The pole-zero plot for the transfer function is
S54 Correct answer is 0.375.
We redraw the given root locus as

Also, we have
/p - /z
Centroid = = -1 - 2 - 4 + 7 = 0
p-z 3-1
But, in the given root locus, the centroid is left to the
second pole, i.e. between - 4 to - 2 . So, the transfer
function is not valid for the root locus.
Option (D)
^s + 1h^s + 2h
H (s )
^s + 7h^s + 4h
Here, p = z so no any breakaway point. Hence, it is also
not a valid transfer function. Thus, the transfer function,
that has the given root locus, is
H (s ) = s+4
^s + 1h^s + 2h^s + 7h Now, we have
S52 Correct option is (A). cos q = e
Given system, and OA = 0.5
xo1 0 1 x1 Also, we may write
> o H = >0 0H>x H OA =- OA cos q + jOA sin q
x2 2

So, we have =- 0.5 # 0.5 + j 0.5 1 - 0.52


0 1
A => H =- 0.25 + j 0.433
0 0 This point must satisfy the characteristic equation
and, therefore we obtain 1 + KG ^s h = 0
s -1
5sI - A? = >0 s H
From the root locus, the transfer function is written as
G ^s h = k
R
1 1W
V s ^s + 1h2
s 1 S
s
or 5sI - A?-1 = 12 > H = S s1 W
2
Hence, we have
s 0 s S0 W k
S sW =1
T X s ^s + 1h2
Taking the inverse Laplace transform, we obtain the state or k = s s+1 2
transition matrix as
2
0 t = 0.5 1 - 0.25 + j 0.433 = 0.375
f^t h = > H
1 1
S55 Option (B) is correct.
S53 Correct answer is 2.
From the given plot, we obtain the slope as
Given transfer function, 20 log G2 - 20 log G1
Slope =
log w2 - log w1
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 8 Control Systems Page 335

xo2 =- x2 + x1 + u
From the figure 20 log G2 =- 8 dB andy = ^- 1h^1 h x2 + ^- 1h^1 h^- 1h x1 + ^1 h^- 1h^1 h^- 1h^1 h u
20 log G1 = 32 dB = x1 - x 2 + u
and w1 = 1 rad/s Hence, in matrix form we can write the state variable
w2 = 10 rad/s equations
Slope = - 8 - 32 =- 40 dB/decade xo1 - 1 0 x1 -1
log 10 - log 1 > o H = > 1 - 1H >x H + > 1 H u
x2 2
Therefore, the transfer function can be given as
x1
G ^s h = k2 and y = 81 - 1B > H + u
S x2
at w = 1 G ^ jwh = k 2 = k which can be written in more general form as
w
-1 0 -1
In decibel, 20 log G ^ jwh = 20 log k = 32 Xo = > H X +> H
1 -1 1
y = 81 - 1B X + u
32

or, k = 10 = 39.8
20

Hence, the Transfer function is


G ^s h = k2 = 392.8 S58 Option (A) is correct.
s s From the obtained state-variable equations
S56 Option (A) is correct. We have
-1 0
A =>
1 - 1H
For the given SFG, we have two forward paths
Pk1 = ^1 h^s-1h^s-1h^1 h = s-2
Pk2 = ^1 h^s-1h^1 h^1 h = s-1
S+1 0
SI - A = >
- 1 S + 1H
since, all the loops are touching to the paths Pk1 and Pk2 So,
so,
D k 1 = Dk 2 = 1
Now, we have and ^SI - Ah-1 = 1 >S + 1 0 H
D = 1 - (sum of individual loops) ^S + 1h2 1 S + 1
+ (sum of product of nontouching R 1 V
loops) S 0 W
S+1
Here, the loops are =S 1 1 W
W
S
L1 = ^- 4h^1 h =- 4 S^S + 1h2 S + 1W
L2 = ^- 4h^s-1h = 4s-1 T X
L 3 = ^- 2h^s-1h^s-1h =- 2s-2 Hence, the state transition matrix is obtained as
L 4 = ^- 2h^s-1h^1 h =- 2s-1 eAt = L-1 ^SI - Ah-1
As all the loop L1, L2, L 3 and L 4 are touching to each ZR 1 V_
other so, ]]S 0 Wbb e-1 0
-1 S S + 1
1 W` = >te-t e-tH
=L [ W
D = 1 - ^L1 + L2 + L 3 + L 4h S 1
= 1 - ^- 4 - 4s-1 - 2s-2 - 2s-1h ]S^S + 1h2 S + 1Wb
\T Xa
= 5 + 6s1 + 2s2
S59 Option (C) is correct.
From Mason’s gain formulae
Y ^s h SPk Dk s-2 + s-1 Given, open loop transfer function
= = = 2s+1
U ^s h D 5 + 6s-1 + 2s-2 5s + 6s + 2 G ^s h = 10Ka = Ka 1
1 + 10s s + 10
Taking inverse Laplace transform, we have
S57 Option (A) is correct.
g ^ t h = e- t
1
10

For the shown state diagram we can denote the states x1


Comparing with standard form of transfer function,
, x2 as below
Ae-t/t , we get the open loop time constant,
tol = 10
Now, we obtain the closed loop transfer function for the
given system as
G ^s h
H ^s h = = 10Ka
1 + G ^s h 1 + 10s + 10Ka
= Ka
So, from the state diagram, we obtain s + ^Ka + 101 h
xo1 =- x1 - u Taking inverse Laplace transform, we get
xo2 =- x2 + ^1 h^- 1h^1 h^- 1h u + ^- 1h^1 h^- 1h x1
To Get Updated Solved Paper by Whatsapp, Send Your
Branch and BTech year to 9414243489 by whatsapp
Page 336 Control Systems Chapter 8

h ^ t h = ka .e-^k + ht k =2
1
a 10

So, the time constant of closed loop system is obtained and a = 2 + 1 = 3 = 0.75
2+2 4
as
tcl = 1 1
ka + 10 S62 Option (D) is correct.
or, tcl = 1 (approximately) General form of state equations are given as
ka xo = Ax + Bu
Now, given that ka reduces open loop time constant by a yo = Cx + Du
factor of 100. i.e., For the given problem
R 0 a 0V R0V
tcl = tol S 1 W S W
100
A = S 0 0 a2W, B = S0W
or, 1 = 10 SSa 0 0WW SS1WW
3
ka 100 RT 0 a 0VXR0V R 0VT X
Hence, ka = 10 S 1 WS W S W
AB = S 0 0 a2WS0W = Sa2W
SSa 0 0WWSS1WW SS 0WW
S60 Option (C) is correct. 3
RT 0
(s2 + 9) (s + 2) S 0XT aX1 a2VWTRS0XVW RSa1 a2VW
G (s) = A2 B = Sa2 a 3 0 0WS0W = S 0W
(s + 1) (s + 3) (s + 4)
SS 0 a a 0WWSS1WW SS 0WW
(- w2 + 9) (jw + 2) 3 1
= For controllability T it is necessaryXT that X
X T following matrix
(jw + 1) (jw + 3) (jw + 4)
The steady state output will be zero if has a tank of n = 3 .
R0 0 a a V
G (jw) = 0 S 1 2W
U = 6B : AB : A2 B@ = S0 a2 0W
- w 2 + 9 = 0 & w = 3 rad/s SS1 0 0WW
So, a2 ! 0 T X
S61 Option (A) is correct. a1 a 2 ! 0 & a1 ! 0 a 3 may be zero or not.
K (s + 1)
Y (s) = 3 [R (s) - Y (s)]
s + as2 + 2s + 1 S63 Option (B) is correct.
K (s + 1) K (s + 1)
Y (s) ;1 + 3 2 E = 3 R (s) For given plot root locus exists from - 3 to 3, So there
s + as + 2s + 1 s + as2 + 2s + 1
must be odd number of poles and zeros. There is a double
Y (s) [s3 + as2 + s (2 + k) + (1 + k)] = K (s + 1) R (s)
pole at s =- 3
Y (s)
Transfer Function, H (s) = Now poles = 0, - 2, - 3, - 3
R (s) K (s + 1)
= 3 zeros =- 1
s + as2 + s (2 + k) + (1 + k) k (s + 1)
Thus transfer function G (s) H (s) =
s (s + 2) (s + 3) 2
Routh Table :
S64 Option (A) is correct.
We have G (jw) = 5 + jw
Here s = 5 . Thus G (jw) is a straight line parallel to jw
axis.

S65 Option (B) is correct.


dy
Here x = y1 and xo = 1
dx
a (2 + K) - (1 + K)
For oscillation, =0 y1 x 1
a y = > H = > H = > Hx
y2 2x 2
a = K+1
K+2 Now y1 = 1 u
s+2
Auxiliary equation A (s) = as2 + (k + 1) = 0
y1 (s + 2) = u
s2 =- k + 1 = - k + 1 (k + 2) yo1 + 2y1 = u
a (k + 1)
=- (k + 2) xo + 2x = u
xo =- 2x + u
s = j k+2 xo = [- 2] x + [1] u
jw = j k + 2 Drawing SFG as shown below
w = k+2 = 2 (Oscillation frequency)
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 8 Control Systems Page 337

H (s) = Y (s) - E (s) $ 1


s+1
E (s) = R (s) - H (s)
E (s)
= R (s) - Y (s) +
(s + 1)
1 = R (s) - Y (s)
E (s) :1 -
s + 1D
Thus xo1 = [- 2] x1 + [1] u
y1 = x1 ; y2 = 2x1
sE (s)
= R (s) - Y (s) ...(1)
y1 1 (s + 1)
y = > H = > H x1
y2 2 E (s)
Y (s) = ...(2)
Here x1 = x s+1
From (1) and (2)
Get More Study Material by sY (s) = R (s) - Y (s)
Whatsapp. Send Your College Pin (s + 1) Y (s) = R (s)
Transfer function
Code, Branch and BTech year to Y (s)
= 1
9414243489 by whatsapp R (s) s + 1

S69 Option (B) is correct.


S66 Option (C) is correct. Transfer function is given as
100 Y (s)
We have G (s) H (s) =
s (s + 10) 2 H (s) = = s
X (s) s + p
Now G (jw) H (jw) = 100 jw
jw (jw + 10) 2 H (jw) =
jw + p
If wp is phase cross over frequency +G (jw) H (jw) = 180c Amplitude Response
w
Thus - 180c = 100 tan-1 0 - tan-1 3 - 2 tan-1 a p k H (jw) = w
10
w2+ p 2
-1
or - 180c =- 90 - 2 tan (0.1wp) Phase Response
or 45c = tan-1 (0.1wp)
qh (w) = 90c - tan-1 a w k
or tan 45c 0.1wp = 1 p
or wp = 10 rad/se Input x (t) = p cos a2t - p k
100 2
Now G (jw) H (jw) = 2
w (w + 100)
Output y (t) = H (jw) x (t - qh) = cos a2t - p k
At w = wp 3
G (jw) H (jw) = 100 = 1 w
H (jw) = p =
10 (100 + 100) 20 w +p2
2

Gain Margin =- 20 log 10 G (jw) H (jw) 1 = 2 , (w = 2 rad/ sec)


p
=- 20 log 10 b 1 l 4+p2
20 or 4p 2 = 4 + p 2 & 3p 2 = 4
= 26 dB
or p = 2/ 3
S67 Option (D) is correct. Alternative :
From option (D) TF = H (s) qh = 9- p - a- p kC = p
3 2 6
= 100 ! 100
p = p - tan-1 w
apk
2
s (s + 100) s (s + 10) 2 So,
6 2
S68 Option (B) is correct. tan-1 a w k = p - p = p
p 2 6 3
From the given block diagram w = tan p = 3
p a3k
2 = 3 , (w = 2 rad/ sec)
p
or p = 2/ 3

S70 Option (A) is correct.


Initial slope is zero, so K = 1
To Get Updated Solved Paper by Whatsapp, Send Your
Branch and BTech year to 9414243489 by whatsapp
Page 338 Control Systems Chapter 8

At corner frequency w 1 = 0.5 rad/ sec , slope increases by D1 = 1, D2 = 2


+ 20 dB/decade, so there is a zero in the transfer function
Y (s)
at w 1 So, H (s) = = P1 D 1 + P2 D 2
U (s) D
At corner frequency w 2 = 10 rad/ sec , slope decreases by 1 :1+1:1
- 20 dB/decade and becomes zero, so there is a pole in s 2 s (1 + s)
= = 2
transfer function at w 2 1
1+ + 2 1 (s + s + 1)
s s
K a1 + s k
w1
Transfer function H (s) = S73 Option (D) is correct.
s
a1 + w 2 k Steady state error is given as
1 a1 + s k (1 + 10s) sR (s)
0. 1 eSS = lim
= = s " 0 1 + G (s) GC (s)
s (1 + 0.1s)
a1 + 0.1 k
R (s) = 1 (unit step unit)
s
S71 Option (D) is correct.
eSS = lim 1
Assign output of each integrator by a state variable s " 0 1 + G (s) GC (s)

= lim 1
s"0 GC (s)
1+ 2
s + 2s + 2
eSS will be minimum if lim GC (s) is maximum
s"0
In option (D)
lim GC (s) = lim 1 + 2 + 3s = 3
xo1 =- x1 + x2 s"0 s"0 s
xo2 =- x1 + 2u So, eSS = lim 1 = 0 (minimum)
y = 0.5x1 + 0.5x2 s"0 3

State variable representation


-1 1 0
xo = > H x + > Hu S74 Option (C) is correct.
-1 0 2
This compensator is roughly equivalent to combining lead
yo = [0.5 0.5] x
and lad compensators in the same design and it is referred
S72 Option (C) is correct. also as PID compensator.
By masson’s gain formula
S75 Option (C) is correct.
1 0 p
Here A = = G and B = = G
0 1 q
1 0 p p
AB = = G= G = = G
0 1 q q
p q
S = 8B AB B = =
q pG
Transfer function
Y (s) / PK DK S = pq - pq = 0
H (s) = = Since S is singular, system is completely uncontrollable
U (s) D
for all values of p and q .
Forward path given
P1 (abcdef ) = 2 # 1 # 1 # 0.5 = 12 S76 Option (B) is correct.
s s s
1 The characteristic equation is
P2 (abcdef ) = 2 # # 1 # 0.5
3 1 + G (s) H (s) = 0
K (s2 - 2s + 2)
Loop gain L1 (cdc) =- 1 or 1+ =0
s s2 + 2s + 2
L2 (bcdb) = 1 # 1 # - 1 = -21 or s2 + 2s + 2 + K (s2 - 2s + 2) = 0
s s s 2
or K =- s2 + 2s + 2
D = 1 - [L1 + L2] s - 2s + 2
For break away & break in point differentiating above
= 1 - :- 1 - 12 D = 1 + 1 + 12 w.r.t. s we have
s s s s
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 8 Control Systems Page 339

2 2 We labeled the given SFG as below :


dK =- (s - 2s + 2)( 2s + 2) - (s + 2s + 2)( 2s - 2)
ds (s2 - 2s + 2) 2
=0
Thus (s2 - 2s + 2)( 2s + 2) - (s2 + 2s + 2)( 2s - 2) = 0
or s =! 2
Let qd be the angle of departure at pole P , then

From this SFG we have


xo1 =- gx1 + bx3 + m1
xo2 = gx1 + ax3
xo =- bx - ax3 + u2
R 3V R 1 VR V R V
S 1 W S- g 0 b WSx1 W S0 1 W u1
x
Thus Sx2 W = S g 0 a WSx2 W + S0 0 We o
- qd - qp1 + qz1 + qz2 = 180c SSx WW SS- b 0 - a WWSSx WW SS1 0 WW u2
3 3
- qd = 180c - (- qp1 + qz1 + q2) T X T XT X T X
= 180c - (90c + 180 - 45c) =- 45c S83 Option (D) is correct.
P = 2 25 2xwn = 0, x = 0 " Undamped Graph 3
S77 Option (B) is correct. s + 25
For under-damped second order response
Q = 2 62 2xwn = 20, x > 1 "
T (s) = 2 kwn2 where x < 1
s + 20s + 62
Overdamped Graph 4
s + 2xwn s + wn2
Thus (A) or (B) may be correct R= 62 2xwn = 12, x = 1 "
For option (A) wn = 1.12 and 2xwn = 2.59 " x = 1.12 s2 + 12s + 62
Critically Graph 1
For option (B) wn = 1.91 and 2xwn = 1.51 " x = 0.69
S = 72 2xwn = 7, x < 1 "
S78 Option (B) is correct. s2 + 7s + 72
Underdamped Graph 2
The plot has one encirclement of origin in clockwise
direction. Thus G (s) has a zero is in RHP.
S84 Option (C) is correct.
S79 Option (C) is correct. The characteristic equation of closed lop transfer
The Nyzuist plot intersect the real axis ate - 0.5. Thus function is
G. M. =- 20 log x =- 20 log 0.5 = 6.020 dB 1 + G (s) H (s) = 0
And its phase margin is 90c. 1+ 2 s+8 =0
s + as - 4
S80 Option (C) is correct. or s 2 + as - 4 + s + 8 = 0
or s2 + (a + 1) s + 4 = 0
Transfer function for the given pole zero plot is:
(s + Z1)( s + Z2) This will be stable if (a + 1) > 0 " a > - 1. Thus system
(s + P1)( s + P2) is stable for all positive value of a.
From the plot Re (P1 and P2 )>(Z1 and Z2 )
S85 Option (C) is correct.
So, these are two lead compensator. The characteristic equation is
Hence both high pass filters and the system is high pass 1 + G (s) = 0
filter. or s5 + 2s 4 + 3s3 + 6s2 + 5s + 3 = 0
Substituting s = z1 we have
S81 Option (C) is correct. 3z5 + 5z 4 + 6z3 + 3z2 + 2z + 1 = 0
Percent overshoot depends only on damping ratio, x . The routh table is shown below. As there are two sign
2
Mp = e- xp 1 - x change in first column, there are two RHS poles.
If Mp is same then x is also same and we get
x = cos q z5 3 6 2
Thus q = constant
The option (C) only have same angle. z4 5 3 1
z3 21
5
7
5
S82 Option (C) is correct.
To Get Updated Solved Paper by Whatsapp, Send Your
Branch and BTech year to 9414243489 by whatsapp
Page 340 Control Systems Chapter 8

z2 4 3 1 + G (s) H (s) = 0
3
(Kp + KD s) 100
z 1
- 74 1000 = lims " 0 s = Kp
s (s + 100)
z0 1 Now characteristics equation is
1 + G (s) H (s) = 0
(100 + KD s) 100
or 1+ =0 Kp = 100
S86 Option (A) is correct. s (s + 10)
For underdamped second order system the transfer or s2 + (10 + 100KD) s + 10 4 = 0
function is Comparing with s2 + 2xwn + wn2 = 0 we get
Kwn2 2xwn = 10 + 100KD
T (s) = 2
s + 2xwn s + wn2 or KD = 0.9
It peaks at resonant frequency. Therefore
Resonant frequency wr = wn 1 - 2x2 S90 Option (D) is correct.
and peak at this frequency We have T (s) = 5
5 (s + 5)( s2 + s + 1)
mr = 5
2x 1 - x2 = s = 2 1
5`1 + j (s + s + 1)
2
s +s+1
5
We have wr = 5 2 , and mr = 10 . Only options (A)
3 In given transfer function denominator is
satisfy these values.
(s + 5)[( s + 0.5) 2 + 43 ]. We can see easily that pole at
wn = 10, x = 1 s =- 0.5 ! j 23 is dominant then pole at s =- 5 . Thus
2 we have approximated it.
where wr = 10 1 - 2` 1 j = 5 2
4 S91 Option (A) is correct.
and mr = 5 = 10 Hence satisfied G (s) = 2 1 = 1
2 12 1- 41
3 s - 1 (s + 1)( s - 1)
The lead compensator C (s) should first stabilize the plant
S87 Option (B) is correct. 1
i.e. remove term. From only options (A), C (s) can
The given circuit is a inverting amplifier and transfer (s - 1)
remove this term
function is
Vo = - Z = - Z (sC1 R1 + 1) 1 10 (s - 1)
Thus G (s) C (s) = #
Vi R
sC R + 1
1
R1 (s + 1)( s - 1) (s + 2)
1 1

= 10 Only option (A)


(sC2 R2 + 1) (s + 1)( s + 2)
For Q , Z = satisfies.
sC2
Vo =- (sC2 R2 + 1) (sC1 R1 + 1)
#
Vi sC2 R1 S92 Option (D) is correct.
PID Controller
For R, Z = R2 For ufb system the characteristics equation is
(sC2 R2 + 1) 1 + G (s) = 0
Vo =- R2 (sC1 R1 + 1) or 1+ K =0
#
Vi (sC2 R2 + 1) R1 s (s2 + 7s + 12)
Since R2 C2 > R1 C1, it is lag compensator. or s (s2 + 7s + 12) + K = 0
Point s =- 1 + j lie on root locus if it satisfy above
S88 Option (D) is correct. equation i.e
In a minimum phase system, all the poles as well as zeros (- 1 + j)[( - 1 + j) 2 + 7 (- 1 + j) + 12) + K] = 0
are on the left half of the s -plane. In given system as there or K =+ 10
is right half zero (s = 5), the system is a non-minimum
S93 Option (D) is correct.
phase system.
At every corner frequency there is change of -20 db/decade
S89 Option (B) is correct. in slope which indicate pole at every corner frequency.
We have Kv = lim sG (s) H (s) Thus
s"0
G (s) = K
(Kp + KD s) 100 s (1 + s)`1 + s j
or 1000 = lim s = Kp 20
s (s + 100)
s"0

Now characteristics equations is Bode plot is in (1 + sT) form


This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 8 Control Systems Page 341

20 log K p q 0 1
w w = 0. 1
= 60 dB = 1000 =r s G = =- 2 - 3G
Thus K =5 The characteristic equation
Hence G (s) = 100 lI - A = 0
s (s + 1)( 1 + .05s)
l -1
=0
S94 Option (A) is correct. 2 l+3
dw
-1 1 w 0 l (l + 3) + 2 = 0
We have > didt H = =
or
G =
- 1 - 10 in G + =10G
u
a
dt or l =- 1, - 2
or dw =- w + i ...(1) Thus Eigen values are - 1 and - 2
n
dt Eigen vectors for l1 =- 1
and dia =- w - 10i + 10u ...(2) (l1 I - A) X1 = 0
a
dt
l1 - 1 x11
Taking Laplace transform (i) we get or = 2 l + 3G=x G = 0
sw (s) =- w (s) = Ia (s) 1 21
- 1 - 1 x11
or (s + 1) w (s) = Ia (s) ...(3) = 2 2 G=x G = 0
Taking Laplace transform (ii) we get 21

sIa (s) =- w (s) - 10Ia (s) + 10U (s) or - x11 - x21 = 0


or w (s) = (- 10 - s) Ia (s) + 10U (s) or x11 + x21 = 0
= (- 10 - s)( s + 1) w (s) + 10U (s) From (3) We have only one independent equation x11 =- x21.
or w (s) =- [s2 + 11s + 10] w (s) + 10U (s) Let x11 = K , then x21 =- K , the Eigen vector will be
or(s2 + 11s + 11) w (s) = 10U (s) x11 K 1
w (s) =x G = =- K G = K =- 1G
or = 2 10 21
U (s) (s + 11s + 11) Now Eigen vector for l2 =- 2
(l2 I - A) X2 = 0
S95 Option (A) is correct. l2 - 1 x12
We have xo (t) = Ax (t) or = 2 l + 3G=x G = 0
2 22
p q - 2 - 1 x11
A ==
r sG = 2 1 G=x G = 0
Let or
21
1
For initial state vector x (0) = = G the system response or - x11 - x21 = 0
-2 or x11 + x21 = 0
e-2t
is x (t) = > H We have only one independent equation x11 =- x21.
- 2e-2t
d -2t Let x11 = K, then x21 =- K , the Eigen vector will be
e p q 1
Thus > d dt -2t H = =
r s G=- 2G
x12 K 1
dt (- 2e ) =x G = =- 2K G = K =- 2G
t=0 22
-2 (0)
- 2e p q 1
or > 4e-2 (0) H = =r s G=- 2G S96 Option (D) is correct.
-2 p - 2q As shown in previous solution the system matrix is
= 4 G = =r - 2s G 0 1
A ==
- 2 - 3G
We get p - 2q =- 2 and r - 2s = 4 ...(i)
1
For initial state vector x (0) = = G the system response S97 Option (D) is correct.
-1
e-t
is x (t) = > -tH Given system is 2nd order and for 2nd order system G.M.
-e is infinite.
d -t
e p q 1
Thus > d dt -t H = =
dt (- e ) r s G=- 1G S98 Option (D) is correct.
t=0
- (0)
-e p q 1
> e- (0) H = =r s G=- 1G S99 Option (D) is correct.
If the Nyquist polt of G (jw) H (jw) for a closed loop system
-1 p-q
= 1 G = =r - s G pass through (- 1, j0) point, the gain margin is 1 and in
dB
We get p - q =- 1 and r - s = 1 ...(2) GM =- 20 log 1
Solving (1) and (2) set of equations we get = 0 dB
To Get Updated Solved Paper by Whatsapp, Send Your
Branch and BTech year to 9414243489 by whatsapp
Page 342 Control Systems Chapter 8

S100 Option (B) is correct. p = p + +G (jw ) w "


g g
The characteristics equation is 4
Gain cross over Frequency
1 + G (s) H (s) = 0 or p = p + tan-1 (w a) - p
g
4
K (s + 1) p = tan-1 (w a)
1+ =0 or
s + as2 + 2s + 1
3
4 g

s3 + as2 + (2 + K) s + K + 1 = 0 or awg = 1
The Routh Table is shown below. For system to be At gain crossover frequency G (jwg) = 1
oscillatory stable 1 + a2 wg2
Thus =1
a (2 + K) - (K + 1) wg2
=0
a
or 1 + 1 = wg2 (as awg = 1)
or a = K+1 ...(1) or wg = (2)
1

K+2
4

Then we have
as2 + K + 1 = 0 S104 Option (C) is correct.
At 2 rad/sec we have For a = 0.84 we have
s = jw " s2 =- w2 =- 4 , G (s) = 0.84s2 + 1
s
Thus - 4a + K + 1 = 0 ...(2)
Solving (i) and (ii) we get K = 2 and a = 0.75 . Due to ufb system H (s) = 1 and due to unit impulse
response R (s) = 1, thus
2+K C (s) = G (s) R (s) = G (s) = 0.84s2 + 1 = 12 + 0.84
s3 1 s s s
s2 a 1+K Taking inverse Laplace transform
(1 + K) a - (1 + K) c (t) = (t + 0.84) u (t)
s1 a
At t = 1, c (1 sec) = 1 + 0.84 = 1.84
s0 1+K
S105 Option (D) is correct.
The transfer function of a lag network is
S101 Option (D) is correct. T (s) = 1 + sT b > 1; T > 0
1 + sbT
The transfer function of given compensator is
1 + w2 T2
Gc (s) = 1 + 3Ts T>0 T (jw) =
1 + w2 b2 T2
1 + Ts
Comparing with
Gc (s) = 1 + aTs we get a = 3 and +T (jw) = tan-1 (wT) - tan-1 (wbT)
1 + Ts At w = 0 , T (jw) = 1
The maximum phase sift is At w = 0 , +T (jw) =- tan-1 0 = 0
fmax = tan-1 a - 1 = tan-1 3 - 1 = tan-1 1 At w = 3 , T (jw) = 1
2 a 2 3 3 b
or fmax = p At w = 3 , +T (jw) = 0
6
S106 Option (C) is correct.
S102 Option (A) is correct. We have Xo = AX + BU where l is set of Eigen values
s 0 0 1 s -1
(sI - A) = = G - = G ==
1 sG
and Wo = CW + DU where m is set of Eigen values
0 s -1 0 If a liner system is equivalently represented by two sets of
s -1 s 1

G = > -1 s H
state equations, then for both sets, states will be same but
1 =
2 2
-1 s +1 s +1
(sI - A) = 2
s +1 1 s 2 2
s +1 s +1 their sets of Eigne values will not be same i.e.
cos t sin t X = W but l ! m
f (t) = eAt = L-1 [(sI - A)] -1 = =
- sin t cos t G
S107 Option (A) is correct.
S103 Option (C) is correct. Despite the presence of negative feedback, control systems
We have G (s) = as + 2
1 still have problems of instability because components used
s have nonlinearity. There are always some variation as
+G (jw) = tan-1 (wa) - p compared to ideal characteristics.
Since PM is p i.e. 45c, thus
4
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 8 Control Systems Page 343

S108 Option (B) is correct. or wg2 = 1.606


or wg = 1.26 rad/sec
S109 Option (C) is correct. Now +G (jw) =- 2w - p - tan-1 w
2 2
The peak percent overshoot is determined for LTI second
order closed loop system with zero initial condition. It’s Let at frequency wf we have +GH =- 180c
w
transfer function is - p =- 2wf - p - tan-1 f
2 2
T (s) = 2 wn2
w
s + 2xwn s + wn2 or 2wf + tan-1 f = p
2 2
Transfer function has a pair of complex conjugate poles
w w 3
and zeroes. or 2wf + c f - 1 ` f j m = p
2 3 2 2
3
5wf wf
S110 Option (A) is correct. or - =p
2 24 2
For ramp input we have R (s) = 12 5wf
s .p
Now ess = lim sE (s) 2 2
s"0
or wf = 0.63 rad
R (s) 1
= lim s = lim Get More Study Material by
s " 0 1 + G (s) s " 0 s + sG (s)

or ess = lim 1 = 5% = 1
s " 0 sG (s) 20
Finite Whatsapp. Send Your College Pin
But kv = 1 = lim sG (s) = 20 Code, Branch and BTech year to
ess s"0

kv is finite for type 1 system having ramp input.


9414243489 by whatsapp

S111 Option (A) is correct. S114 Option (D) is correct.


The gain at phase crossover frequency wf is
S112 Option (C) is correct. 3 3
Any point on real axis of s - is part of root locus if number G (jwg) = 2
= 1

wf (wf + 4) 0.63 (0.632 + 4) 2

of OL poles and zeros to right of that point is even. Thus or G (jwg) = 2.27
(B) and (C) are possible option. G.M. =- 20 log G (jwg)
The characteristics equation is - 20 log 2.26 =- 7.08 dB
1 + G (s) H (s) = 0 Since G.M. is negative system is unstable.
The phase at gain cross over frequency is
K (1 - s) w
or 1+
s (s + 3)
=0 +G (jwg) =- 2wg - p - tan-1 g
2 2
2
or K = s + 3s =- 2 # 1.26 - p - tan-1 1.26
1-s 2 2
For break away & break in point or =- 4.65 rad or - 266.5c
dK = (1 - s)( 2s + 3) + s2 + 3s = 0 PM = 180c + +G (jwg)
ds
2
= 180c - 266.5c =- 86.5c
or - s + 2s + 3 = 0
which gives s = 3 , - 1 . Here - 1 must be the break away S115 Option (D) is correct.
point and 3 must be the break in point.
The open loop transfer function is
2 (1 + s)
S113 Option (D) is correct. G (s) H (s) =
-2s s2
G (s) = 3e Substituting s = jw we have
s (s + 2)
2 (1 + jw)
-2jw G (jw) H (jw) = ...(1)
or G (jw) = 3e - w2
jw (jw + 2)
+G (jw) H (jw) =- 180c + tan-1 w
G (jw) = 3
The frequency at which phase becomes - 180c, is called
w w2 + 4
phase crossover frequency.
Let at frequency wg the gain is 1. Thus
3 Thus - 180 =- 180c + tan-1 wf
=1 or tan-1 wf = 0
wg (wg2 + 4)
or wg4 + 4wg2 - 9 = 0 or wf = 0
To Get Updated Solved Paper by Whatsapp, Send Your
Branch and BTech year to 9414243489 by whatsapp
Page 344 Control Systems Chapter 8

The gain at wf = 0 is Approximate (comparable to 90c) phase shift are


2
G (jw) H (jw) = 2 1 +
2
w =3 Due to pole at 0.01 Hz " - 90c
w Due to pole at 80 Hz " - 90c
Thus gain margin is = 1 = 0 and in dB this is - 3 . Due to pole at 80 Hz " 0
3
Due to zero at 5 Hz " 90c
S116 Option (C) is correct. Due to zero at 100 Hz " 0
Centroid is the point where all asymptotes intersects. Due to zero at 200 Hz " 0
SReal of Open Loop Pole Thus approximate total - 90c phase shift is provided.
- SReal Part of Open Loop Pole
s=
SNo.of Open Loop Pole S120 Option (C) is correct.
- SNo.of Open Loop zero Mason Gain Formula
Spk 3 k
= - 1 - 3 =- 1.33 T (s) =
3
3
In given SFG there is only one forward path and 3
S117 Option (C) is correct. possible loop.
The given bode plot is shown below p1 = abcd
31 = 1
3= 1 - (sum of indivudual loops) - (Sum of two non
touching loops)
= 1 - (L1 + L2 + L3) + (L1 L3)
Non touching loop are L1 and L3 where
L1 L2 = bedg
C (s) p1 3 1
Thus =
R (s) 1 - (be + cf + dg) + bedg
At w = 1 change in slope is +20 dB " 1 zero at w = 1 = abcd
1 - (be + cf + dg) + bedg
At w = 10 change in slope is - 20 dB " 1 poles at w = 10
At w = 100 change in slope is - 20 dB " 1 poles at w = 100
S121 Option (A) is correct.
K (s + 1)
Thus T (s) = s -2 2
A ==
1 - 3G
( 10 + 1)( 100
s
+ 1) We have
Now 20 log10 K =- 20 " K = 0.1
0.1 (s + 1) 100 (s + 1) Characteristic equation is
Thus T (s) = s = [lI - A] = 0
( 10 + 1)( 100 + 1) (s + 10)( s + 100)
s
l + 2 -2
or =0
-1 l + 3
S118 Option (C) is correct.
We have r (t) = 10u (t) or (l + 2)( l + 3) - 2 = 0
or l2 + 5l + 4 = 0
or R (s) = 10
s Thus l1 =- 4 and l2 =- 1
Now H (s) = 1 Eigen values are - 4 and - 1.
s+2 Eigen vectors for l1 =- 4
C (s) = H (s) $ R (s) = 1 $ 10 10 (l1 I - A) X1 = 0
s + 2 s s (s + 2) l1 + 2 - 2 x11
or = 1 l + 3G=x G = 0
or C (s) = 5 - 5 1 21
s s+2 - 2 - 2 x11
c (t) = 5 [1 - e-2t] =- 1 - 1G=x G = 0
21
The steady state value of c (t) is 5. It will reach 99% of
or - 2x11 - 2x21 = 0
steady state value reaches at t , where
or x11 + x21 = 0
5 [1 - e-2t] = 0.99 # 5
We have only one independent equation x11 =- x21.
or 1 - e-2t = 0.99
Let x21 = K , then x11 =- K , the Eigen vector will be
e-2t = 0.1 x11 -K -1
or - 2t = ln 0.1 =x G = = K G = K = 1 G
21
or t = 2.3 sec
Now Eigen vector for l2 =- 1
S119 Option (A) is correct. (l2 I - A) X2 = 0
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 8 Control Systems Page 345

l2 + 2 - 2 x12 If e " 0+ then 2e +e 12 is positive and -15e2-e +2412e - 144 is


2

or = - 1 l + 3G=x G = 0 negative. Thus there are two sign change in first column.
2 22
1 - 2 x12 Hence system has 2 root on RHS of plane.
or =- 1 2 G=x G = 0
22

We have only one independent equation x12 = 2x22


s5 1 2 3
Let x22 = K , then x12 = 2K . Thus Eigen vector will be
x12 2K 2 s4 1 2 15
=x G = = K G = K =1 G
22 s3 e - 12 0
Digonalizing matrix 2e + 12
x11 x12 -1 2 s2 e 15 0
M == G ==
1 1G s1
2
-15e - 24e - 144
x21 x22 2e + 12
1 -2 s0 0
Now M-1 = ` - 1 j= G
3 -1 -1
Now Diagonal matrix of sin At is D where
sin (l1 t) 0 sin (- 4t) 0
D == G ==
sin (l2 t)G
S124 Option (D) is correct.
0 sin (l2 t) 0 x1 - 3 - 1 x1 1
We have = G = = G= G =0G
Now matrixB = sin At = MDM-1 + u
x2 2 0 x2
x1 1
=-` 1 j=
- 1 2 sin (- 4t)
G
0 1 -2 Y = [1 0]= G + = Gu
1 1 = 0 sin (- t) - 1 - 1G
G= and
x2 2
3
-3 -1 1
=-` 1 j=
- sin (- 4t) - 2 sin (- t) 2 sin (- 4t) - 2 sin (- t) A == G, B = = G and C = [1 0]
sin (- 4t) + 2 sin (t) - 2 sin (- 4t) - sin (- t)G
Here
2 0 0
3 The controllability matrix is
- sin (- 4t) - 2 sin (- t) 2 sin (- 4t) - 2 sin (- t) QC = [B AB ]
=-` 1 j=
3 sin (- 4t) - sin (- t) - 2 sin (- 4t) + 2 sin (- t)G 1 -3
==
sin (- 4t) + 2 sin (- t) - 2 sin (- 4t) + 2 sin (- t) 0 2G
= ` 1 j= Gs
3 - sin (- 4t + sin (- t) 2 sin (- 4t) + sin (- t) det QC ! 0 Thus controllable
The observability matrix is
Q0 = [CT AT CT ]
1 -3
==
0 - 1G
S122 Option (A) is correct. !0
For ufb system the characteristic equation is
1 + G (s) = 0 det Q0 ! 0 Thus observable
1 + G (s)
1+ K =0
s (s2 + 2s + 2)( s + 3) S125 Option (B) is correct.
s 4 + 4s3 + 5s2 + 6s + K = 0 s 0 1 0 s-1 0
(sI - A) = = G - = G = =
The routh table is shown below. For system to be stable, 0 s 0 1 0 s - 1G
(21 - 4K) (s - 1) 0 1
0
0 < K and 0 < 1 = G > H
s-1
2/7 (sI - A) -1 = =
(s - 1) 2 0 (s - 1) 0 1
s-1
This gives 0 < K < 21
4 eAt = L-1 [(sI - A)] -1
et 0
= = tG
0 e
s4 1 5 K
s3 4 6 0 S126 Option (A) is correct.
s 2 7
K Z = P-N
2
N " Net encirclement of (- 1 + j0) by Nyquist plot,
21 - 4K
s1 7/2
0 P " Number of open loop poles in right hand side of s -
s0 K plane
Z " Number of closed loop poles in right hand side of
s - plane
Here N = 1 and P = 1
S123 Option (B) is correct. Thus Z =0
We have P (s) = s5 + s 4 + 2s3 + 3s + 15 Hence there are no roots on RH of s -plane and system
The routh table is shown below. is always stable.
To Get Updated Solved Paper by Whatsapp, Send Your
Branch and BTech year to 9414243489 by whatsapp
Page 346 Control Systems Chapter 8

S127 Option (C) is correct. At w = 0.1 change in slope is + 60 dB " 3 zeroes at w = 0.1
PD Controller may accentuate noise at higher frequency. At w = 10 change in slope is - 40 dB " 2 poles at w = 10
It does not effect the type of system and it increases the At w = 100 change in slope is - 20 dB " 1 poles at w = 100
damping. It also reduce the maximum overshoot. K ( 0s.1 + 1) 3
Thus T (s) = s
( 10 + 1) 2 ( 100
s
+ 1)
S128 Option (D) is correct. Now 20 log10 K = 20
Mason Gain Formula or K = 10
Spk 3 k 10 ( 0s.1 + 1) 3 108 (s + 0.1) 3
T (s) = Thus T (s) = s =
3 ( 10 + 1) 2 ( 100
s
+ 1) (s + 10) 2 (s + 100)
In given SFG there is only forward path and 3 possible
loop. S131 Option (B) is correct.
p1 = 1 The characteristics equation is
31 = 1 + 3 + 24 = s + 27 s2 + 4s + 4 = 0
s s s
Comparing with
L1 = - 2 , L2 = - 24 and L3 = - 3 s2 + 2xwn + wn2 = 0
s s s
where L1 and L3 are non-touching we get 2xwn = 4 and wn2 = 4
C (s) p1 3 1 Thus x =1 Critically damped
This =
R (s) 1 - (loop gain) + pair of non - touching loops ts = 4 = 4 = 2
xwn 1#2
^ s +s27 h s + 27
^ h
= -2 -3 = 1 + +
s

1 -^ s - s - sh+ s . s
-3 24 2 29 6
s
s2
S132 Option (B) is correct.
s (s + 27)
= 2
s + 29s + 6 S133 Option (C) is correct.
We have
S129 Option (D) is correct. xo1 1 0 x1 x1 (0) 1
We have =xo G = =1 1G=x G and =x (0)G = =0 G
2 2 2
1 + G (s) H (s) = 0 1 0
or1 + K =0 A == G
s (s + 2)( s + 3) 1 1
K =- s (s2 + 5s2 + 6s) s 0 1 0 s-1 0
(sI - A) = = G - = G = =
- 1 s - 1G
or
dK =- (3s2 + 10s + 6) = 0 0 s 1 1
ds
1 >(s - 1)
1
0 0
H > H
s-1
(sI - A) -1 = =
which gives s = - 10 ! 100 - 72 =- 0.784, - 2.548
+1
(s - 1) 2 + 1 (s - 1)
1
(s - 1) 2 s-1
6
et 0
The location of poles on s - plane is L [(sI - A) ] = e = = t t G
-1 -1 At
te e
et 0 1 et
x (t) = e # [x (t0)] = = t t G= G = = t G
At
te e 0 te

S134 Option (C) is correct.


The characteristics equation is
Since breakpoint must lie on root locus so s =- 0.748 is ks2 + s + 6 = 0
possible.
or s2 + 1 s + 6 = 0
K K
S130 Option (A) is correct. Comparing with s + 2xwn s + wn2 = 0 we have
2

The given bode plot is shown below we get 2xwn = 1 and wn2 = 6
K K
or 2 # 0.5 # 6 Kw = 1 Given x = 0.5
K
or 6 = 1 & K =1
K K2 6

S135 Option (B) is correct.


Any point on real axis lies on the root locus if total number
of poles and zeros to the right of that point is odd. Here
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 8 Control Systems Page 347

s =- 1.5 does not lie on real axis because there are total s2
two poles and zeros (0 and - 1) to the right of s =- 1.5 .
s1
S136 Option (D) is correct. s0
From the expression of OLTF it may be easily see that the
maximum magnitude is 0.5 and does not become 1 at any
frequency. Thus gain cross over frequency does not exist. S141 Option (B) is correct.
When gain cross over frequency does not exist, the phase The open loop transfer function is
margin is infinite. G (s) H (s) = 1
s (s2 + s + 1)
S137 Option (D) is correct. Substituting s = jw we have
We have xo (t) =- 2x (t) + 2u (t) ...(i) G (jw) H (jw) = 1
2
jw (- w + jw + 1)
Taking Laplace transform we get
sX (s) =- 2X (s) + 2U (s) +G (jw) H (jw) =- p - tan-1 w 2
2 (1 - w )
or (s + 2) X (s) = 2U (s)
2U (s) The frequency at which phase becomes - 180c, is called
or X (s) = phase crossover frequency.
(s + 2)
wf
Now y (t) = 0.5x (t) Thus - 180 =- 90 - tan-1
1 - wf2
Y (s) = 0.5X (s) wf
0.5 # 2U (s) or - 90 =- tan-1
or Y (s) = 1 - wf2
s+2
Y (s) or 1 - w2f = 0
or = 1
U (s) (s + 2) wf = 1 rad/sec
The gain margin at this frequency wf = 1 is
GM =- 20 log10 G (jwf) H (jwf)
S138 Option (D) is correct.
From Mason gain formula we can write transfer function = 20 log10 (wf (1 - w2f) 2 + w2f =- 20 log 1 = 0
as
Y (s) K
K S142 Option (A) is correct.
= s
=
R (s) 1 - ( s + -sK ) s - 3 (3 - K)
3
Z = P-N
For system to be stable (3 - K) < 0 i.e. K > 3 N " Net encirclement of (- 1 + j0) by Nyquist plot,
P " Number of open loop poles in right had side of s -
S139 Option (B) is correct. plane
The characteristics equation is Z " Number of closed loop poles in right hand side of s -
(s + 1)( s + 100) = 0 plane
s2 + 101s + 100 = 0
Here N = 0 (1 encirclement in CW direction and other in
Comparing with s2 + 2xwn + wn2 = 0 we get
CCW)
2xwn = 101 and wn2 = 100
and P = 0
Thus x = 101 Overdamped Thus Z = 0
20
For overdamped system settling time can be determined Hence there are no roots on RH of s - plane.
by the dominant pole of the closed loop system. In given
system dominant pole consideration is at s =- 1. Thus S143 Option (D) is correct.
1 = 1 and T = 4 = 4 sec Take off point is moved after G2 as shown below
s
T T

S140 Option (B) is correct.


Routh table is shown below. Here all element in 3rd row
are zero, so system is marginal stable.

s5 2 4 2 S144 Option (D) is correct.


s4 1 2 1 If roots of characteristics equation lie on negative axis at
different positions (i.e. unequal), then system response is
s3 0 0 0
over damped.
To Get Updated Solved Paper by Whatsapp, Send Your
Branch and BTech year to 9414243489 by whatsapp
Page 348 Control Systems Chapter 8

From the root locus diagram we see that for 0 < K < 1, S148 Option (C) is correct.
the roots are on imaginary axis and for 1 < K < 5 roots are From the Block diagram transfer function is
on complex plain. For K > 5 roots are again on imaginary G (s)
T (s) =
axis. 1 + G (s) H (s)
Thus system is over damped for 0 # K < 1 and K > 5 . K (s - 2)
Where G (s) =
(s + 2)
S145 Option (C) is correct. and H (s) = (s - 2)
The characteristics equation is The Characteristic equation is
s2 + 2s + 2 = 0 1 + G (s) H (s) = 0
Comparing with s2 + 2xwn + wn2 = 0 we get K (s - 2)
1+ (s - 2) = 0
2xwn = 2 and wn2 = 2 (s + 2) 2
wn = 2 or (s + 2) 2 + K (s - 2) 2 = 0
and x= 1 or (1 + K) s2 + 4 (1 - K) s + 4K + 4 = 0
2 Routh Table is shown below. For System to be stable
Since x < 1 thus system is under damped 1 + k > 0 , and 4 + 4k > 0 and 4 - 4k > 0 . This gives
-1 < K < 1
S146 Option (C) is correct. As per question for 0 # K < 1
From SFG we have
I1 (s) = G1 Vi (s) + HI2 (s) ...(1) s2 1+k 4 + 4k
I2 (s) = G2 I1 (s) ...(2)
V0 (s) = G3 I2 (s) ...(3) s1 4 - 4k 0
Now applying KVL in given block diagram we have
Vi (s) = I1 (s) Z1 (s) + [I1 (s) - I2 (s)] Z3 (s) ...(4) s0 4 + 4k
0 = [I2 (s) - I1 (s)] Z3 (s) + I2 (s) Z2 (s) + I2 (s) Z4 (s) ...(5)
From (4) we have
orVi (s) = I1 (s)[ Z1 (s) + Z3 (S)] - I2 (s) Z3 (S) S149 Option (B) is correct.
1 Z3 (s) It is stable at all frequencies because for resistive network
or I1 (s) = Vi + I2 ...(6)
Z1 (s) + Z3 (s) Z1 (s) + Z3 (s) feedback factor is always less than unity. Hence overall
From (5) we have gain decreases.
I1 (s) Z3 (S) = I2 (s)[ Z2 (s) + Z3 (s) + Z4 (s)] ...(7)
I1 (s) Z3 (s) S150 Option (B) is correct.
or Is (s) =
Z3 (s) + Z2 (s) + Z4 (s)
The characteristics equation is s2 + as2 + ks + 3 = 0
Comparing (2) and (7) we have The Routh Table is shown below
Z3 (s)
G2 =
Z3 (s) + Z2 (s) + Z4 (s) For system to be stable a > 0 and aK - 3 > 0
a
Comparing (1) and (6) we have Thus a > 0 and aK > 3
Z3 (s)
H =
Z1 (s) + Z3 (s)
s3 1 K
S147 Option (B) is correct. s2 a 3
For unity negative feedback system the closed loop transfer aK - 3
s1 a 0
function is
G (s) s+4 s0 3
CLTF = = , G (s) " OL Gain
1 + G (s) s2 + 7s + 13
1 + G (s) 2
or = s + 7s + 13
G (s) s+4
S151 Option (B) is correct.
or 1 = s + 7s + 13 - 1 = s2 + 6s + 9
2
Closed loop transfer function is given as
G (s) s+4 s+4
T (s) = 2 9
or G (s) = 2 s + 4 s + 4s + 9
s + 6s + 9
by comparing with standard form we get natural freq.
For DC gain s = 0 , thus
wA2 = 9
Thus G (0) = 4 wn = 3
9
2xwn = 4
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 8 Control Systems Page 349

Damping factor x=4 = 2/3 (w2 + 4) (w2 - 3) = 0


2#3 w2 = 3 and w2 =- 4
For second order system the setting time for 2-percent which gives w1, w2 = ! 3
band is given by wg = 3
ts = 4 = 4 =4 =2 f (w) at w = w =- 90 - tan-1 (wg)
xwn 3 # 2/3 2
g
=- 90 - tan-1 3 =- 90 - 60 =- 150
Phase margin = 180 + f (w) at w = w = 180 - 150 = 30c
g

S152 Option (D) is correct.


Given loop transfer function is S155 Option (B) is correct.
G (s) H (s) = 2
s (s + 1) S156 Option (C) is correct.
2 Closed-loop transfer function is given by
G (jw) H (jw) = an - 1 s + an
jw (jw + 1) T (s) = n
Phase cross over frequency can be calculated as s + a1 sn - 1 + ... + an - 1 s + an
an - 1 s + an
f (w) at w = w =- 180c n n-1 2
f (w) =- 90c - tan-1 (w) = s + a1 s + ...an - 2 s
p
So here an - 1 s + an
- 90c - tan-1 (wp) =- 180c 1+ n
s + a1 sn - 1 + ...an - 2 s2
tan-1 (wp) = 90c
wp = 3 Thus G (s) H (s) = an - 1 s + an
Gain margin sn + a1 sn - 1 + ....an - 2 s2
20 log 10 = 1 For unity feed back H (s) = 1
G (jw) H (jw) G
at w = wp
Thus G (s) = n an - 1 s + an
1 s + a1 sn - 1 + ....an - 2 s2
G.M. = 20 log 10 e
G (jw) H (jwp) o Steady state error is given by
2 E (s) = lim R (s) 1
G (jwp) H (jwp) = =0 s"0 1 + G (s) H (s)
wp w2p + 1
so G.M. = 20 log 10 b 1 l = 3 for unity feed back H (s) = 1
0
Here input R (s) = 12 (unit Ramp)
s
S153 Option (A) is correct. so E (s) = lim 2 1 1
0 1 0 s " 0 s 1 + G (s)
Here A == G, B = = G and C = [1 1] n
a1 sn - 1 + .... + an - 2 s2 = an - 2
2 -3 1 = lim 12 s +
s"0 s sn + a1 sn - 1 + .... + an an
The controllability matrix is
0 1
QC = [B AB ] = =
1 - 3G S157 Option (B) is correct.
det QC ! 0 Thus controllable
S158 Option (A) is correct.
The observability matrix is
1 2
Q0 = [CT AT CT ] = =
1 - 2G
!0 S159 Option (A) is correct.
Applying Routh’s criteria
det Q0 ! 0 Thus observable
s3 + 5s2 + 7s + 3 = 0
S154 Option (D) is correct.
we have G (s) H (s) = 2 3 s3 1 7
s (s + 1)
s2 5 3
or G (jw) H (jw) = 2 3 7#5-3
jw (jw + 1) s1 5 = 32
5 0
Gain cross over frequency s0 3
G (jw) H (jw) at w = w = 1
There is no sign change in the first column. Thus there is
g

or 2 3 =1 no root lying in the left-half plane.


w w2 + 1
12 = w2 (w2 + 1) S160 Option (A) is correct.
4 2
w + w - 12 = 0 Techometer acts like a differentiator so its transfer function
To Get Updated Solved Paper by Whatsapp, Send Your
Branch and BTech year to 9414243489 by whatsapp
Page 350 Control Systems Chapter 8

is of the form ks . f (w) = tan-1 (3Tw) - tan-1 (Tw)


f (w) = tan-1 ; 3Tw - T w
2 2E
S161 Option (A) is correct. 1 + 3T w
Open loop transfer function is f (w) = tan ; 2Tw2 2 E
-1

K 1 + 3T w
G (s) =
s (s + 1) For maximum value of phase
Steady state error df (w)
=0
sR (s) dw
E (s) = lim
s " 0 1 + G (s) H (s) or 1 = 3T 2 w2
Where R (s) = input H (s) = 1 (unity feedback) Tw = 1
1 3
R (s) =
s So maximum phase is
s1 s (s + 1) fmax = tan-1 ; 2Tw2 2 E at Tw = 1
so E (s) = lim s = lim 2 =0 1 + 3T w 3
s"0
1+ K s"0 s + s + K 1
2 3 1 = 30c
= tan-1 > H = tan ;
3E
s (s + 1) -1
1 + 3 # 13
S162 Option (B) is correct.
Fig given below shows a unit impulse input given to a S166 Option (A) is correct.
zero-order hold circuit which holds the input signal for a G (jw) H (jw) enclose the (- 1, 0) point so here
duration T & therefore, the output is a unit step function G (jwp) H (jwp) > 1
till duration T . wp = Phase cross over frequency
Gain Margin = 20 log 10 1
G (jwp) H (jwp)
so gain margin will be less than zero.

S167 Option (B) is correct.


The denominator of Transfer function is called the
characteristic equation of the system. so here characteristic
equation is (s + 1) 2 (s + 2) = 0
h (t) = u (t) - u (t - T)
Taking Laplace transform we have S168 Option (C) is correct.
H (s) = 1 - 1 e-sT = 1 61 - e-sT @ In synchro error detector, output voltage is proportional
s s s
to [w (t)], where w (t) is the rotor velocity so here n = 1

S163 Option (C) is correct. S169 Option (C) is correct.


Phase margin = 180c + qg where qg = value of phase at By masson’s gain formulae
gain crossover frequency. y / Dk Pk
Here qg =- 125c =
x D
so P.M = 180c - 125c = 55c Forward path gain P1 = 5 # 2 # 1 = 10
D = 1 - (2 # - 2) = 1 + 4 = 5
S164 Option (B) is correct. D1 = 1
Open loop transfer function is given by y
K (1 + 0.5s) so gain = 10 # 1 = 2
G (s) H (s) = x 5
s (1 + s) (1 + 2s)
Close looped system is of type 1. S170 Option (C) is correct.
It must be noted that type of the system is defined as no. By given matrix equations we can have
of poles lies at origin in OLTF. Xo1 = dx1 = x1 - x2 + 0
lying dt
S165 Option (D) is correct. Xo2 = dx2 = 0 + x2 + m
dt
Transfer function of the phase lead controller is
x1
T.F = 1 + 3Ts =
1 + (3Tw) j y = [1 1] > H = x1 + x2
1+s 1 + (Tw) j x2
dy
Phase is = dx1 + dx2
dt dt dt
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 8 Control Systems Page 351

dy dy
= x1 + m = x1 (0) + m (0) = 1 + 0 = 0
dt dt t=0

***********
To Get Updated Solved Paper by Whatsapp, Send Your
Branch and BTech year to 9414243489 by whatsapp
Page 352 Communications Chapter 9

CHAPTER 9
COMMUNICATIONS

GATE 2016 EC01 ONE MARK signal s (t) = 5 cos 1600pt + 20 cos 1800pt + 5 cos 2000pt .
The value of the modulation index is _____.
Q1 The block diagram of a frequency synthesizer
consisting of Phase Locked Loop (PLL) and a divide-
GATE 2016 EC02 ONE MARK
by-N counter (comprising ÷2, ÷4, ÷8, ÷16 outputs) is
sketched below. The synthesizer is excited with a 5 kHz
signal (Input 1). The free-running frequency of the PLL is Q5 A discrete memoryless source has an alphabet (a1
1 1 1 1
set to 20 kHz. Assume that the commutator switch makes , a2 , a 3 , a 4 ) with corresponding probabilities b 2 , 4 , 8 , 8 l
contacts repeatedly in the order 1-2-3-4. . The minimum required average codeword length in bits
to represent this source for error-free reconstruction is
_____

Q6 A speech signal is sampled at 8 kHz and encoded


into PCM format using 8 bits/sample. The PCM data
is transmitted through a baseband channel via 4-level
PAM. The minimum bandwidth (in kHz) required for
transmission is _____

GATE 2016 EC03 ONE MARK

Q7 For a superheterodyne receiver, the intermediate


frequency is 15 MHz and the local oscillator frequency
is 3.5 GHz. If the frequency of the received signal is
greater than the local oscillator frequency, then the image
The corresponding frequencies synthesized are: frequency (in MHz) is _____
(A) 10 kHz, 20 kHz, 40 kHz, 80 kHz
(B) 20 kHz, 40 kHz, 80 kHz, 160 kHz Q8 An analog baseband signal, bandlimited to 100 Hz,
(C) 80 kHz, 40 kHz, 20 kHz, 10 kHz is sampled at the Nyquist rate. The samples are quantized
(D) 160 kHz, 80 kHz, 40 kHz, 20 kHz into four message symbols that occur independent with
probabilities p1 = p 4 = 0.125 and p2 = p 3 . The information
rate (bits/sec) of the message source is ______
Q2 Consider binary data transmission at a rate of 56 kbps
using baseband binary pulse amplitude modulation (PAM)
that is designed to have a raised-cosine spectrum. The Q9 A binary baseband digital communication system
1
0 < t < TS
transmission bandwidth (in kHz) required for a roll-off employs the signal p ^ t h = T S
.
factor of 0.25 is _____. 0 otherwise
For transmission of bits. The graphical representation of
Q3 A superheterodyne receiver operates in the frequency the matched filter output y ^ t h for this signal will be
range of 58 MHz-68 MHz. The intermediate frequency fIF
and local oscillator frequency fLO are chosen such that
f1F # fLO . It is required that the image frequencies fall
outside the 58 MHz – 68 MHz band. The minimum
required fIF (in MHz) is _____.

Q4 The amplitude of a sinusoidal carrier is modulated


by a single sinusoid to obtain the amplitude modulated
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 9 Communications Page 353

sequence "b n , is used to modulated a pulse g ^ t h to


generate the baseband signal
X^t h = g ^t - nT h
3
/b n
n =- 3

1, 0 # t # T
where g^t h = *
0 otherwise
If there is a null at f = 31T in the power spectral density of
GATE 2016 EC01 TWO MARKS X ^ t h, then k is ______

Q10 Consider a discrete memory less source with Q14 An ideal band-pass channel 500 Hz-2000 Hz is
alphabetS = "s 0, s1, s2, s 3, s 4 ..., and respective probabilities deployed for communication. A modem is designed to
of occurrence P = " 12 , 14 , 18 , 161 , 321 , ..., . The entropy of the transmit bits at the rate of 4800 bits/s using 16-QAM.
source (in bits) is _____. The roll-off factor of a pulse with a raised cosine spectrum
that utilizes the entire frequency band is ______
Q11 A digital communication system uses a repetition
code for channel encoding/decoding. During transmission, Q15 Consider a random process X ^ t h = 3V ^ t h - 8
each bit is repeated three times (0 is transmitted as . where V ^ t h is a zero mean stationary random process
000, and 1 is transmitted as 111). It is assumed that the with autocorrelation Rv ^t h = 4e-5 . The power in X ^ t h
t

source puts out symbols independently and with equal is ______


probability. The decoder operates as follows: In a block
of three received bits, if the number of zeros exceeds
Q16 A binary communication system makes use of the
the number of ones, the decoder decides in favor of a 0,
symbols “zero” and “one”. There are channel errors.
and if the number of ones exceeds the number of zeros,
Consider the following events:
the decoder decides in favor of a 1, Assuming a binary
x 0 : a “zero” is transmitted
symmetric channel with crossover probability p = 0.1, the
x1 : a “one” is transmitted
average probability of error is _____.
y 0 : a “zero” is received
y1 : a “one” is received
Q12 An analog pulse s (t) is transmitted over an additive The following probabilities are given: P ^x 0h = 12 , P _y 0 x 0 i
white Gaussian noise (AWGN) channel. The received = 34 , and P ^y 0 x1h = 12 . The information in bits that you
signal is r (t) = s (t) + n (t), where n (t) is additive white obtain when you learn which symbol has been received
Gaussian noise with power spectral density N 0 /2 . The (while you know that a “zero” has been transmitted) is
received signal is passed through a filter with impulse ______
response h (t). Let Es and Eh denote the energies of the
pulse s (t) and the filter h (t), respectively. When the
signal-to-noise ratio (SNR) is maximized at the output of GATE 2016 EC03 TWO MARKS
the filter (SNR max), which of the following holds?
(A) Es = Eh ; SNR max = 2Es Q17 A wide sense stationary random process X ^ t h
N0 passes through the LTI system shown in the figure. If
(B) Es = Eh ; SNR max = Es the autocorrelation function of X ^ t h is RX ^t h, then the
2N 0 autocorrelation function RY ^t h of the output y ^ t h is equal
to
(C) Es > Eh ; SNR max > 2Es
N0

(D) Es < Eh ; SNR max = 2Eh


N0

GATE 2016 EC02 TWO MARKS

Q13 An information source generates a binary sequence (A) 2RX ^t h + RX ^t - T0h + RX ^t + T0h
" n , a n can take one of the two possible values - 1 and
a $
(B) 2RX ^t h - RX ^t - T0h - RX ^t + T0h
+ 1 with equal probability and are statistically independent
and identical distributed. This sequence is pre-coded to (C) 2RX ^t h + 2RX ^t - T0h
obtain another sequence "b n , as b n = a n + ka n - 3 . The (D) 2RX ^t h - 2RX ^t - 2T0h
To Get Updated Solved Paper by Whatsapp, Send Your
Branch and BTech year to 9414243489 by whatsapp
Page 354 Communications Chapter 9

Q18 A voice-grade AWGN (additive white Gaussian (D) does not depend on Am or fm
noise) telephone channel has a bandwidth of 4.0 kHz and
two-sided noise power spectral density h2 = 2.5 # 10-5
Q24 The modulation scheme commonly used for
Watt per Hz. If information at the rate of 52 kbps is to
transmission from GSM mobile terminals is
be transmitted over this channel with arbitrarily small bit
(A) 4-QAM
error rate, then the minimum bit energy Eb (in mJ/bit)
necessary is ______ (B) 16-PSK
(C) Walsh-Hadamard orthogonal codes
Q19 The bit error probability of a memoryless binary (D) Gaussian Minimum Shift Keying (GMSK)
symmetric channel is 10-5 . If 105 bits are sent over this
channel,then the probability that not more than one bit
GATE 2015 EC01 TWO MARKS
will be in error is ______

Q25 The input X to the Binary Symmetric Channel


GATE 2015 EC01 ONE MARK (BSC) shown in the figure is ‘1’ with probability 0.8.
The cross-over probability is 71 . If the received bit Y = 0
Q20 Consider the signal s (t) = m (t) cos (2pfc t) , the conditional probability that ‘1’ was transmitted
+m t (t) (2pfc t) where m t (t) denotes the Hilbert transform is________.
of m (t) and the bandwidth of m (t) is very small compared
to fc . The signal s (t) is a
(A) high-pass signal
(B) low-pass signal
(C) band-pass signal
(D) double side-band suppressed carrier signal

Q21 A sinusoidal signal of 2 kHz frequency is applied to


a delta modulator. The sampling rate and step-size T of
the delta modulator are 20,000 samples per second and 0.1
V, respectively. To prevent slope overload, the maximum
amplitude of the sinusoidal signal (in Volts) is
(A) 1 (B) 1 Q26 The transmitted signal in a GSM system is of 200
2p p
kHz bandwidth and 8 users share a common bandwidth
(C) 2 (D) p using TDMA. If at a given time 12 users are talking in a
p
cell, the total bandwidth of the signal received by the base
station of the cell will be at least (in kHz) ________.
GATE 2015 EC02 ONE MARK

Q27 A source emits bit 0 with probability 1/3 and bit 1


Q22 A sinusoidal signal of amplitude A is quantized by with probability 2/3. The emitted bits are communicated
a uniform quantizer Assume that the signal utilizes all to the receiver. The receiver decides for either 0 or 1 based
the representation levels of the quantizer. If the signal to on the received value R. It is given that the conditional
quantization noise ratio is 31.8 dB, the number of levels in density functions of R are as
the quantizer is _______ 1, -3 # x # 1
fR/0 (r) = * 4 and
GATE 2015 EC03 ONE MARK
0, otherwise
1, - 1 # x # 5
f R/1 (r) = * 6
Q23 A message signal m (t) = Am sin (2pfm t) is used
0, otherwise
to modulate the phase of a carrier Ac cos (2pfc t) to get
the modulated signal y (t) = Ac cos (2pfc t + m (t)) . The The minimum decision error probability is
bandwidth of y (t) (A) 0 (B) 1
12
(A) depends on Am but not on fm
(B) depends on fm bust not on Am (C) 1 (D) 1
9 6
(C) depends on both Am and fm
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 9 Communications Page 355

GATE 2015 EC02 TWO MARKS

Q28 Let X d {0, 1} and Y d {0, 1} be two independent


binary random variables. If P (X = 0) = p and
P (Y = 0) = q , then P (X + Y $ 1) is equal to
(A) pq + (1 - p) (1 - q) (B) pq
(C) p (1 - q) (D) 1 - pq

Q29 "Xn ,nn ==-33 is an independent and identically


distributed (i, i, d) random process with Xn equally likely (A) 3 A2 N 0 (B) 3 A2 N 0
2 4
to be + 1 or - 1. "Yn ,nn ==-33 is another random process
obtained as Yn = Xn + 0.5Xn - 1 . The autocorrelation (C) A2 N 0 (D) 1 A2 N 0
2
function of "Yn ,nn ==-33 denoted by RY [k] is
Q31 Consider a binary, digital communication system
which used pulses g (t) and - g (t) for transmitting bits over
an AWGN channel. If the receiver uses a matched filter,
which one of the following pulses will give the minimum
probability of bit error?

GATE 2015 EC03 TWO MARKS

Q32 The variance of the random variable X with


probability density function f (x) = 1 x e- x is_______.
2

Q33 A random binary wave y (t) is given by


3
y (t) = / X p (t - nT - f)
n
Q30 A zero mean white Gaussian noise having power n =- 3

spectral density N2 is passed through an LTI filter whose


0 where p (t) = u (t) - u (t - t), u (t) is the unit step function
impulse response h (t) is shown in the figure. The variance and f is an independent random variable with uniform
of the filtered noise at t = 4 is distribution in [0, T ]. The sequence {Xn} consists of
independent and identically distributed binary valued
random variables with P {Xn =+ 1} = P {Xn =- 1} = 0.5
for each n .
The value of the autocorrelation Ryy ^ 34T h _ E 8y (t) y ^t - 34T hB
equals_____.

Q34 The complex envelope of the bandpass signal


To Get Updated Solved Paper by Whatsapp, Send Your
Branch and BTech year to 9414243489 by whatsapp
Page 356 Communications Chapter 9

sin ( pt ) (C) a (D) b


x (t) =- 2 e pt 5 o sin (pt - p ), centred about f = 1 Hz,
4 2
is 5

sin ^ p5t h p sin ^ p5t h p Q41 Consider an FM signal


(A) e pt o e j 4 (B) e pt o e-j 4 f ^ t h = cos 62pfc t + b 1 sin 2pf1 t + b 2 sin 2pf2 t@
5 5
The maximum deviation of instantaneous frequency from
sin ^ h pt
jp
sin ^ p5t h jp
2e oe 2e oe
5
(C) pt 4 (D) pt 4 the carrier frequency fc is
5 5 (A) b 1 f1 + b 2 f2 (B) b 1 f2 + b 2 f1
(C) b 1 + b 2 (D) f1 + f2
GATE 2014 EC01 ONE MARK

Q35 In a code-division multiple access (CDMA) system GATE 2014 EC04 ONE MARK
with N = 8 chips, the maximum number of users who
can be assigned mutually orthogonal signature sequences Q42 If calls arrive at a telephone exchange such that the
is____. time of arrival of any call is independent of the time of
arrival of earlier or future calls, the probability distribution
Q36 The capacity of a Binary Symmetric Channel (BSC) function of the total number of calls in a fixed time interval
with cross-over probability 0.5 is _____. will be
(A) Poisson (B) Gaussian
GATE 2014 EC02 ONE MARK
(C) Exponential (D) Gamma

Q37 The capacity of a band-limited additive white Gaussian Q43 In a double side-band (DSB) full carrier AM
noise (AWGN) channel is given by C = W log 2 _1 + s PW i 2
transmission system, if the modulation index is doubled,
bits per second (bps), where W is the channel bandwidth, then the ratio of total sideband power to the carrier power
P is the average power received and s2 is the one sided increases by a factor of _______.
power spectral density of the AWGN. For a fixed sP = 1000 2

, the channel capacity (in kbps) with infinite bandwidth GATE 2014 EC01 TWO MARKS
^W " 3h is approximately.
(A) 1.44 (B) 1.08
Q44 Let X be a real-valued random variable with E 6X @
(C) 0.72 (D) 0.36 and E 6X 2@ denoting the mean values of X and X 2 ,
respectively. The relation which always holds true is
Q38 Consider sinusoidal modulation in an AM system. (A) ^E 6X @h2 > E 6X 2@ (B) E 6X 2@ $ ^E 6X @h2
Assuming no overmodulation, the modulation index (m ) (C) E 6X 2@ = ^E 6X @h2 (D) E 6X 2@ > ^E 6X @h2
when the maximum and minimum values of the envelope,
respectively, are 3 V and 1 V, is ______.
Q45 Consider a random process X ^ t h = 2 sin ^2pt + jh,
where the random phase j is uniformly distributed in the
GATE 2014 EC03 ONE MARK interval 60, 2p@. The auto-correlation E 6X ^t1h X ^t2h@ is
(A) cos ^2p ^t1 + t2hh (B) sin ^2p ^t1 - t2hh
Q39 A modulated signal is y ^ t h = m ^ t h cos ^40000pt h, (C) sin ^2p ^t1 + t2hh (D) cos ^2p ^t1 - t2hh
where the baseband signal m ^ t h has frequency components
less than 5 kHz only. The minimum required rate (in
Q46 Let Q _ g i be the BER of a BPSK system over
kHz) at which y ^ t h should be sampled to recover m ^ t h is
an AWGN channel with two-sided noise power spectral
_______.
density N 0 /2 . The parameter g is a function of bit energy
and noise power spectral density.
Q40 The phase response of a passband waveform at the A system with two independent and identical AWGN
receiver is given by channels with noise power spectral density N 0 /2 is shown
j ^ f h =- 2pa ^ f - fc h - 2pbfc in the figure. The BPSK demodulator receives the sum of
where fc is the centre frequency, and a and b are positive outputs of both the channels.
constants. The actual signal propagation delay from the
transmitter to receiver is
a-b ab
(A) (B)
a+b a+b
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 9 Communications Page 357

The bandwidth of the signal at the output of the modulator


(in Hz) is____.

If the BER of this system is Q ^b g h, then the value of b GATE 2014 EC03 TWO MARKS
is ____.
Q51 Let X ^ t h be a wide sense stationary (WSS) random
GATE 2014 EC02 TWO MARKS process with power spectral density SX ^ f h. If Y ^ t h is the
process defined as Y ^ t h = X ^2t - 1h, the power spectral
Q47 The input to a 1-bit quantizer is a random variable density SY ^ f h is
X with pdf f X ^x h = 2e-2x for x $ 0 and fX ^x h = 0 for f
(A) SY ^ f h = 12 SX b l e-jpf
f
(B) SY ^ f h = 12 SX b l e-jpf/2
2 2
x < 0 . For outputs to be of equal probability, the quantizer
threshold should be ____. (C) SY ^ f h = 12 SX _ 2f i (D) SY ^ f h = 12 SX _ 2f i e-j2pf

Q48 Coherent orthogonal binary FSK modulation is Q52 A real band-limited random process X ^ t h has two
used to transmit two equiprobable symbol waveforms sided power spectral density
s1 ^ t h = a cos 2pf1 t and s2 ^ t h = a cos 2pf2 t , where a = 4 mV -6
_3000 - f i Watts/Hz
. Assume an AWGN channel with two sided noise power SX ^ f h = *10 for f # 3 kHz
0 otherwise
spectral density N2 = 0.5 # 10-12 W/Hz . Using an optimal
0

receiver and the relation Q ^v h = 12p where f is the frequency expressed in Hz. The signal X ^ t h
#
3 -u /2
2
e du , the bit
error probability for a data rate of 500v kbps is modulates a carrier cos 16000pt and the resultant signal is
passed through an ideal band-pass filter of unity gain with
(A) Q ^2 h (B) Q ^2 2 h centre frequency of 8 kHz and band-width of 2 kHz. The
(C) Q ^4h (D) Q ^4 2 h output power (in Watts) is _______.

Q49 The power spectral density of a real stationary Q53 In a PCM system, the signal
random process X ^ t h is given by m ^ t h = "sin ^100pt h + cos ^100pt h, V is sampled at the
1
f #W Nyquist rate. The samples are processed by a uniform
W,
SX ^ f h = * quantizer with step size 0.75 V . The minimum data rate
0, f >W
of the PCM system in bits per second is ______.
The value of the expectation E ;pX ^ t h X bt - 1 lE is
4W
_____. Q54 A binary random variable X takes the value of 1 with
probability 1/3. X is input to a cascade of 2 independent
Q50 If the figure, M ^ f h is the Fourier transform of the identical binary symmetric channels (BSCs) each with
message signal m ^ t h where A = 100 Hz and B = 40 Hz crossover probability 1/2. The output of BSCs are the
. Given v ^ t h = cos ^2ffc t h and w ^ t h = cos ^2p ^ f c + Ah t h, random variables Y1 and Y2 as shown in the figure.
where f c > A . The cutoff frequencies of both the filters
are f c .

The value of H ^Y1h + H ^Y2h in bits is ____.

GATE 2014 EC04 TWO MARKS

Q55 Consider a communication scheme where the


binary valued signal X satisfies P "X =+ 1, = 0.75 and
To Get Updated Solved Paper by Whatsapp, Send Your
Branch and BTech year to 9414243489 by whatsapp
Page 358 Communications Chapter 9

P "X =- 1, = 0.25 . The received signal Y = X + Z , where R kbits/s . The modulation used is 32-QAM. The minimum
Z is a Gaussian random variable with zero mean and bandwidth required for ISI free transmission is
variance s2 . The received signal Y is fed to the threshold (A) R/10 Hz (B) R/10 kHz
detector. The output of the threshold detector Xt is : (C) R/5 Hz (D) R/5 kHz
+ 1, Y>t
Xt = *
- 1, Y#t
To achieve a minimum probability of error P "Xt ! X , , GATE 2013 TWO MARKS
the threshold t should be
(A) strictly positive Q60 Let U and V be two independent zero mean Gaussain
(B) zero random variables of variances 1 and 1 respectively. The
4 9
(C) strictly negative probability P ^3V F 2U h is
(D) strictly positive, zero, or strictly negative depending (A) 4/9 (B) 1/2
on the nonzero value of s2 (C) 2/3 (D) 5/9

Q56 Consider the Z -channel given in the figure. The Q61 Consider two identically distributed zero-mean
input is 0 or 1 with equal probability. random variables U and V . Let the cumulative distribution
functions of U and 2V be F ^x h and G ^x h respectively.
Then, for all values of x
(A) F ^x h - G ^x h # 0 (B) F ^x h - G ^x h $ 0
(C) ^F (x) - G (x)h .x # 0 (D) ^F (x) - G (x)h .x $ 0

Q62 Let U and V be two independent and


identically distributed random variables such that
If the output is 0, the probability that the input is also 0 P ^U =+ 1h = P ^U =- 1h = 1 . The entropy H ^U + V h in
2
equals _______. bits is
(A) 3/4 (B) 1
Q57 An M -level PSK modulation scheme is used to (C) 3/2 (D) log 2 3
transmit independent binary digits over a band-pass
channel with bandwidth 100 kHZ . The bit rate is 200 kbps
and the system characteristic is a raised-cosine spectrum Common Data for Questions 63 and 64
with 100% excess bandwidth. The minimum value of M Bits 1 and 0 are transmitted with equal probability. At
is _______. the receiver, the pdf of the respective received signals for
both bits are as shown below.
Q58 Consider a discrete-time channel Y = X + Z , where
the additive noise Z is signal-dependent. In particular,
given the transmitted symbol X ! "- a, + a , at any
instant, the noise sample Z is chosen independently from
a Gaussian distribution with mean bX and unit variance.
Assume a threshold detector with zero threshold at the
receiver.
When b = 0 , the BER was found to be Q ^a h = 1 # 10-8 .
(Q ^v h = 12p# du , and for v > 1, use Q ^v h . e-v /2 )
3 -u /2
2 2
e
v
Q63 If the detection threshold is 1, the BER will be
When b =- 0.3 , the BER is closet to 1
(A) 10-7 (B) 10-6 (A) (B) 1
2 4
(C) 10-4 (D) 10-2
(C) 1 (D) 1
8 16
GATE 2013 ONE MARK
Q64 The optimum threshold to achieve minimum bit
error rate (BER) is
Q59 The bit rate of a digital communication system is (A) 1 (B) 4
2 5
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 9 Communications Page 359

the received signal, the probability of error in the resulting


system is
(C) 1 (D) 3
2 (A) Q c 2E m (B) Q c E m
N0 N0
GATE 2012 ONE MARK (C) Q c E E
2N 0 m
(D) Q c
4N 0 m
Q65 The power spectral density of a real process X (t)
Q70 A binary symmetric channel (BSC) has a transition
for positive frequencies is shown below. The values of
probability of 1/8. If the binary symbol X is such that
E [X 2 (t)] and E [X (t)] , respectively, are
P (X = 0) = 9/10, then the probability of error for an
optimum receiver will be
(A) 7/80 (B) 63/80
(C) 9/10 (D) 1/10

Q71 The signal m (t) as shown is applied to both a phase


modulator (with k p as the phase constant) and a frequency
modulator (with k f as the frequency constant) having the
same carrier frequency.

(A) 6000/p, 0 (B) 6400/p, 0


(C) 6400/p, 20/ (p 2 ) (D) 6000/p, 20/ (p 2 )

Q66 In a baseband communications link, frequencies


upto 3500 Hz are used for signaling. Using a raised cosine
pulse with 75% excess bandwidth and for no inter-symbol
interference, the maxi mum possible signaling rate in
symbols per second is
(A) 1750 (B) 2625 The ratio k p /k f (in rad/Hz) for the same maximum phase
(C) 4000 (D) 5250 deviation is
(A) 8p (B) 4p
Q67 A source alphabet consists of N symbols with the (C) 2p (D) p
probability of the first two symbols being the same. A
source encoder increases the probability of the first symbol
by a small amount e and decreases that of the second by Statement for Linked Answer Question 72 and 73
e. After encoding, the entropy of the source The transfer function of a compensator is given as
(A) increases (B) remains the same Gc (s) = s + a
s+b
(C) increases only if N = 2 (D) decreases
Q72 Gc (s) is a lead compensator if
Q68 Two independent random variables X and Y (A) a = 1, b = 2 (B) a = 3, b = 2
are uniformly distributed in the interval 6- 1, 1@. The (C) a =- 3, b =- 1 (D) a = 3, b = 1
probability that max 6X, Y @ is less than 1/2 is
(A) 3/4 (B) 9/16
Q73 The phase of the above lead compensator is maximum
(C) 1/4 (D) 2/3 at
(A) 2 rad/s (B) 3 rad/s
GATE 2012 TWO MARKS (C) 6 rad/s (D) 1/ 3 rad/s

Q69 A BPSK scheme operating over an AWGN channel


with noise power spectral density of N 0 /2, uses equiprobable GATE 2011 ONE MARK
signals s1 (t) = 2TE sin (w c t) and s2 (t) =- 2TE sin (w c t)
over the symbol interval (0, T). If the local oscillator in a
Q74 An analog signal is band-limited to 4 kHz, sampled
coherent receiver is ahead in phase by 45c with respect to
To Get Updated Solved Paper by Whatsapp, Send Your
Branch and BTech year to 9414243489 by whatsapp
Page 360 Communications Chapter 9

at the Nyquist rate and the samples are quantized into 4 (C) RC << 1 μs (D) RC >> 0.5 ms
levels. The quantized levels are assumed to be independent
and equally probable. If we transmit two quantized samples
per second, the information rate is Statement for Linked Answer Questions 78 and 79
(A) 1 bit/sec (B) 2 bits/sec A four-phase and an eight-phase signal constellation are
(C) 3 bits/sec (D) 4 bits/sec shown in the figure below.

Q75 The Column -1 lists the attributes and the Column


-2 lists the modulation systems. Match the attribute to
the modulation system that best meets it.

Column -1 Column -2
P. Power efficient transmission of 1. Conventional
signals AM
Q. Most bandwidth efficient 2. FM
transmission of voice signals
R. Simplest receiver structure 3. VSB Q78 For the constraint that the minimum distance
between pairs of signal points be d for both constellations,
S. Bandwidth efficient 4. SSB-SC the radii r 1 , and r 2 of the circles are
transmission of signals with (A) r 1 = 0.707d, r2 = 2.782d
significant dc component
(B) r 1 = 0.707d, r 2 = 1.932d
(C) r 1 = 0.707d, r 2 = 1.545d
(A) P-4, Q-2, R-1, S-3 (D) r 1 = 0.707d, r 2 = 1.307d
(B) P-2, Q-4, R-1, S-3
(C) P-3, Q-2, R-1, S-4 Q79 Assuming high SNR and that all signals are equally
(D) P-2, Q-4, R-3, S-1 probable, the additional average transmitted signal energy
required by the 8-PSK signal to achieve the same error
probability as the 4-PSK signal is
GATE 2011 TWO MARKS
(A) 11.90 dB (B) 8.73 dB
(C) 6.79 dB (D) 5.33 dB
Q76 X (t) is a stationary random process with auto-
correlation function RX (t) = exp (- pt 2). This process
is passed through the system shown below. The power GATE 2010 ONE MARK
spectral density of the output process Y (t) is
Q80 Suppose that the modulating signal is
m (t) = 2 cos (2pfm t) and the carrier signal is
xC (t) = AC cos (2pfC t), which one of the following is a
conventional AM signal without over-modulation
(A) x (t) = AC m (t) cos (2pfC t)
(B) x (t) = AC [1 + m (t)] cos (2pfC t)
(C) x (t) = AC cos (2pfC t) + AC m (t) cos (2pfC t)
(A) (4p 2 f 2 + 1) exp (- pf 2) (B) (4p 2 f 2 - 1) exp (- pf 2) 4
(C) (4p 2 f 2 + 1) exp (- pf ) (D) (4p 2 f 2 - 1) exp (- pf ) (D) x (t) = AC cos (2pfm t) cos (2pfC t)
+ AC sin (2pfm t) sin (2pfC t)
Q77 A message signal m (t) = cos 2000pt + 4 cos 4000pt
modulates the carrier c (t) = cos 2pfc t where fc = 1 MHz Q81 Consider an angle modulated signal
to produce an AM signal. For demodulating the generated x (t) = 6 cos [2p # 106 t + 2 sin (800pt)] + 4 cos (800pt)
AM signal using an envelope detector, the time constant The average power of x (t) is
RC of the detector circuit should satisfy (A) 10 W (B) 18 W
(A) 0.5 ms < RC < 1 ms (B) 1 μs << RC < 0.5 ms (C) 20 W (D) 28 W
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 9 Communications Page 361

Q82 Consider the pulse shape s (t) as shown below. The (A) 1010 (B) 107
impulse response h (t) of the filter matched to this pulse is (C) 1.414 # 10-10 (D) 2 # 10-20

Q84 The probability of bit error is


(A) 0.5 # e-3.5 (B) 0.5 # e-5
(C) 0.5 # e-7 (D) 0.5 # e-10

Q85 The Nyquist sampling rate for the signal


sin (500pt) sin (700) pt
s (t) = # is given by
pt pt
(A) 400 Hz (B) 600 Hz
(C) 1200 Hz (D) 1400 Hz

Q86 X (t) is a stationary process with the power spectral


density Sx (f ) > 0 , for all f . The process is passed through
a system shown below

GATE 2010 TWO MARKS


Let Sy (f ) be the power spectral density of Y (t). Which one
of the following statements is correct
Statement for linked Answer Question 83 and 84 (A) Sy (f ) > 0 for all f
Consider a baseband binary PAM receiver shown below. (B) Sy (f ) = 0 for f > 1 kHz
The additive channel noise n (t) is with power spectral (C) Sy (f ) = 0 for f = nf0, f0 = 2 kHz kHz, n any integer
density Sn (f ) = N 0 /2 = 10-20 W/Hz . The low-pass filter is (D) Sy (f ) = 0 for f = (2n + 1) f0 = 1 kHz , n any integer
ideal with unity gain and cut-off frequency 1 MHz. Let Yk
represent the random variable y (tk ).
Yk = Nk , if transmitted bit bk = 0 GATE 2009 ONE MARK

Yk = a + Nk if transmitted bit bk = 1
Where Nk represents the noise sample value. The Q87 For a message siganl m (t) = cos (2pfm t) and carrier
noise sample has a probability density function, of frequency fc , which of the following represents a single
PNk (n) = 0.5ae- a n (This has mean zero and variance side-band (SSB) signal ?
2/a 2 ). Assume transmitted bits to be equiprobable and (A) cos (2pfm t) cos (2pfc t) (B) cos (2pfc t)
threshold z is set to a/2 = 10-6 V . (C) cos [2p (fc + fm) t] ( D )
[1 + cos (2pfm t) cos (2pfc t)

GATE 2009 TWO MARKS

Q88 Consider two independent random variables X


and Y with identical distributions. The variables X
and Y take values 0, 1 and 2 with probabilities 12 , 14
and 1 respectively. What is the conditional probability
4
P (X + Y = 2 X - Y = 0) ?
(A) 0 (B) 1/16
Q83 The value of the parameter a (in V - 1 ) is
To Get Updated Solved Paper by Whatsapp, Send Your
Branch and BTech year to 9414243489 by whatsapp
Page 362 Communications Chapter 9

(C) 1/6 (D) 1 GATE 2008 ONE MARK

Q94 Consider the amplitude modulated (AM) signal


Q89 A discrete random variable X takes values from 1
Ac cos wc t + 2 cos wm t cos wc t . For demodulating the signal
to 5 with probabilities as shown in the table. A student
using envelope detector, the minimum value of Ac should
calculates the mean X as 3.5 and her teacher calculates
be
the variance of X as 1.5. Which of the following statements
(A) 2 (B) 1
is true ?
(C) 0.5 (D) 0
k 1 2 3 4 5
P (X = k) 0.1 0.2 0.3 0.4 0.5 GATE 2008 TWO MARKS
(A) Both the student and the teacher are right
(B) Both the student and the teacher are wrong Q95 The probability density function (pdf) of random
(C) The student is wrong but the teacher is right variable is as shown below
(D) The student is right but the teacher is wrong

Q90 A message signal given by


m (t) = ( 2 ) cos w1 t - ( 2 ) sin w2 t amplitude - modulated with
1 1

a carrier of frequency wC to generator s (t)[ 1 + m (t)] cos wc t


. What is the power efficiency achieved by this modulation The corresponding commutative distribution function
scheme ? CDF has the form
(A) 8.33% (B) 11.11%
(C) 20% (D) 25%

Q91 A communication channel with AWGN operating at


a signal to noise ration SNR >> 1 and bandwidth B has
capacity C1. If the SNR is doubled keeping constant, the
resulting capacity C2 is given by
(A) C2 . 2C1 (B) C2 . C1 + B
(C) C2 . C1 + 2B (D) C2 . C1 + 0.3B

Common Data Question 92 and 93


The amplitude of a random signal is uniformly distributed
between -5 V and 5 V. Q96 A memory less source emits n symbols each with a
probability p. The entropy of the source as a function of n
Q92 If the signal to quantization noise ratio required in (A) increases as log n (B) decreases as log ( n1 )
uniformly quantizing the signal is 43.5 dB, the step of the (C) increases as n (D) increases as n log n
quantization is approximately
(A) 0.033 V (B) 0.05 V
Q97 Noise with double-sided power spectral density on K
(C) 0.0667 V (D) 0.10 V over all frequencies is passed through a RC low pass filter
with 3 dB cut-off frequency of fc . The noise power at the
Q93 If the positive values of the signal are uniformly filter output is
quantized with a step size of 0.05 V, and the negative (A) K (B) Kfc
values are uniformly quantized with a step size of 0.1 (C) kpfc (D) 3
V, the resulting signal to quantization noise ration is
approximately
Q98 Consider a Binary Symmetric Channel (BSC) with
(A) 46 dB (B) 43.8 dB
probability of error being p. To transmit a bit, say 1, we
(C) 42 dB (D) 40 dB transmit a sequence of three 1s. The receiver will interpret
the received sequence to represent 1 if at least two bits
are 1. The probability that the transmitted bit will be
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 9 Communications Page 363

received in error is following is NOT true


(A) p3 + 3p2 (1 - p) (B) p 3
(A) R (t) = R (- t)
(C) (1 - p3) (D) p3 + p2 (1 - p) (B) R (t) # R (0)
(C) R (t) =- R (- t)
Q99 Four messages band limited to W, W, 2W and 3W (D) The mean square value of the process is R (0)
respectively are to be multiplexed using Time Division
Multiplexing (TDM). The minimum bandwidth required Q106 If S (f) is the power spectral density of a real,
for transmission of this TDM signal is wide-sense stationary random process, then which of the
(A) W (B) 3W following is ALWAYS true?
(C) 6W (D) 7W (A) S (0) # S (f) (B) S (f) $ 0
#- 3 S (f) df = 0
3
(C) S (- f) =- S (f) (D)
Q100 Consider the frequency modulated signal
10 cos [2p # 105 t + 5 sin (2p # 1500t) + 7.5 sin (2p # 1000t)]
Q107 If E denotes expectation, the variance of a random
with carrier frequency of 105 Hz. The modulation index is
(A) 12.5 (B) 10 variable X is given by
(A) E [X2] - E2 [X] (B) E [X2] + E2 [X]
(C) 7.5 (D) 5
(C) E [X2] (D) E2 [X]

Q101 The signal cos wc t + 0.5 cos wm t sin wc t is


(A) FM only (B) AM only GATE 2007 TWO MARKS
(C) both AM and FM (D) neither AM nor FM
Q108 A Hilbert transformer is a
(A) non-linear system (B) non-causal system
Common Data Question 102 to 104 : (C) time-varying system (D) low-pass system
A speed signal, band limited to 4 kHz and peak voltage
varying between +5 V and - 5 V, is sampled at the Nyquist Q109 In delta modulation, the slope overload distortion
rate. Each sample is quantized and represented by 8 bits. can be reduced by
(A) decreasing the step size (B) decreasing the granular
Q102 If the bits 0 and 1 are transmitted using bipolar noise
pulses, the minimum bandwidth required for distortion (C) decreasing the sampling rate (D) increasing the step
free transmission is size
(A) 64 kHz (B) 32 kHz
(C) 8 kHz (D) 4 kHz
Q110 The raised cosine pulse p (t) is used for zero ISI
in digital communications. The expression for p (t) with
Q103 Assuming the signal to be uniformly distributed unity roll-off factor is given by
between its peak to peak value, the signal to noise ratio at p (t) = sin 4pWt
the quantizer output is 4pWt (1 - 16W2 t2)
(A) 16 dB (B) 32 dB
(C) 48 dB (D) 4 kHz The value of p (t) at t = 1 is
4W
(A) - 0.5 (B) 0
Q104 Assuming the signal to be uniformly distributed (C) 0.5 (D) 3
between its peak to peak value, the signal to noise ratio at
the quantizer output is
Q111 In the following scheme, if the spectrum M (f) of
(A) 1024 (B) 512
m (t) is as shown, then the spectrum Y (f) of y (t) will be
(C) 256 (D) 64

GATE 2007 ONE MARK

Q105 If R (t) is the auto correlation function of a real,


wide-sense stationary random process, then which of the
To Get Updated Solved Paper by Whatsapp, Send Your
Branch and BTech year to 9414243489 by whatsapp
Page 364 Communications Chapter 9

Q115 The if ratio or the average energy of Constellation


1 to the average energy of Constellation 2 is
(A) 4a2 (B) 4
(C) 2 (D) 8

Q116 If these constellations are used for digital


communications over an AWGN channel, then which of
the following statements is true ?
(A) Probability of symbol error for Constellation 1 is lower
(B) Probability of symbol error for Constellation 1 is
Q112 During transmission over a certain binary higher
communication channel, bit errors occur independently
(C) Probability of symbol error is equal for both the
with probability p. The probability of AT MOST one bit
constellations
in error in a block of n bits is given by
(A) pn (B) 1 - pn (D) The value of N0 will determine which of the
constellations has a lower probability of symbol error
(C) np (1 - p) n - 1 + (1 + p) n (D) 1 - (1 - p) n

Q113 In a GSM system, 8 channels can co-exist in 200 Statement for Linked Answer Question 117 and 118
kHz bandwidth using TDMA. A GSM based cellular An input to a 6-level quantizer has the probability density
operator is allocated 5 MHz bandwidth. Assuming a function f (x) as shown in the figure. Decision boundaries
frequency reuse factor of 1 , i.e. a five-cell repeat pattern, of the quantizer are chosen so as to maximize the entropy
5
the maximum number of simultaneous channels that can of the quantizer output. It is given that 3 consecutive
exist in one cell is decision boundaries are’ - 1'.'0' and '1' .
(A) 200 (B) 40
(C) 25 (D) 5

Q114 In a Direct Sequence CDMA system the chip rate


is 1.2288 # 106 chips per second. If the processing gain is
desired to be AT LEAST 100, the data rate
(A) must be less than or equal to 12.288 # 103 bits per sec
(B) must be greater than 12.288 # 103 bits per sec
Q117 The values of a and b are
(C) must be exactly equal to 12.288 # 103 bits per sec
(D) can take any value less than 122.88 # 103 bits per sec (A) a = 1 and b = 1 (B) a = 1 and b = 3
6 12 5 40
(C) a = 1 and b = 1 (D) a = 1 and b = 1
4 16 3 24
Common Data Question 115 and 116
Two 4-array signal constellations are shown. It is given Q118 Assuming that the reconstruction levels of the
that f1 and f2 constitute an orthonormal basis for the two quantizer are the mid-points of the decision boundaries,
constellation. Assume that the four symbols in both the the ratio of signal power to quantization noise power is
constellations are equiprobable. Let N0 denote the power (A) 152 (B) 64
2 9 3
spectral density of white Gaussian noise.
(C) 76 (D) 28
3
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 9 Communications Page 365

GATE 2006 ONE MARK Assuming independent generation of symbols, the most
efficient source encoder would have average bit rate is
Q119 A low-pass filter having a frequency response (A) 6000 bits/sec (B) 4500 bits/sec
H (jw) = A (w) e jf (w) does not produce any phase distortions (C) 3000 bits/sec (D) 1500 bits/sec
if
(A) A (w) = Cw3, f (w) = kw3
Q125 The diagonal clipping in Amplitude Demodulation
(B) A (w) = Cw2, f (w) = kw
(using envelop detector) can be avoided it RC time-
(C) A (w) = Cw, f (w) = kw2 constant of the envelope detector satisfies the following
(D) A (w) = C, f (w) = kw- 1 condition, (here W is message bandwidth and w is carrier
frequency both in rad/sec)
(A) RC < 1 (B) RC > 1
GATE 2006 TWO MARKS W W
(C) RC < 1 (D) RC > 1
Q120 A signal with bandwidth 500 Hz is first multiplied w w
by a signal g (t) where
3 Q126 A uniformly distributed random variable X with
g (t) = / (- 1) k d (t - 0.5 # 10- 4 k) probability density function
R =- 3
The resulting signal is then passed through an ideal fx (x) = 1 pu (x + 5) - u (x - 5)]
10
lowpass filter with bandwidth 1 kHz. The output of the
where u (.) is the unit step function is passed through a
lowpass filter would be
transformation given in the figure below. The probability
(A) d (t) (B) m (t)
density function of the transformed random variable Y
(C) 0 (D) m (t) d (t) would be

Q121 The minimum sampling frequency (in samples/


sec) required to reconstruct the following signal from its
samples without distortion
x (t) = 5` sin 2p100t j + 7` sin 2p100t j would be
3 2

pt pt
(A) 2 # 10 3
(B) 4 # 103 (A) fy (y) = 1 [u (y + 2.5) - u (y - 2.25)]
5
(C) 6 # 103 (D) 8 # 103
(B) fy (y) = 0.5d (y) + 0.5d (y - 1)
(C) fy (y) = 0.25d (y + 2.5) + 0.25d (y - 2.5) + 5d (y)
Q122 The minimum step-size required for a Delta-
fy (y) = 0.25d (y + 2.5) + 0.25d (y - 2.5)
Modulator operating at 32k samples/sec to track the (D)
signal (here u (t) is the unit-step function) + 1 [u (y + 2.5) - u (y - 2.5)]
10
x (t) = 125[ u (t) - u (t - 1) + (250t)[ u (t - 1) - u (t - 2)]
so that slope-overload is avoided, would be Q127 In the following figure the minimum value of the
(A) 2 - 10 (B) 2 - 8 constant "C" , which is to be added to y1 (t) such that y1 (t)
and y2 (t) are different , is
(C) 2 - 6 (D) 2 - 4

Q123 A zero-mean white Gaussian noise is passes through


an ideal lowpass filter of bandwidth 10 kHz. The output
is then uniformly sampled with sampling period ts = 0.03
msec. The samples so obtained would be
(A) correlated
(B) statistically independent
(C) uncorrelated (A) 3 (B) 3
2
(D) orthogonal 2
(C) 3 (D) 3
12 L
Q124 A source generates three symbols with probabilities
Q128 A message signal with bandwidth 10 kHz is Lower-
0.25, 0.25, 0.50 at a rate of 3000 symbols per second.
Side Band SSB modulated with carrier frequency fc1 = 106
To Get Updated Solved Paper by Whatsapp, Send Your
Branch and BTech year to 9414243489 by whatsapp
Page 366 Communications Chapter 9

Hz. The resulting signal is then passed through a Narrow- Common Data Question 133 and 134
Band Frequency Modulator with carrier frequency fc2 = 109 Consider the following Amplitude Modulated (AM) signal,
Hz. where fm < B
The bandwidth of the output would be XAM (t) = 10 (1 + 0.5 sin 2pfm t) cos 2pfc t
(A) 4 # 10 4 Hz (B) 2 # 106 Hz
(C) 2 # 109 Hz (D) 2 # 1010 Hz Q133 The average side-band power for the AM signal
given above is
(A) 25 (B) 12.5
Common Data Question 129 and 130
(C) 6.25 (D) 3.125
Let g (t) = p (t)*( pt), where * denotes convolution &
p (t) = u (t) - u (t - 1) lim with u (t) being the unit step
function
z"3 Q134 The AM signal gets added to a noise with Power
Spectral Density Sn (f) given in the figure below. The ratio
of average sideband power to mean noise power would be :
Q129 The impulse response of filter matched to the signal
s (t) = g (t) - d (1 - 2)* g (t) is given as :
(A) s (1 - t) (B) - s (1 - t)
(C) - s (t) (D) s (t)

Q130 An Amplitude Modulated signal is given as


xAM (t) = 100 [p (t) + 0.5g (t)] cos wc t
in the interval 0 # t # 1. One set of possible values of
modulating signal and modulation index would be
(A) 25 (B) 25
(A) t, 0.5 (B) t, 1.0 8N0 B 4N0 B
(C) t, 2.0 (D) t2, 0.5
(C) 25 (D) 25
2N0 B N0 B

Common Data Question 131 and 132 : GATE 2005 ONE MARK
The following two question refer to wide sense stationary
stochastic process Q135 Find the correct match between group 1 and group
2.
Q131 It is desired to generate a stochastic process
Group 1 Group 2
(as voltage process) with power spectral density
S (w) = 16/ (16 + w2) by driving a Linear-Time-Invariant P. {1 + km (t) A sin (wc t)} W. Phase modulation
system by zero mean white noise (As voltage process) Q. km (t) A sin (wc t) X. Frequency modulation
with power spectral density being constant equal to 1. R. A sin {wc t + km (t)} Y. Amplitude modulation
The system which can perform the desired task could be t
(A) first order lowpass R-L filter S. A sin ;wc t + k #- 3m (t) dt E Z. DSB-SC modulation
(B) first order highpass R-C filter
(A) P - Z, Q - Y, R - X, S - W
(C) tuned L-C filter
(B) P - W, Q - X, R - Y, S - Z
(D) series R-L-C filter
(C) P - X, Q - W, R - Z, S - Y
(D) P - Y, Q - Z, R - W, S - X
Q132 The parameters of the system obtained in previous
Q would be
(A) first order R-L lowpass filter would have R = 4W Q136 Which of the following analog modulation scheme
L = 1H requires the minimum transmitted power and minimum
(B) first order R-C highpass filter would have R = 4W channel bandwidth ?
C = 0.25F (A) VSB (B) DSB-SC
(C) tuned L-C filter would have L = 4H C = 4F (C) SSB (D) AM
(D) series R-L-C lowpass filter would have R = 1W ,
L = 4H , C = 4F
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 9 Communications Page 367

GATE 2005 TWO MARKS (C) 8 (D) 9

Q137 A device with input X (t) and output y (t) is


Q141 A carrier is phase modulated (PM) with frequency
characterized by: Y (t) = x2 (t). An FM signal with frequency
deviation of 10 kHz by a single tone frequency of 1 kHz. If
deviation of 90 kHz and modulating signal bandwidth of
the single tone frequency is increased to 2 kHz, assuming
5 kHz is applied to this device. The bandwidth of the
that phase deviation remains unchanged, the bandwidth
output signal is
of the PM signal is
(A) 370 kHz (B) 190 kHz
(A) 21 kHz (B) 22 kHz
(C) 380 kHz (D) 95 kHz
(C) 42 kHz (D) 44 kHz

Q138 A signal as shown in the figure is applied to a


matched filter. Which of the following does represent the Common Data Question 142 and 143
output of this matched filter ? Asymmetric three-level midtread quantizer is to be
designed assuming equiprobable occurrence of all
quantization levels.

Q142 If the probability density function is divide into


three regions as shown in the figure, the value of a in the
figure is
(A) 1 (B) 2
3 3
(C) 1 (D) 1
2 4

Q143 The quantization noise power for the quantization


region between - a and + a in the figure is
(A) 4 (B) 1
81 9
Q139 Noise with uniform power spectral density of N0
(C) 5 (D) 2
W/Hz is passed though a filter H (w) = 2 exp (- jwtd ) 81 81
followed by an ideal pass filter of bandwidth B Hz. The
output noise power in Watts is GATE 2004 ONE MARK
(A) 2N0 B (B) 4N0 B
(C) 8N0 B (D) 16N0 B Q144 In a PCM system, if the code word length is
increased from 6 to 8 bits, the signal to quantization noise
Q140 An output of a communication channel is a random ratio improves by the factor
variable v with the probability density function as shown (A) 8 (B) 12
6
in the figure. The mean square value of v is
(C) 16 (D) 8

Q145 An AM signal is detected using an envelop detector.


The carrier frequency and modulating signal frequency
are 1 MHz and 2 kHz respectively. An appropriate value
for the time constant of the envelop detector is
(A) 500m sec (B) 20m sec
(A) 4 (B) 6
To Get Updated Solved Paper by Whatsapp, Send Your
Branch and BTech year to 9414243489 by whatsapp
Page 368 Communications Chapter 9

(C) 0.2m sec (D) 1m sec (A) 1 sec - 1 (B) - 1 sec - 1


2
Q146 An AM signal and a narrow-band FM signal with (C) - 1 sec - 1 (D) 1 sec - 1
2
identical carriers, modulating signals and modulation
indices of 0.1 are added together. The resultant signal can Q151 A source produces binary data at the rate of 10
be closely approximated by kbps. The binary symbols are represented as shown in the
(A) broadband FM (B) SSB with carrier figure.
(C) DSB-SC (D) SSB without carrier The source output is transmitted using two modulation
schemes, namely Binary PSK (BPSK) and Quadrature PSK
Q147 In the output of a DM speech encoder, the (QPSK). Let B1 and B2 be the bandwidth requirements
consecutive pulses are of opposite polarity during time of the above rectangular pulses is 10 kHz, B1 and B2 are
interval t1 # t # t2 . This indicates that during this interval
(A) the input to the modulator is essentially constant
(B) the modulator is going through slope overload
(C) the accumulator is in saturation
(D) the speech signal is being sampled at the Nyquist rate

Q148 The distribution function Fx (x) of a random variable (A) B1 = 20 kHz, B2 = 20 kHz
x is shown in the figure. The probability that X = 1 is (B) B1 = 10 kHz, B2 = 20 kHz
(C) B1 = 20 khz, B2 = 10 kHz
(D) B1 = 10 kHz, B2 = 10 kHz

Q152 A 100 MHz carrier of 1 V amplitude and a 1 MHz


modulating signal of 1 V amplitude are fed to a balanced
(A) zero (B) 0.25 modulator. The ourput of the modulator is passed through
an ideal high-pass filter with cut-off frequency of 100 MHz.
(C) 0.55 (D) 0.30
The output of the filter is added with 100 MHz signal of
1 V amplitude and 90c phase shift as shown in the figure.
GATE 2004 TWO MARKS The envelope of the resultant signal is

Q149 A 1 mW video signal having a bandwidth of 100


MHz is transmitted to a receiver through cable that has
40 dB loss. If the effective one-side noise spectral density
at the receiver is 10 - 20 Watt/Hz, then the signal-to-noise
ratio at the receiver is (A) constant (B) 1 + sin (2p # 106 t)
(A) 50 dB (B) 30 dB
(C) 40 dB (D) 60 dB (C) 5 - sin (2p - 106 t) (D) 5 + cos (2p # 106 t)
4 4

Q150 Consider the signal x (t) shown in Fig. Let h (t) Q153 Two sinusoidal signals of same amplitude and
denote the impulse response of the filter matched to x (t) frequencies 10 kHz and 10.1 kHz are added together. The
, with h (t) being non-zero only in the interval 0 to 4 sec. combined signal is given to an ideal frequency detector.
The slope of h (t) in the interval 3 < t < 4 sec is The output of the detector is
(A) 0.1 kHz sinusoid (B) 20.1 kHz sinusoid
(C) a linear function of time (D) a constant

Q154 Consider a binary digital communication system


with equally likely 0’s and 1’s. When binary 0 is
transmitted the detector input can lie between the levels
- 0.25 V and + 0.25 V with equl probability : when binary
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 9 Communications Page 369

1 is transmitted, the voltage at the detector can have any


value between 0 and 1 V with equal probability. If the
detector has a threshold of 0.2 V (i.e., if the received signal
is greater than 0.2 V, the bit is taken as 1), the average bit
error probability is
(A) 0.15 (B) 0.2
(C) 0.05 (D) 0.5

Q155 A random variable X with uniform density in the


interval 0 to 1 is quantized as follows :
If 0 # X # 0.3 , xq = 0
If 0.3 < X # 1, xq = 0.7 The positive frequencies where Y (f) has spectral peaks are
where xq is the quantized value of X. (A) 1 kHz and 24 kHz (B) 2 kHz and 24 kHz
The root-mean square value of the quantization noise is (C) 1 kHz and 14 kHz (D) 2 kHz and 14 kHz
(A) 0.573 (B) 0.198
(C) 2.205 (D) 0.266
GATE 2003 ONE MARK

Q156 Choose the current one from among the alternative


Q159 The input to a coherent detector is DSB-SC signal
A, B, C, D after matching an item from Group 1 with the
most appropriate item in Group 2. plus noise. The noise at the detector output is
(A) the in-phase component (B) the quadrature -
component
Group 1 Group 2
(C) zero (D) the envelope
1. FM P. Slope overload
2. DM Q. m-law
Q160 The noise at the input to an ideal frequency detector
3. PSK R. Envelope detector is white. The detector is operating above threshold. The
4. PCM S. Hilbert transform power spectral density of the noise at the output is
(A) raised - cosine (B) flat
T. Hilbert transform
(C) parabolic (D) Gaussian
U. Matched filter

(A) 1 - T, 2 - P, 3 - U, 4 - S Q161 At a given probability of error, binary coherent


(B) 1 - S, 2 - U, 3 - P, 4 - T FSK is inferior to binary coherent PSK by.
(A) 6 dB (B) 3 dB
(C) 1 - S, 2 - P, 3 - U, 4 - Q
(C) 2 dB (D) 0 dB
(D) 1 - U, 2 - R, 3 - S, 4 - Q

Q157 Three analog signals, having bandwidths 1200 GATE 2003 TWO MARKS
Hz, 600 Hz and 600 Hz, are sampled at their respective
Nyquist rates, encoded with 12 bit words, and time division Q162 Let X and Y be two statistically independent
multiplexed. The bit rate for the multiplexed. The bit rate random variables uniformly distributed in the ranges
for the multiplexed signal is (- 1, 1) and (- 2, 1) respectively. Let Z = X + Y . Then
(A) 115.2 kbps (B) 28.8 kbps the probability that (z #- 1) is
(C) 57.6 kbps (D) 38.4 kbps (A) zero (B) 1
6

Q158 Consider a system shown in the figure. Let X (f) (C) 1 (D) 1
3 12
and Y (f) and denote the Fourier transforms of x (t) and
y (t) respectively. The ideal HPF has the cutoff frequency
10 kHz. Common Data Question 163 and 164
X (t) is a random process with a constant mean value of 2
and the auto correlation function Rxx (t) = 4 (e - 0.2 t + 1).
To Get Updated Solved Paper by Whatsapp, Send Your
Branch and BTech year to 9414243489 by whatsapp
Page 370 Communications Chapter 9

Q163 Let X be the Gaussian random variable obtained The modulation index of the generated AM signal is 0.5.
by sampling the process at t = ti and let Total sideband power
Then the quantity is
Carrier power
# - 1 e dy
3 x2

Q (a) = 2

a 2p (A) 1 (B) 1
The probability that 6x # 1@ is 2 4
(A) 1 - Q (0.5) (B) Q (0.5) (C) 1 (D) 1
(C) Q c 1 (D) 1 - Q c 1 m 3 8
2 2 m 2 2

Q164 Let Y and Z be the random variable obtained Q169 c (t) and m (t) are used to generated an FM signal. If
by sampling X (t) at t = 2 and t = 4 respectively. Let the peak frequency deviation of the generated FM signal is
W = Y - Z . The variance of W is three times the transmission bandwidth of the AM signal,
(A) 13.36 (B) 9.36 then the coefficient of the term cos [2p (1008 # 103 t)] in the
FM signal (in terms of the Bessel coefficients) is
(C) 2.64 (D) 8.00
(A) 5J4 (3) (B) 5 J8 (3)
2
Q165 A sinusoidal signal with peak-to-peak amplitude (C) 5 J8 (4) (D) 5J4 (6)
of 1.536 V is quantized into 128 levels using a mid-rise 2
uniform quantizer. The quantization-noise power is
(A) 0.768 V (B) 48 # 10 - 6 V2 Q170 Choose the correct one from among the alternative
(B) 12 # 10 - 6 V2 (D) 3.072 V A, B, C, D after matching an item in Group 1 with most
appropriate item in Group 2.

Q166 Let x (t) = 2 cos (800p) + cos (1400pt). x (t) is Group 1 Group 2
sampled with the rectangular pulse train shown in the
figure. The only spectral components (in kHz) present in P. Ring modulator 1. Clock recovery
the sampled signal in the frequency range 2.5 kHz to 3.5 Q. VCO 2. Demodulation of FM
kHz are R. Foster-Seely 3. Frequency conversion
discriminator
S. Mixer 4. Summing the two inputs
5. Generation of FM
6. Generation of DSB-Sc

(A) 2.7, 3.4 (B) 3.3, 3.6 (A) P - 1; Q - 3; R - 2; S - 4


(C) 2.6, 2.7, 3.3, 3.4, 3.6 (D) 2.7, 3.3 (B) P - 6; Q = 5; R - 2; S - 3
(C) P - 6; Q - 1; R - 3; S - 2
Q167 A DSB-SC signal is to be generated with a carrier (D) P - 5; Q - 6; R - 1; S - 3
frequency fc = 1 MHz using a non-linear device with the
input-output characteristic V0 = a0 vi + a1 vi3 where a0 and Q171 A superheterodyne receiver is to operate in the
a1 are constants. The output of the non-linear device can frequency range 550 kHz - 1650 kHz, with the intermediate
be filtered by an appropriate band-pass filter. frequency of 450 kHz. Let R = Cmax /Cmin denote the
Let Vi = Aci cos (2pfi ct) + m (t) is the message signal. Then required capacitance ratio of the local oscillator and I
the value of fci (in MHz) is denote the image frequency (in kHz) of the incoming
(A) 1.0 (B) 0.333 signal. If the receiver is tuned to 700 kHz, then
(B) 0.5 (D) 3.0 (A) R = 4.41, I = 1600 (B) R = 2.10, I - 1150
(C) R = 3.0, I = 600 (D) R = 9.0, I = 1150

Common Data Question 169 and 170 :


Q172 If Eb , the energy per bit of a binary digital signal,
Let m (t) = cos [(4p # 103) t] be the message signal & -5
is 10 watt-sec and the one-sided power spectral density
c (t) = 5 cos [(2p # 106 t)] be the carrier. of the white noise, N0 = 10 - 6 W/Hz, then the output SNR
of the matched filter is
Q168 c (t) and m (t) are used to generate an AM signal. (A) 26 dB (B) 10 dB
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 9 Communications Page 371

(C) 20 dB (D) 13 dB (A) 16 kHz and 20 kHz (C) 20 kHz and 32 kHz
(C) 20 kHz and 40 kHz (D) 32 kHz and 40 kHz
Q173 The input to a linear delta modulator having a
step-size 3= 0.628 is a sine wave with frequency fm and Q179 The line-of-sight communication requires the
peak amplitude Em . If the sampling frequency fx = 40 kHz, transmit and receive antennas to face each other. If the
the combination of the sine-wave frequency and the peak transmit antenna is vertically polarized, for best reception
amplitude, where slope overload will take place is the receiver antenna should be
Em fm (A) horizontally polarized
(A) 0.3 V 8 kHz (B) vertically polarized
(B) 1.5 V 4 kHz
(C) 1.5 V 2 kHz (C) at 45c with respect to horizontal polarization
(D) 3.0 V 1 kHz (D) at 45c with respect to vertical polarization

Q174 If S represents the carrier synchronization at the GATE 2002 TWO MARKS
receiver and r represents the bandwidth efficiency, then
the correct statement for the coherent binary PSK is
Q180 An angle-modulated signal is given by
(A) r = 0.5, S is required (B) r = 1.0, S is required
s (t) = cos 2p (2 # 106 t + 30 sin 150t + 40 cos 150t).
(C) r = 0.5, S is not required (D) r = 1.0, S is not The maximum frequency and phase deviations of s (t) are
required (A) 10.5 kHz, 140p rad (B) 6 kHz, 80p rad
(C) 10.5 kHz, 100p rad (D) 7.5 kHz, 100p rad
Q175 A signal is sampled at 8 kHz and is quantized using
8 - bit uniform quantizer. Assuming SNRq for a sinusoidal
signal, the correct statement for PCM signal with a bit Q181 In the figure m (t) = 2 sin 2pt , s (t) = cos 200pt and
t
rate of R is n (t) = sin 199pt .
t
(A) R = 32 kbps, SNRq = 25.8 dB The output y (t) will be
(B) R = 64 kbps, SNRq = 49.8 dB
(C) R = 64 kbps, SNRq = 55.8 dB
(D) R = 32 kbps, SNRq = 49.8 dB

GATE 2002 ONE MARK (A) sin 2pt


t

Q176 A 2 MHz sinusoidal carrier amplitude modulated (B) sin 2pt + sin pt cos 3pt
t t
by symmetrical square wave of period 100 m sec . Which
of the following frequencies will NOT be present in the (C) sin 2pt + sin 0.5pt cos 1.5pt
t t
modulated signal ?
(A) 990 kHz (B) 1010 kHz (D) sin 2pt + sin pt cos 0.75pt
t t
(C) 1020 kHz (D) 1030 kHz
Q182 A signal x (t) = 100 cos (24p # 103) t is ideally
Q177 Consider a sample signal sampled with a sampling period of 50m sec ana then passed
+3 through an ideal lowpass filter with cutoff frequency of 15
y (t) = 5 # 10-6 # (t) / d (t - nT )
s
kHz. Which of the following frequencies is/are present at
n =- 3
where x (t) = 10 cos (8p # 103) t and Ts = 100m sec. the filter output ?
When y (t) is passed through an ideal lowpass filter with a (A) 12 kHz only (B) 8 kHz only
cutoff frequency of 5 KHz, the output of the filter is (C) 12 kHz and 9 kHz (D) 12 kHz and 8 kHz
(A) 5 # 10 - 6 cos (8p # 103) t (b) 5 # 10 - 5 cos (8p # 103) t
(C) 5 # 10 - 1 cos (8p # 103) t (D) 10 cos (8p # 103) t Q183 If the variance ax2 of d (n) = x (n) - x (n - 1) is one-
tenth the variance ax2 of stationary zero-mean discrete-
Q178 For a bit-rate of 8 Kbps, the best possible values time signal x (n), then the normalized autocorrelation
R (k)
of the transmitted frequencies in a coherent binary FSK function xx 2 at k = 1 is
system are (A) 0.95 ax (B) 0.90
To Get Updated Solved Paper by Whatsapp, Send Your
Branch and BTech year to 9414243489 by whatsapp
Page 372 Communications Chapter 9

(C) 0.10 (D) 0.05 GATE 2000 ONE MARK

Q190 The amplitude modulated waveform


GATE 2001 ONE MARK s (t) = Ac [1 + Ka m (t)] cos wc t is fed to an ideal envelope
detector. The maximum magnitude of K0 m (t) is greater
Q184 A bandlimited signal is sampled at the Nyquist than 1. Which of the following could be the detector
rate. The signal can be recovered by passing the samples output ?
through (A) Ac m (t) (B) Ac2 [1 + Ka m (t)] 2
(A) an RC filter (C) [Ac (1 + Ka m (t)] (D) Ac [1 + Ka m (t)] 2
(B) an envelope detector
(C) a PLL Q191 The frequency range for satellite communication is
(D) an ideal low-pass filter with the appropriate bandwidth (A) 1 KHz to 100 KHz (B) 100 KHz to 10 KHz
(C) 10 MHz to 30 MHz (D) 1 GHz to 30 GHz
Q185 The PDF of a Gaussian random variable X is given
by
1 e- (x -184)
2 GATE 2000 TWO MARKS
px (x) =
3 2p
The probability of the event {X = 4} is Q192 In a digital communication system employing
Frequency Shift Keying (FSK), the 0 and 1 bit are
(A) 1 (B) 1 represented by sine waves of 10 KHz and 25 KHz
2 3 2p respectively. These waveforms will be orthogonal for a bit
(C) 0 (D) 1 interval of
4
(A) 45m sec (B) 200m sec
(C) 50m sec (D) 250m sec
GATE 2001 TWO MARKS

Q186 A video transmission system transmits 625 picture Q193 A message m (t) bandlimited to the frequency fm
frames per second. Each frame consists of a 400 # 400 has a power of Pm . The power of the output signal in the
pixel grid with 64 intensity levels per pixel. The data rate figure is
of the system is
(A) 16 Mbps (B) 100 Mbps
(C) 600 Mbps (D) 6.4 Gbps

Q187 The Nyquist sampling interval, for the signal


sin c (700t) + sin c (500t) is (A) Pm cos q (B) Pm
2 4
(A) 1 sec (B) p sec
350 350 2 2
(C) Pm sin q (D) Pm cos q
4 4
(C) 1 sec (D) p sec
700 175
Q194 The Hilbert transform of cos w1 t + sin w2 t is
Q188 During transmission over a communication channel, (A) sin w1 t - cos w2 t (B) sin w1 t + cos w2 t
bit errors occur independently with probability p. If a (C) cos w1 t - sin w2 t (D) sin w1 t + sin w2 t
block of n bits is transmitted, the probability of at most
one bit error is equal to
(A) 1 - (1 - p) n (B) p + (n - 1)( 1 - p) Q195 In a FM system, a carrier of 100 MHz modulated by
n-1 a sinusoidal signal of 5 KHz. The bandwidth by Carson’s
(C) np (1 - p) (D) (1 - p) n + np (1 - p) n - 1
approximation is 1 MHz. If y (t) = (modulated waveform) 3
, than by using Carson’s approximation, the bandwidth of
Q189 The PSD and the power of a signal g (t) are, y (t) around 300 MHz and the and the spacing of spectral
respectively, Sg (w) and Pg . The PSD and the power of the components are, respectively.
signal ag (t) are, respectively, (A) 3 MHz, 5 KHz (B) 1 MHz, 15 KHz
(A) a2 Sg (w) and a2 Pg (B) a2 Sg (w) and aPg (C) 3 MHz, 15 KHz (D) 1 MHz, 5 KHz
(C) aSg (w) and a2 Pg (D) aSg (w) and aPs
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 9 Communications Page 373

GATE 1999 ONE MARK Q202 Four independent messages have bandwidths of
100 Hz, 200 Hz and 400 Hz , respectively. Each is sampled
Q196 The input to a channel is a bandpass signal. It is at the Nyquist rate, and the samples are time division
obtained by linearly modulating a sinusoidal carrier with multiplexed (TDM) and transmitted. The transmitted
a single-tone signal. The output of the channel due to this sample rate (in Hz) is
input is given by (A) 1600 (B) 800
y (t) = (1/100) cos (100t - 10-6) cos (106 t - 1.56) (C) 400 (D) 200
The group delay (tg) and the phase delay (t p) in seconds,
of the channel are
(A) tg = 10-6, t p = 1.56 (B) tg = 1.56, t p = 10-6 GATE 1998 ONE MARK
(C) tg = 108, t p = 1.56 # 10-6 (D) tg = 108, t p = 1.56
Q203 The amplitude spectrum of a Gaussian pulse is
(A) uniform (B) a sine function
Q197 A modulated signal is given by
s (t) = m1 (t) cos (2pfc t) + m2 (t) sin (2pfc t) (C) Gaussian (D) an impulse function
where the baseband signal m1 (t) and m2 (t) have
bandwidths of 10 kHz, and 15 kHz, respectively. The Q204 The ACF of a rectangular pulse of duration T is
bandwidth of the modulated signal, in kHz, is (A) a rectangular pulse of duration T
(A) 10 (B) 15 (B) a rectangular pulse of duration 2T
(C) 25 (D) 30 (C) a triangular pulse of duration T
(D) a triangular pulse of duration 2T
Q198 A modulated signal is given
-at
by s (t) = e cos [(wc + Dw) t] u (t),
Q205 The image channel selectivity of superheterodyne
where a wc and Dw are positive constants, and wc >> Dw .
receiver depends upon
The complex envelope of s (t) is given by
(A) IF amplifiers only
(A) exp (- at) exp [j (wc + Dw) t] u (t)
(B) RF and IF amplifiers only
(B) exp (- at) exp (jDwt) u (t)
(C) Preselector, RF and IF amplifiers
(C) exp (jDwt) u (t)
(D) Preselector, and RF amplifiers only
(D) exp [jwc + Dw) t]

Q206 In a PCM system with uniform quantisation,


GATE 1999 TWO MARKS increasing the number of bits from 8 to 9 will reduce the
quantisation noise power by a factor of
Q199 The Nyquist sampling frequency (in Hz) of a signal (A) 9 (B) 8
given by (C) 4 (D) 2
6 # 10 4 sin c2 (400t) * 106 sin c3 (100t) is
(A) 200 (B) 300
Q207 Flat top sampling of low pass signals
(C) 500 (D) 1000
(A) gives rise to aperture effect
(B) implies oversampling
Q200 The peak-to-peak input to an 8-bit PCM coder
(C) leads to aliasing
is 2 volts. The signal power-to-quantization noise power
ratio (in dB) for an input of 0.5 cos (wm t) is (D) introduces delay distortion
(A) 47.8 (B) 49.8
(C) 95.6 (D) 99.6 Q208 A DSB-SC signal is generated using the carrier
cos (we t + q) and modulating signal x (t). The envelope of
the DSB-SC signal is
Q201 The input to a matched filter is given by
(A) x (t) (B) x (t)
"10 sin (2p # 10 t) 0 < 1 < 10 sec
6 -4
s (t) = 0 (C) only positive portion of x (t) (D) x (t) cos q
otherwise
The peak amplitude of the filter output is
(A) 10 volts (B) 5 volts Q209 Quadrature multiplexing is
(C) 10 millivolts (D) 5 millivolts (A) the same as FDM
(B) the same as TDM
To Get Updated Solved Paper by Whatsapp, Send Your
Branch and BTech year to 9414243489 by whatsapp
Page 374 Communications Chapter 9

(C) a combination of FDM and TDM


2
p (x) = Ke-x /2 - 3 < x < 3. The value of K should be
(D) quite different from FDM and TDM (A) 1 (B) 2
2p p

Q210 The Fourier transform of a voltage signal x (t) is (C) 1 (D) 1


2 p p 2
X (f). The unit of X (f) is
(A) volt (B) volt-sec
(C) volt/sec (D) volt 2 Q217 A deterministic signal has the power spectrum
given in the figure is, The minimum sampling rate needed
to completely represent this signal is
Q211 Compression in PCM refers to relative compression
of
(A) higher signal amplitudes (B) lower signal amplitudes
(C) lower signal frequencies (D) higher signal
frequencies

Q212 For a give data rate, the bandwidth B p of a BPSK


signal and the bandwidth B 0 of the OOK signal are related
as
(A) B p = B 0 (B) B p = B 0
4 2
(A) 1 kHz (B) 2 kHz
(C) B p = B 0 (D) B p = 2B 0
(C) 3 kHz (D) None of these

Q213 The spectral density of a real valued random


Q218 A communication channel has first order low pass
process has
(A) an even symmetry (B) an odd symmetry transfer function. The channel is used to transmit pulses
at a symbol rate greater than the half-power frequency of
(C) a conjugate symmetry (D) no symmetry
the low pass function. Which of the network shown in the
figure is can be used to equalise the received pulses?
Q214 The probability density function of the envelope of
narrow band Gaussian noise is
(A) Poisson (B) Gaussian
(C) Rayleigh (D) Rician

GATE 1997 ONE MARK

Q215 The line code that has zero dc component for pulse
transmission of random binary data is
(A) Non-return to zero (NRZ)
(B) Return to zero (RZ)
(C) Alternate Mark Inversion (AM) Q219 The power spectral density of a deterministic signal
(D) None of the above is given by [sin (f) /f 2] where f is frequency. The auto
correlation function of this signal in the time domain is
(A) a rectangular pulse (B) a delta function
Q216 A probability density function is given by
(C) a sine pulse (D) a triangular pulse

***********
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 9 Communications Page 375

SOLUTIONS
S1 Correct option is (A). fIf = 15 MHz
FLo = 3500 MHz
fs - fLo = fIf
fs = fLo + fIf
= 3515 MHz

fin Divide By N VCO output (Nfin)


5 kHz 2 10 kHz fsi = image frequency
5 kHz 4 20 kHz = fs - 2fIf
5 kHz 8 80 kHz = 3515 - 2 # 15
= 3485 MHz
5 kHz 16 80 kHz
S8 Correct answer is 362.255.
S2 Correct answer is 35.
= 0.125
P1
Rb = 56 kbps , a = 0.2
= 0.125
P4
BW = Rb [1 + a] = 56 [1 + 0.25] kHz = 35 kHz = 0.375
P2
2 2
= 0.375
P3
S3 orrect answer is 5. H = 0.125 log 2 1 + 0.125 log 2 1
fs = 58 MHz - 68 MHz 0.125 0.125
When fs = 58 MHz + 0.375 log 2 1 + 0.375 log 2 1
fsi = fs + 21F > 68 MHz 0.375 0.375
= 1.811
21F > 10 MHz Information rate
1F $ 5 MHz = H # 200 = 362.255

S4 Correct answer is m = 0.5 . S9 Correct option is (C).


S (f ) = 5 cos 1600pt + 20 cos 1800pt + 5 cos 2200pt
Am
S (f ) = c cos 2p (fc - fm) t + Ac cos 2pfc t
2
Am
+ c cos 2p (fc - fm) t
2
Ac = 20 Acm = 10
Ac m
= 5 m = 10 = 0.5
2 20
S5 Correct answer is 1.75.
S10
H = 1 log 2 2 + 1 log 2 4 + 1 log 2 8 + 1 log 2 8 Correct answer is 2.
2 4 8 8
(a L min = H ) H = 1 log 2 2 + 1 log 2 4 + 1 log 2 8 + 1 log 2 16 + ...
2 4 8 16
= 1.75 bits/word
H = 1#1+ 1 #2+1 #3+ 1 #4
2 4 8 16
S6 Correct answer is 16.
Rb = 64 kbps 1
1 n
nb 2 l =
3
= / 2 =2
BW = 32 kHz 1 2
n=0 b1 - 2 l
(BT ) min = Rb = Rb = Rb = Rb
2 log 2 M 2 log 2 4 2#2 4 S11 Correct answer is 0.028.
= 16 kHz Pe = P3 + 3P2 (1 - P)
P = 0.1
S7 Correct answer is 3485 MHz. Pe = (0.1) 3 + 3 # (0.1) 2 (1 - 0.1)
To Get Updated Solved Paper by Whatsapp, Send Your
Branch and BTech year to 9414243489 by whatsapp
Page 376 Communications Chapter 9

= 0.001 + 3 # 0.01 # 0.9


= 0.001 + 0.027
& Rb 61 + a@ = 1500 # 4 = 6000
= 0.028
& ^1 + ah = 6000
4800
S12 Correct option is (A).
The impulse response of the filter is same on the signal so
Es = E h & a = 6000 - 1 = 0.25
4800
SNR = 2Es
N0 S15 Correct answer is 100
E 6x2 ^ t h@ = E 8^3V ^ t h - 8h B
2
ES = ES

SNR = 2Es = E 8_3V ^ t h2 + 64 - 2 # 3V ^ t h # 8iB


N0
= E 8_9V2 ^ t h + 64 - 48V ^ t hB
S13 Correct answer is - 1
The PSD of X ^ t h = SX ^ t h = 9E 8V2 ^ t hB + E 664@ - 48E 7V ^ t hA
a 7E 6V ^ t hA = 0 ,
G^f h
2
Rb ^t hj2ptfT o E 7V2 ^ t hA = MS
3
SX ^ f h = e /
T m =- 3 = R ^0 h = 4e-5^0h = 4 ,
Rb ^t h = E 6b n Bn - t@ E 6constant@ = constant@
= E 8^a n + ka n - 3h^a n - t + ka n - t - 3hB
E 6x2 ^ t h@ = 9 # 4 + 64 = 36 + 64 = 100
= E 6a n a n - t + ka n a n - t - 3 + ka n - 3 a n - t
+ k2 a n - 3 a n - t - 3@ S16 Correct answer is 0.8 to 0.82.
= E 6a n a n - t@ + kE 7a n a n - t - 3A Here P cV0 m = 3
U0 4
+ kE 6a n - 3 a n - t@ + k2 E 7a n - 3 a n - t - 3A P c V1 m = 1 - P c V0 m = 1 - 3
U0 U0 4
= E 6a n a n - t@ + kE 6a n a n - t - 3@ =1
4
+ kE 6a n - 3 a n - t - 3 + 3@ + k2 E 6a n - 3 a n - t - 3@ H c V1 m =- P cV0 m log 2p bV0 l - P c V1 m log 2p c V1 m
U0 U0 U0 U0 U0
= R ^t h + kR ^t + 3h + kR ^t - 3h + k2 R ^t h 1
= - 3 log 2 b 3 l - 1 log 2 b 4 l
4 4 4
= ^1 + k2h R ^t h + kR ^t + 3h + kR ^t - 3h = 0.82
The Auto correlation function is
Z 2 _
]1 + k t=0 b S17 Correct option is (B).
Rb ^t h = [ k t =! 3 ` Y ^ t h = X ^ t h - X ^t - T0h
] 0 otherwiseb ACf of output
\ a
Power spectral density = R y ^t h
Sb ^ f h = 1 + k2 + 2k cos ^2pf3T h
= E 7y ^ t h Y ^t + t hA
Null will occur at
f = 1 Ry ^t h = E 8X ^ t h - X ^t - T0h6X ^t + t h - X ^t + t - T0hB
3T
So at f = 1 Ry ^t h = E 6X ^ t h X ^t + t h - X ^ t hX ^t + t - T0h - X ^t - T0h
3T
X ^t + t h + X ^t - T0h X ^t + t - T0hA
& Sb ^ f h = 1 + k2 + 2k cos 2p b 1 l # 3T
3T
Ry ^t h = 8RX ^t h - RX ^t - T0h - RX ^t + T0h + RX ^t hB
=0
Ry ^t h = 2RX ^t h - RX ^t - T0h - RX ^t + T0h
2
& 1 + k + 2k = 0
& ^k + 1h2 = 0
& k =- 1

S14 Correct answer is 0.25


Bw = 1500 Hz
Rb 61 + a@
= 1500 Hz
log 16
2
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 9 Communications Page 377

S18 Correct answer is 31.503. (slope of m ^ t h) # (slope of sampling)


C = 52 kbps For sinusoidal signal, if
B = 4 kHz Vinput = Am sin ^w m t h
No = 2.5 10-5
2 # m input = b dV l = Am w m
input

dt max
N = 4 # 103 # 2.5 # 10-5 # 2 Am w m # T =
step size
= TFs
tr sampling interval
C = B log 2 :1 + S D Am 2p ^2 # 103h # 2 # 10 4 # .1
N
S = 1638.2 Am # 1
2p
J/ sec
Eb = S = S22 Correct answer is 32.
Rb bits/ sec
= 31.503 SNRq = ^1.76 + 6n h dB
C = log b1 + S l where n = number of bits
1
B N Given SNR = 31.8 dB
So, 1.76 + 6n = 31.8 dB
& log 2 b1 + S l = C
N B or 6n = 30
& b1 + N
S l = 2C/B = 213 = 8192 or n =5
Hence, Levels = 2n = 25 = 32 . 32 levels
or S = 8191
N S23 Correct option is (C).
& S = 8191 # 4 # 103 # 2.5 # 10-5 # 2 Bandwidth of PM signal is given by
= 819.1 # 2 B = 2 (Tf + fm)
Eb = 819.1 # 2 So, it depends upon fm and
Rb
k m
= 31.503 Tf = f p (m p = Am = message signal amplitude)
2p
Hence, bandwidth depends upon both fm , Am .
S19 Correct answer is 0.735.
P = 10-5 N = 105
S24 Correct option is (D).
Method 1: Gaussian Minimum Shift Keying (GMSK) is used for
Binomial Method: nC x px qn - x GSM mobile terminals.
P ^x = 0h + P 6x = 1@ = 105 c 0 ^10-5h0 ^1 - 10-5h10
5

S25 Correct answer is 0.4.


+ 10 c1 ^10 h ^1 - 10 h
5
-5 1 -5 10 - 1
5
We have the Binary symmetric channel as shown below.
= ^1 h^1 h # 0.367 + 0.367
= 0.735
Method 2:
-l x
Poisson method = e l
x!
l = np = 10-5 # 105
=1
e-1 ]1g1
= + e-1
1!
& 2 # e-1 = 0.735

S20 Correct option is (C). Here, P 6X = 0@ = 0.2


We have the signal, P 6X = 1@ = 0.8
s ^ t h = m (t) cos (2pfc t) + m
t (t) sin (2pfc t) According to Baye’s theorem,
Here, s ^ t h represents SSB - Lower side band, and thus a X = 1 P ^ YX == 01 h P ^X = 1h
Pb
Y = 0 l P ^ YX == 01 h P ^X = 1h + P ^ YX == 01 h P ^X = 1h
=
band pass signal.

^ 7 h^.8h
1
S21 Correct option is (A).
= = 0.4
^ 7 h^.8h + ^ 7 h^.2h
1 6
For preventing slope overload, we should have
To Get Updated Solved Paper by Whatsapp, Send Your
Branch and BTech year to 9414243489 by whatsapp
Page 378 Communications Chapter 9

S26 Correct answer is 400. = 1 - pq


Given width of a channel in GSM system
Wchannel = 200 kHz. S29 Correct option is (B).
Since, 8 users share the channel so, width assigned per The autocorrelation function is defined as
unit is RY ^k h = Ry ^n, n + k h
Wuser = 200 = 25 kHz. = E 7Y ^n h $ Y ^n + k hA
8 Now, we have
Now, 12 users are talking in a cell. So, the minimum Y ^n h = x ^n h + 0.5x ^n - 1h
number of channels in the cell is obtained as So, Ry ^k h = E 8_x ^n h + 0.5x ^n - 1hi^x ^n + k h
N channel > 12 # Wuser + 0.5x ^n + k - 1hiB
Wchannel = E 6x ^n h $ x ^n + k h + x ^n h 0.5x ^n + k - 1h
N channel > 12 # 25 + 0.5x ^n - 1h $ x ^n + k h + 0.25x ]n - 1g
200 # x ^n + k - 1h@
or N channel = 2 = E 6x ^n h $ x ^n + k h@ + 0.5E 7x ^n h
Hence, the bandwidth of the cell is
Wcell = N channel # Wchannel = 2 # 200 # x ^n + k - 1hA + 0.5E 7_x ^n - 1h x ^n + k hiA
= 400 kHz + 0.25E 8x ^n - 1h x ^n + k - 1hB

S27 Correct option is (D). = RX ^k h + 0.5Rx ^k - 1h + 0.5Rx ^k + 1h


Given the conditional density function of R as + 0.25Rx ^k h
1 -3 < r < 1 Ry ^k h = 1.25Rx ^k h + 0.5Rx ^k - 1h + 0.5Rx ^k + 1h
f R/0 ^r h = * 4 Rx ^k h = E 7x ^n h $ x ^n + k hA
0 otherwise
For k = 0 , we obtain
1, - 1 < r < 5 Rx ^0 h = E 8x2 ^n hB
f R/1 ^r h = * 6 = 12 $ 1 + ^- 1h2 # 1
0, otherwise 2 2
=1
Decision error probability that receiver decides 0 for a
Again, for k ! 0 , we have
transmitted bit 1 is
Rx ^k h = E 7x ^n hA E 7x ^n + k hA
f R/1 ^r = 0h = 1 =0 #Since E 7x ^n hA = 0, E 7x ^n + k hA = 0-
6
Hence, we get
Again, the decision error probability that receiver decides
Ry ^0 h = 1.25Rx ^0 h + 0.5Rx ^- 1h + 0.5Rx ^1 h
1 for a transmitted bit 0 is
= 1.25
f R/0 ^r = 1h = 1 Ry ^1 h = 1.25Rx ^1 h + 0.5Rx ^0 h + 0.5Rx ^2 h
4
= 0.5
Hence, the minimum decision error probability is
Ry ^- 1h = 1.25Rx ^- 1h + 0.5Rx ^- 2h + 0.5Rx ^0 h
f R/1 ^r = 0h = 1 = 0.5
6
Ry ^k h for k other than 0, 1 and - 1 = 0 . Thus, the
S28 Correct option is (D). autocorrelation function Ry ^k h is plotted as
Given P ^x = 0h = p
So, P ^x = 1h = 1 - p
P ^y = 0h = q
and P ^y = 1h = 1 - q
Let Z = X+Y

X Y Z
0 0 0
0 1 1
S30 Correct option is (A).
1 0 1
Let N ^ t h be the noise at the output of filter.
Variation of N ^ t h = E 8N 2 ^ t hB - $E 6N (t)@.
1 1 2 2

So, P ^z $ 1h = 1 - P "x = 0 and y = 1, + Since the input noise is zero mean.


P "x = 1 and y = 0, + P "x = 1 and y = 1, Output noise mean is also zero.
= 1 - P "x = 0 and y = 0, E 6N ^ t h@ = E ^W ^ t hh $ b # h^t hdt l
3

-3
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 9 Communications Page 379

sin p5t sin ^ p5t h j p4


E 6W ^ t h@ = 0 = pt [ 1 + j] = 2
^ p5t h
e
W ^ t h is white noise, so
5

var ^N ^ t hh = E ^N2 ^ t hh] S35 Correct answer is 8.


= RN ^0 h The upper limit of the total number of user supported
Since RN ^t h = h ^t h * h ^- t h * R w ^t h simultaneously is given by spreading factor. We defined
and R N ^t h = N 0 $ d ^t h the spreading factor as
2 Chiprate
Spreading factor =
Symbol rate
RN ^t h = 7h ^t h * h ^- t hA $ N 0
2 N # Symbol rate
=
RN ^t h = N 0 h ^k h $ h ^t + k h dk
# Symbol rate
3

2 -3 =N=8
RN ^0 h = N 0
2 -3 ^ h
# h k dk = N 0 ^3A2h
3 2
i.e. the maximum number of uses. who can be assigned
2
mutually orthogonal signature sequence is 8.
= 3 $ A2 $ N 0
2
S36 Correct answer is 0.
S31 Correct option is (A) Given the binary symmetric channel (BSC) with crossover
Probability of error of matched filter receiver is given by probability 0.5. So, we have
= Q c 2E m p = 0.5
N0
so, the entropy is given as
where E = Energy of signal
H ^p h = Sp log 2 1
So, probability of error will be minimum for which energy p
is maximum. By finding energy of signals given in option, = 0.5 log 2 1 + 0.5 log 2 1
we conclude that energy is minimum for option (A). 0.5 0.5
= 0.5 + 0.5 = 1
S32 Correct answer is 6. Hence, the capacity of channel is
Given f (x) = 1 x e- x 1 - H ^p h = 1 - 1
2 =0
By definition, variance is
V (X) = E 6x 2@ - {E 6x @} 2 S37 Correct option is (A).
The channel capacity is defined as
E 6X @ = # xf (x) = # x 1 x e- x dx
3 3
P
-3 -3 2 C = W log 2 b1 + s2 W l ...(i)
Since it is an odd function, we get Since, we know that
E 6X @ = 0 log a b = log a c log c b
E 6X 2@ = # # 1 x2 f x e- x dx So, we may rewrite the equation (1) as
3 2 3
x f (x) =
-3 2
C = W (log 2 e) ;log e b1 + 2P lE
-3

= #
3 3 -x
x e dx = 6 sW
P P 2 P 3
= W (log 2 e) ;b s2 W l - 1 b s2 W l + 1 b s2 W l - ...E
0

Hence, V (x) = 6 - (0) 2 = 6 2 3


2 3

S33 Correct answer is 0.24 to 0.26. = (log 2 e) ; P2 - P4 + P - ...E ...(ii)


s 2s W 3s6 W2
Again, We have
S34 Correct option is (C). P = 1000 , W " 3
sin ^ p5t h p s2
x (t) =- 2 e pt o sin 9pt - 4 C
5 Substituting these value in equation (ii), we get
sin ^ p5t h cos p sin pt - sin p cos pt C = lim (log 2 e) ;1000 - P4
2 3
+ P6 2 - ....E
=- 2 f pt p9 C
^5h 4 4 W"3 2s W 3s W
sin ^ p5t h sin ^ p5t h = (log 2 e) (1000) bits per sec = 1.44 kbps
= > pt H cos pt - > pt H sin pt
^5h ^5h
S38 Correct answer is 0.5.
Let XC be complex envelope of above signal. So, we have
sin pt sin pt An amplitude modulated system is defined as
XC = pt 5 + j pt 5
^5h 5
S (t ) = Ac [1 + m a mn (t )] cos w c t
where Ac is amplitude of carrier signal, ma is
To Get Updated Solved Paper by Whatsapp, Send Your
Branch and BTech year to 9414243489 by whatsapp
Page 380 Communications Chapter 9

modulation index, mn (t ) is normalized message signal; S42 Correct option is (A).


- 1 # [mn (t )] # 1. While talking about fixed interval of time, the PDF of
So, the maximum and minimum amplitude of AM signal total number of calls always follows Poisson Distribution.
are
| S (t ) | max = Ac (1 + m a) = 3 V S43 Correct answer is 4.
| S (t ) | min = Ac (1 - m a) = 1 V The total sideband power of AM signal is defined as
m2
Hence, We get PTotal side band = ^Pc hc m
1 + ra = 3 2
1 - ma 1 where Pc is the power in carrier signal, and m is the
2m a
=2 modulation index. So, we get the ratio of total sideband
2 4
power to the carrier power as
m a = 0.5 Pside band = m
2

Pc 2
S39 Correct answer is 10 kHz.
Thus, if m is doubled, the ratio increases by a factor of 4.
Let frequency spectrum of message signal m (t ) be
S44 Correct option is (B).
For a random variable X , we define the variance as
s 2X = E 6X2@ - ^E 6X @h2
Since, the variance can not be negative. So, we have
E 6X2@ $ ^E 6X @h2
This relation always holds true.
So, the spectrum m ^ t h cos ^400pt h is sketched as S45 Correct option is (D).
We have the random process,
X ^ t h = 2 sin ^2pt + fh
Where random phase f is uniformly distributed in the
interval 60, 2p@. So, we obtain the probability density
function as
ff ^fh = 1
2p
To recover message signal from the modulated signal, the Therefore, the auto-correlation is given as
sampling frequency must be multiple of bandwidth, i.e.
E 6X ^t1h X ^t2h@ = X ^t1h X ^t2h ff ^fh df
2p

fs = n BW #
0
Hence, fs, min = 10 kHz
8 2 sin ^2pt1 + fhB8 2 sin ^2pt2 + fhB 2p df
2p
1
= #
0
S40 Correct option is (C).
sin ^2pt1 + fh sin ^2pt2 + fh df
2p
= 2 #
Given phase response, 2p 0

j ^ f h =- 2pa ^ f - fc h - 2pbfc Using the trigonometric relation,


So, the proportional delay is sin A sin B = 1 6cos ^A - B h - cos ^A + B h@
2j ^ f h 2
=- 1 =a We get
2p 2f
E 6X ^t1h X ^t2h@
cos ^2pt1 - 2pt2h - cos 62p ^t1 + t2h + f@df
2p
S41 Correct option is (C). = 1 #
2p 0
Given FM signal,
f ^ t h = cos 62pfc t + b 1 sin 2pf1 t + b 2 sin 2pf2 t @ = 1 cos 62p ^t1 - t2h@ # 2p = cos 62p ^t1 - t2h@
2p
The phase angle of FM signal is
j = wt = 2pfc t + b 1 sin 2pf1 t + b 2 sin 2pf2 t S46 Correct answer is 1.414.
2j
So, = w = 2pfc + 2pf1 b 1 cos 2pf1 t + 2pf2 b 2 cos 2pf1 t
2t S47 Correct answer is 0.35.
f = fc + f1 b 1 cos 2pf1 t + f2 b 2 cos 2pf 2 t Given the pdf of random variable.
For t = 0 , we get the maximum deviation as 2e-2x , x $ 0
fX (x ) = *
Tf max = b 1 f 1 + b 2 f 2 0 ,x < 0
Also, we have the 1 bit quantizer, which can be defined as
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 9 Communications Page 381

1, x $ xT 1 1
xq = * So, RX b 4W l = E ;X (t ) X bt - 4W lE
0, x < xT
Where xT is threshold value. Since, the output should be Hence, We get
1
of equal probability, i.e. E ;pX (t ) X bt - 4W lE = pRX b 1 l
P (xq = 1) = P (xq = 0) 4W
So, P (X $ xT ) = P (x < xT ) sin 82p ^ 4W
1
hW B
=p
Therefore, we obtain the required value of threshold as p ^ 4W h W
1
3
xT = 4 sin (p/2) = 4
#f X (x ) dx = #
0
fX (x ) dx
xT
xT
S50 Correct answer is 60.
# #
3 -2x -2x
2e dx = 2e dx We have the waveform of fourier transform of message
xT 0
signal as
e-2x D3 :2e-2x Dx
:2-
T

2 x = -2 0 T

-2xT
0+e =- e-2x + 1 T

2e-2x = 1 T

xT = 1 ln 2 = 0.35
2

S48 Correct option is (C).


Given the two equiprobable symbol waveforms, Now, we have the signal
d 1 (t ) = a cos (2pf1 t) v (t ) = cos (2pfc t)
d 2 (t ) = a cos (2pf2 t); a = 4 mV = 4 # 10-3 V So, the frequency spectrum for the signal v (t ) m (t ) is
Data rate,
Rb = 500 kbps = 5 # 105 bits/ sec
Noise power spectral density,
No = 0.5 10-12 W/Hz
2 #
or No = 2 # 0.5 # 10-12 = 10-12 W/Hz
So, we obtain energy per bit,
2 2 (4 # 10-3) 2
Eb = a T = a = = 16 # 10-12 Now, the signal passes through high pass filter with
2 2Rb 2 # (5 # 105)
cut off frequency fc . So, it stops the signal component
Hence, The bit error probability is given by with frequency higher than fc . Therefore, the frequency
E 16 # 10-12
Pe = Q c Nb m = Q c m = Q (4) spectrum for filtered signal is obtained as
o 10-12

S49 Correct answer is 4.


Given the power spectral density
1 , | f |# W
SX (f ) = *W
0 , | f |> W
So, we obtain the autocorrelation function as
#
3
RX (t) = SX (f ) e j2pft dt Again, the signal is multiplied with w (t ) defined as
-3
W
w (t ) = cos [2p (fc + A) t ]
j2pft
1 e j2pft df = 1 =e G
W
So, we get the frequency spectrum as
= #
-W W W j2pt -W
= 1 ^e j2ptW - e-j2ptW h = sin (2ptW)
j2ptW ptW
Now, we have to obtain
1
E :pX (t ) X bt - 4N lD = pE ;X (t ) X bt - 1 lE
4W
Since, we define the autocorrelation function as
RX (t ) = E [X (t ) X (t - t)]
To Get Updated Solved Paper by Whatsapp, Send Your
Branch and BTech year to 9414243489 by whatsapp
Page 382 Communications Chapter 9

Again, the signal is passed through low pass filter with cut
off frequency fc .
Hence, the waveform of output signal is

Hence, the total power is obtained as the area under power


spectral curve; i.e.
P = :1 # ^103h # 10-3 + ^103h # ^2 # 10-3hD
2
Therefore, the bandwidth of output signal is = :1 + 2D = 5 = 2.5 watt
2 2
A - B = 100 - 40 = 60 Hz
S53 Correct answer is 200.
S51 Correct option is (C). Given message signal,
Given that X ^ t h is a wide sense stationary process. So, its m ^ t h = sin ^100pt h + cos ^100pt h
autocorrelation is defined as
RXX ^t h = E 7X ^ t h X ^t - t hA = 2 < 1 sin 100pt + 1 cos ^100pt hF
2 2
Its Fourier transform is defined as its power spectral
= 2 sin ^100pt + 45ch
density, i.e.
So, the peak-to-peak amplitude of message signal is
SX ^ f h = F.T. #RXX ^t h-
m ^ t hpp = 2 2
Again, we have the random process,
Given, the step size of the system
RYY ^t h = E 7Y ^ t h Y ^t - t hA m ^ t hpp
= 0.75
= E 8X ^2t - 1h # ^2t - 1 - 2t hB = RXX ^2t h
T#
L
So, we obtain its Fourier transform as So, L $ 2 2 = 3.77
0.7
SY ^ f h = F.T. "RXX ^2t h, = 1 SY b l
f
2 2 or L =4 (integer value)
S52 Correct answer is 2.5. For binary PCM, we have
Given power spectral density L = 2n

SX ^ f h = *10
-6
_3000 - f i, for f # 3 kHz
So, n =2
Hence, the minimum data rate of the PCM system is
0 otherwise = nf s = 2 # 2fm = 200 bits/sec. ( fm = 50 )
The power spectrum can be obtained as
S54 Correct answer is 2.
We consider the first block of the binary symmetric
channel.
y =1 y =1
P ^y1 = 1h = P c 1
x=1m ^
P x = 1h + P c 1
x1 = 0 m ^
P x = 0h

=1#1+2#1 = 3 =1
2 3 3 2 6 2
Now, X ^ t h modulus a carrier cos ^16000pt h. P ^y1 = 0h = 1 - P ^y1 = 1h = 1
2
So the spectrum becomes (carrier frequency, fc = 8 kHz).
Hence, we obtain the entropy,
H ^y1h =- SPi log 2 Pi =- & 1 log 2 1 + 1 log 2 1 0 = 1
2 2 2 2
Similarly, we obtain entropy for the second block. Wehave
y =0 y =0
y1 = 0 n ^ 1
P ^y2 = 0h = P d 2 P y = 0h + P d 2
y1 = 1 n
# P ^y1 = 1h
1 1
= # + # = 1 1 1
Again this spectrum is passed through an ideal band pass 2 2 2 2 2
filters of unity gain with centre frequency of 8 kHz and Hence, we obtain the entropy
bandwidth of 2 kHz. So, we have the output spectrum as P ^y2 = 1h = 1
2
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 9 Communications Page 383

From the figure, we have


Pb Y = 0 l = 1 ;
Thus, the total entropy of the channel is
H ^y2h + H ^y1h = 1 + 1 = 2 X=0
P b Y = 0 l = 0.25
S55 Correct option is (C). X=1
Let the two events, P b Y = 1 l = 0.75
H1: x =+ 1 X=1
H 0: x =- 1 Also, we have
So, we have P ^X = 0h = P ^X = 1h = 1
2
P ^H1h = 0.75
P ^H 0h = 0.25 So, we obtain the probability for an output to be zero as
Now, the received signal is P ^Y = 0h = P b Y = 0 l # P ^X = 0h + P b Y = 0 l
X=0 X=1
Y = X+Z
where Z is a Gaussian random variable with zero mean # P ^X = 1h
= 1 # 0.5 + 0.25 # 0.5 = 5
and variance s2 . So, the PDF of Gaussian random variable 8
is given by
Again, we obtain the probability that the input is 0 for a
fZ ^z h = 1 e-Z /2s
2 2

s 2p given 0 output, i.e.


P ^ YX == 00 h P ]X = 0g
Again, we have the received signal Pb X = 0 l = = 1 #5 0.5 = 0.8
1 + Z if X = 1 Y=0 P ^Y = 0h 8
Y =*
- 1 + Z if X =- 1 S57 Correct answer is 16.
So, the PDF of Y is defined as Given bit rate,
y ^y - 1h2 Rb = 200 kbps,
fY e o = 1 exp e o
H1 s 2p 2s 2 and raised-cosine factor is
y ^y + 1h2 r = 100 % = 1
fY e o = 1 exp e o Since channel is of bandpass type, the channel will support
H0 s 2p 2s 2
At optimum threshold y opt , we have the minimum a bandwidth
B
probability of error given by BT = bandpass = 100 = 50 kHz
2 2
fY ^y/H1h P ^H 0h
=
fY ^y/H 0h y = y P ^H1h Also, we may define the bandwidth as
^1 + r h R
^H 0h
opt

P BT =
exp b- 1 2 8^y - 1h2 - ^y + 1h2Bl = 2
2s P ^H1h
y=yopt
^1 + r h Rb
or BT = (where R = Rb / log 2 M )
2yopt P ^H 0h 2 log 2 M
exp c 2 m =
s P ^H1h ^1 + 1h 200
or log 2 M = =4
2 P ^H 0h 2 # 50
y opt = s ln f p
2 P ^H1h Hence, M = 16
2
= - 1.1s =- 0.55s2
2 S58 Correct option is (C).
Here, optimum threshold is Given X ! "- a, + a ,
y opt < 0 So, the probability is
Hence, threshold is strictly negative. P ^x =- a h = P ^x = a h = 1
2
S56 Correct answer is 0.8. Given the discrete-time channel,
Given Z -channel is Y = X+Z
So, the received signal is
- a + z if x =- a
Y =*
a+z if x =+ a
Since Z is chosen independently from a Gaussian
distribution with mean bX and unit variance, so we have
fZ ^z h = 1 e- 2 ^z - bX h
1 2

2p
Let the two events,
To Get Updated Solved Paper by Whatsapp, Send Your
Branch and BTech year to 9414243489 by whatsapp
Page 384 Communications Chapter 9

H1: x =+ a so, P ^U $ 0h = 1
H 0: x =- a 2
So, we get and P ^V $ 0h = 1
y 1 e- 12_y - a^1 + b hi 2
fY e o
2

=
H1 2p The distribution is shown in the figure below
y
fY e o = 1 e- 2 ^y + a^1 + b hh
1 2

H0 2p
Hence, the bit error rate is given by
Pe = P ^H1h P d e n + P ^H 0h P d e n
H1 H0
0
=1 1 e- 2_y - a^1 + b hi dy
1
#
2

2 - 3 2p
+1 # 1 e- 12_y + a^1 + b hi dy
3 2

2 -0 2p
= Q _a ^1 + b hi fu ^u h = 1 e -u2
2s
2p su2
u

For b = 0 , we have
Pe = Q ^a h = 1 # 10-8 fv ^v h = 1 e -v2
2s
2p sv2
v
2
or e-a /2 = 1 # 10-8 We can express the distribution in standard form by
or a = 6.07 assuming
Hence, for b =- 0.3 , we obtain X = u - 0 = u = 2U
su Y2
Pe = Q _a ^1 + b hi
and Y = v - 0 = v = 3V
= Q ^6.07 ^1 - 0.3hh = Q ^4.249h for which we have
sv Y3

= e-^4.249h /2 = 1.2 # 10-4


2
X = 2U = 0
Y = 2V = 0
- 10-4 and X2 = 4U2 = 1
also, Y2 = 9V2 = 1
S59 Option (B) is correct. Therefore, X - Y is also a normal random variable with
In ideal Nyquist Channel, bandwidth required for ISI X-Y = 0
(Inter Symbol reference) free transmission is Hence,
W = Rb P ^X - Y $ 0h = P ^X - Y # 0h = 1
2 2
Here, the used modulation is 32 - QAM (Quantum or, we can say
Amplitude modulation P ^2U - 3V # 0h = 1
2
i.e., q = 32
or 2v = 32 Thus, P ^3V $ 2U h = 1
2
v = 5 bits
So, the signalling rate (sampling rate) is
S61 Option (C) is correct.
Rb = R (R " given bit rate)
5 The mean of random variables U and V are both zero
i.e., U =V=0
Hence, for ISI free transmission, minimum bandwidth is
Also, the random variables are identical
W = Rb = R kHz i.e., fU ^u h = fV ^v h
2 10
or, FU ^u h = FV ^v h
S60 Option (B) is correct. i.e., their cdf are also same. So,
Given, random variables U and V with mean zero and FU ^u h = F2V ^2v h
variances 1 and 1 i.e., the cdf of random variable 2V will be also same but
4 9 for any instant
i.e., U =V=0
2V $ U
su2 = 1
4 Therefore,
and sv2 = 1 G ^x h = F ^x h
9 but, x G ^x h $ xF ^x h
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 9 Communications Page 385

or, 6F ^x h - G ^x h@x # 0
Since, the 1 and 0 transmission is equiprobable:
S62 Option (C) is correct. i.e., P ^ 0 h = P ^1 h = 1
2
Given, P ^U =+ 1h = P ^U =- 1h = 1
2 Hence bit error rate (BER) is
where U is a random variable which is identical to V i.e., BER = P b received 0 l P ^0 h + P b received 1 l P ^1 h
transmitted 1 transmitted 0
P ^V =+ 1h = P ^V =- 1h = 1
2 = 0+1 #1
8 2
So, random variable U and V can have following values
U =+ 1, - 1; = 1
16
V =+ 1, - 1
Therefore the random variable U + V can have the
S64 Option (B) is correct.
following values,
- 2 When U = V =- 1 The optimum threshold is the threshold value for
U + V = *0 When U = 1,V = 1 or u =- 1, v = 1 transmission as obtained at the intersection of two pdf.
2 When U = V = 1 From the shown pdf. We obtain at the intersection
Hence, we obtain the probabilities for U + V as follows (transmitted, received) = b 4 , 1 l
5 5
we can obtain the intersection by solving the two linear
U+V P ^U + V h eqs
-2 1 1=1 x+y = 1 pdf of received bit 0
2#2 4 0 . 5
y = x pdf of received bit 1
0 1 1 1 1 1 2
b2 # 2l+b2 # 2l = 2
Hence for threshold = 4 , we have
2 1 1=1 5
2#2 4
BER = P b received 1 l P ^0 h + P b received 0 l P ^1 h
transmitted 0 transmitted 1
Therefore, the entropy of the ^U + V h is obtained as = b1 # 1 # 1l# 1 +b1 # 4 # 1l# 1
2 5 2 2 2 5 5 2
H ^U + V h = P ^U + V h log 2 '
/ 1
P ^U + V h 1
= 1 <(BER for threshold = 1)
20
= 1 log 2 4 + 1 log 2 2 + 1 log 2 4
4 2 4
Hence, optimum threshold is 4
= 2+1+2 = 3 5
4 2 4 2
S65 Option (A) is correct.
S63 Option (D) is correct. The mean square value of a stationary process equals the
For the shown received signal, we conclude that if 0 is total area under the graph of power spectral density, that
the transmitted signal then the received signal will be is
#
3
also zero as the threshold is 1 and the pdf of bit 0 is not E [X 2 (t)] = SX (f ) df
-3
crossing 1. Again, we can observe that there is an error
E [X 2 (t)] = 1 #S
3
or, (w) dw
when bit 1 is received as it crosses the threshold. The 2p -3
X

probability of error is given by the area enclosed by the 1 E [X 2 (t)] = 2 # 1 #S


3
or, (w) dw
bit pdf (shown by shaded region) 2p 0
X
(Since the PSD is even)
= 1 [area under the triangle + integration of delta function]
p
= 1 ;2 b 1 # 1 # 103 # 6 l + 400E
p 2
= 1 66000 + 400@ = 6400
p p
E [X (t)] is the absolute value of mean of signal X (t)
which is also equal to value of X (w) at (w = 0).
P (error when bit 1 received) = 1 # 1 # 0.25 = 1 From given PSD
2 8 SX (w) w = 0 = 0
or P b received 1 l = 1
transmitted 0 8
To Get Updated Solved Paper by Whatsapp, Send Your
Branch and BTech year to 9414243489 by whatsapp
Page 386 Communications Chapter 9

SX (w) = X (w) 2 = 0 P b X < 1 l = shaded area = 3


2 4
X (w) 2w = 0 = 0
Similarly for Y : P bY < 1 l = 3
2 4
X (w) w = 0 = 0
So P &[max (x, y)] < 1 0 = 3 # 3 = 9
2 4 4 16

S66 Option (C) is correct. Alternate Method:


For raised cosine spectrum transmission bandwidth is From the given data since random variables X and Y lies
given as in the interval [- 1, 1] as from the figure X , Y lies in the
BT = W (1 + a) a " Roll of factor
region of the square ABCD .
BT = Rb (1 + a) Rb " Maximum signaling rate
2
3500 = Rb (1 + 0.75)
2
Rb = 3500 # 2 = 4000
1.75

S67 Option (D) is correct.


Entropy function of a discrete memory less system is given
as
N-1
H = Pk log b 1 l
/ Pk
Probability for max 6X, Y @ < 1/2 : The points for
k=0
where Pk is probability of symbol Sk .
For first two symbols probability is same, so max 6X, Y @ < 1/2 will be inside the region of square AEFG
N-1 .
H = P1 log b 1 l + P2 log b 1 l + Pk log b 1 l
/
P1 P2 k=3
Pk So, P &max 6X, Y @ < 1 0 = Area of 4AEFG
N-1 2 Area of square ABCD
=-e P1 log P1 + P2 log P2 + / P log P o
k k 3
#2
3
N-1
k=3
= 2 = 9
2#2 16
=-e 2P log P + / P log P o
k k (P1 = P2 = P)
k=3
S69 Option (B) is correct.
Now, P1 = P + e, P2 = P - e
In a coherent binary PSK system, the pair of signals
So, Hl
N-1 s1 (t) and s2 (t) used to represent binary system 1 and 0
=-=(P + e) log (P + e) + (P - e) log (P - e) + / P log P G
k k respectively.
k=3
By comparing, Hl < H , Entropy of source decreases. s1 (t) = 2E sin wc t
T

S68 Option (B) is correct. s2 (t) =- 2E sin wc t


T
Probability density function of uniformly distributed
where 0 # t # T , E is the transmitted energy per bit.
variables X and Y is shown as
General function of local oscillator
f1 (t) = 2 sin (w t), 0 # t < T
c
T
But here local oscillator is ahead with 45c. so,
f1 (t) = 2 sin (w t + 45c)
c
T
The coordinates of message points are
T

P &[max (x, y)] < 1 0


s11 =# s (t) f (t) dt
0
1 1

2
= # 2E sin w t 2 sin (w t + 45c) dt
T
c c
Since X and Y are independent. 0 T T
P &[max (x, y)] < 1 0 = P b X < 1 l P bY < 1 l = 2E # sin (w t) sin (w t + 45c) dt
T
2 2 2 T 0
c c
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 9 Communications Page 387

2E 2 1 [sin 45c + sin (2w t + 45c)] dt


T
where Q is error function.
=
T 0 2
T # c
Since symbols are equiprobable in the 2 nd case
= 1 E
T
1 dt + 1 E Tsin (2w t + 45c) dt
T # T c # So,
2 P b s11 received l = Q c E m
0 0
1 444444 42 0
444444 43 s21 transmitted N0
= E So the average probability of error
2
= 1 ;P b s21 received l + P b s11 received lE
Similarly, s21 =- E 2 s11 transmitted s21 transmitted
2
Signal space diagram = 1 =Q c E m + Q c E mG = Q c E m
2 N0 N0 N0

S70 Option ( ) is correct.

S71 Option (B) is correct.


General equation of FM and PM waves are given by
t
fFM (t) = Ac cos ;wc t + 2pk f # m (t) dtE
0

fPM (t) = Ac cos [wc t + k p m (t)]


Now here the two message points are s11 and s21 . For same maximum phase deviation.
t
The error at the receiver will be considered. k p [m (t)] max = 2pk f ; m (t) dtE
#
0 max
When : (i) s11 is transmitted and s21 received
k p # 2 = 2pk f [x (t)] max
(ii) s21 is transmitted and s11 received t

So, probability for the 1st case will be as : where, x (t) = # m (t) dt
0

P b s21 received l = P (X < 0) (as shown in diagram)


s11 transmitted
= P _ E/2 + N < 0i
= P _N < - E/2 i
Taking the Gaussian distribution as shown below :

[x (t)] max = 4
So, k p # 2 = 2p k f # 4
kp
= 4p
kf

S72 Option (A) is correct.


Mean of the Gaussian distribution = E/2 jw + a
N GC (s) = s + a =
Variance = 0 s+b jw + b
2
Putting it in the probability function : Phase lead angle f = tan-1 a k - tan-1 a w k
w
a b
P bN < - E l
2 Jw - wN
-1 K a b O = tan-1 w (b - a)
`x + E/2 j f = tan c ab + w 2 m
2
0
1
= # -
e 2N /2 dx 0
KK
1+ w 2
OO
-3
2p N 0 L ab P
2 For phase-lead compensation f > 0
1 e- `x + NE/2 j dx
2
0
b-a > 0
= #
-3 pN 0
0

b>a
x + E/2 Note: For phase lead compensator zero is nearer to the
Taking, =t
N 0 /2 origin as compared to pole, so option (C) can not be true.
dx = N 0 dt
2 S73 Option (A) is correct.
So, P _N < - E/2 i = 1 e- t2 dt Q E f = tan-1 a w k - tan-1 a w k
2

# c N0 m
3

E/N 0 2p a b
To Get Updated Solved Paper by Whatsapp, Send Your
Branch and BTech year to 9414243489 by whatsapp
Page 388 Communications Chapter 9

df 1/a 1/b
= - =0
dw 1 +a k 1 +awk
w 2 2
a b
2 2
1 + w = 1+1w
a ab2 b b a2
1 - 1 = w2 1 - 1
a b ab b a b l
w = ab = 1 # 2 = 2 rad/ sec

S74 Option (D) is correct. Now d2 = r 12 + r 12


Quantized 4 level require 2 bit representation i.e. for one d2 = 2r 12
sample 2 bit are required. Since 2 sample per second r1 = d/ 2 = 0.707d
are transmitted we require 4 bit to be transmitted per
second.

S75 Option (B) is correct.


In FM the amplitude is constant and power is efficient
transmitted. No variation in power.
There is most bandwidth efficient transmission in SSB-
SC. because we transmit only one side band.
Simple Diode in Non linear region ( Square law ) is used in
conventional AM that is simplest receiver structure. q = 2p = 2p = p
M 8 4
In VSB dc. component exists.
Applying Cooine law we have
S76 Option (A) is correct. d2 = r 22 + r 22 - 2r 22 cos p
4
We have Sx (f) = F {Rx (t)} = F {exp (- pt2)} 2 2
= 2r 2 - 2r 2 1/ 2 = (2 - 2 ) r 22
2
= e- pf d
or r2 = = 1.3065d
The given circuit can be simplified as 2- 2

S79 Option (D) is correct.


Here Pe for 4 PSK and 8 PSK is same because Pe depends
on d . Since Pe is same, d is same for 4 PSK and 8 PSK.
Power spectral density of output is
Sy (f) = G (f) 2 Sx (f)
2
= j2pf - 1 2 e- pf
2
= ( (2pf) 2 + 1) 2 e- pf
2
or Sy (f) = (4p2 f 2 + 1) e- pf

S77 Option (B) is correct.


Highest frequency component in m (t) is
fm = 4000p/2p = 2000 Hz
Carrier frequency fC = 1 MHz Additional Power SNR
For Envelope detector condition = (SNR) 2 - (SNR) 1
1/fC << RC << 1/fm
= 10 log b ES2 l - 10 log b ES1 l
1 μs << RC << 0.5 ms No No

S78 Option (D) is correct. = 10 log b ES2 l


ES1
Four phase signal constellation is shown below
= 10 log a r2 k & 20 log a r2 k = 20 log 1.3065d
2
r1 r1 0.707d
Additional SNR = 5.33 dB

S80 Option (C) is correct.


Conventional AM signal is given by
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 9 Communications Page 389

x (t) = AC [1 + mm (t)] cos (2pfC t) P (1/0) = #a/32 0.5e- a n dn = 0.5e-10


Where m < 1, for no over modulation.
In option (C) Pe = 0.5e-10
x (t) = AC :1 + 1 m (t)D cos (2pfC t) S85 Option (C) is correct.
4
S (t) = sin c (500t) sin c (700t)
Thus m = 1 < 1 and this is a conventional AM-signal
4 S (f ) is convolution of two signals whose spectrum covers
without over-modulation
f 1 = 250 Hz and f 2 = 350 Hz . So convolution extends
S81 Option (B) is correct. f = 25 + 350 = 600 Hz
(6) 2 Nyquist sampling rate
Power P = = 18 W N = 2f = 2 # 600 = 1200 Hz
2

S86 Option (D) is correct.


S82 Option (C) is correct.
For the given system, output is written as
Impulse response of the matched filter is given by
h (t) = S (T - t) y (t) = d [x (t) + x (t - 0.5)]
dt
dx (t) dx (t - 0.5)
y (t) = +
dt dt
Taking Laplace on both sides of above equation
Y (s) = sX (s) + se-0.5s X (s)
Y (s)
H (s) = = s (1 + e-0.5s)
X (s)
H (f ) = jf (1 + e-0.5 # 2pf ) = jf (1 + e- pf )
Power spectral density of output
SY (f ) = H (f ) 2 SX (f ) = f 2 (1 + e- pf ) 2 SX (f )
For SY (f ) = 0 , 1 + e- pf = 0
f = (2n + 1) f0
or f0 = 1 KHz

S87 Option (C) is correct.


cos (2pfm t) cos (2pfc t) $ DSB suppressed carrier
cos (2pfc t) $ Carrier Only
S83 Option (B) is correct. cos [2p (fc + fm) t] $ USB Only
Let response of LPF filters [1 + cos (2pfm t) cos (2pfc t)] $ USB with carrier
1, f < 1 MHz
H (f ) = *
0, elsewhere S88 Option (C) is correct.
We have
Noise variance (power) is given as
p (X = 0) = p (Y = 0) = 1
H (f ) No df = 22 (given)
fo 2
P = s2 = #0 2
a
p (X = 1) = p (Y = 1) = 1
2 # 10-20 df = 22
1 # 106
#0 4
a
p (X = 2) = p (Y = 2) = 1
2 # 10 # 10 = 22
-20 6 4
a Let X+Y = 2 $ A
a = 1014
2
and X-Y = 0 $ B
or a = 107 Now
P (A + B)
S84 Option (D) is correct. P (X + Y = 2 X - Y = 0) =
P (B)
Probability of error is given by Event P (A + B) happen when X + Y = 2 and X - Y = 0 .
Pe = 1 [P (0/1) + P (1/0)] It is only the case when X = 1 and Y = 1.
2
a/2 Thus P (A + B) = 1 # 1 = 1
P (0/1) = #- 3 0.5e- a n - a dn = 0.5e-10 4 4 16
Now event P (B) happen when
where a = 2 # 10-6 V and a = 107 V - 1 X - Y = 0 It occurs when X = Y , i.e.
To Get Updated Solved Paper by Whatsapp, Send Your
Branch and BTech year to 9414243489 by whatsapp
Page 390 Communications Chapter 9

X = 0 and Y = 0 or
X = 1 and Y = 1 or = .078125
X = 2 and Y = 2 . .0667
Thus P (B) = 1 # 1 + 1 # 1 + 1 # 1 = 6
2 2 4 4 4 4 16 S93 Option (B) is correct.
P (A + B) 1/16 For positive values step size
Now = =1
P (B) 6/16 6 s+ = 0.05 V
For negative value step size
S89 Option (B) is correct. s- = 0.1 V
The mean is No. of quantization in + ive is
X = Sxi pi (x) = 5 = 5 = 100
= 1 # 0.1 + 2 # 0.2 + 3 # 0.4 + 4 # 0.2 + 5 # 0.1 s+ 0.05
n+
= 0.1 + 0.4 + 1.2 + 0.8 + 0.5 = 3.0 Thus 2 = 100 $ n+ = 7
X2 = Sxi2 pi (x) No. of quantization in - ve
= 1 # 0.1 + 4 # 0.2 + 9 # 0.4 + 16 # 0.2 + 25 # 0.1 Q1 = 5 = 5 = 50
s- 0.1
= 0.1 + 0.8 + 3.6 + 3.2 + 2.5 = 10.2
2 = 50 $ n - = 6
n
-

Variance sx2 = X2 - ^X h2 Thus


S
` N j+ = 1.76 + 6n = 1.76 + 42 = 43.76 dB
+
= 10.2 - (3) 2 = 1.2
S
` N j- = 1.76 + 6n = 1.76 + 36 = 37.76 dB
-
S90 Option (C) is correct.
m (t) = 1 cos w1 t - 1 sin w2 t S
2 2 Best ` N j0 = 43.76 dB
sAM (t) = [1 + m (t)] cos wc t
m (t) max
Modulation index = S94 Option (A) is correct.
Vc
2 2
We have xAM (t) = Ac cos wc + 2 cos wm t cos wc t
m = `1j +`1j = 1 = AC c1 + 2 cos wm t m cos wc t
2 2 2 Ac
2
h= 2 m # 100% For demodulation by envelope demodulator modulation
m +2 index must be less than or equal to 1.
` 2j
1 2 2 #1
Thus
= 1 2 # 100% = 20% Ac
` 2j +2 Ac $ 2
Hence minimum value of Ac = 2
S91 Option (B) is correct.
We have C1 = B log2 `1 + S j S95 Option (A) is correct.
N
S CDF is the integration of PDF. Plot in option (A) is the
. B log2 ` j As S >> 1
N N integration of plot given in question.
If we double the S ratio then
N S96 Option (A) is correct.
C2 . B log2 ` 2S j The entropy is
N m
H = / pi log2 1 bits
. B log2 2 + B log2 S i=1
pi
N
Since p1 = p2 = ... = pn = 1
. B + C1 n
n

S92 Option (C) is correct. H = / n1 log n = log n


i=1
We have SNR = 1.76 + 6n
or 43.5 = 1.76 + 6n S97 Option (C) is correct.
6n = 43.5 + 1.76
PSD of noise is N0 = K ...(1)
6n = 41.74 $ n . 7 2
No. of quantization level is The 3-dB cut off frequency is
27 = 128
fc = 1 ...(2)
Step size required is 2pRC
5 - (- 5)
= VH - VL = = 10 Output noise power is
128 128 128
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 9 Communications Page 391

= N0 = c N0 m 1 = Kpfc S105 Option (C) is correct.


4RC 2 2RC Autocorrelation is even function.

S98 Option (D) is correct. S106 Option (B) is correct.


At receiving end if we get two zero or three zero then its Power spectral density is non negative. Thus it is always
error. zero or greater than zero.
Let p be the probability of 1 bit error, the probability that
transmitted bit error is S107 Option (A) is correct.
= Three zero + two zero and single one The variance of a random variable x is given by
= 3 C3 p3 + 3C2 p2 (1 - p) E [X2] - E2 [X]
= p3 + p2 (1 - p)
S108 Option (A) is correct.
S99 Option (D) is correct. A Hilbert transformer is a non-linear system.
Bandwidth of TDM is
= 1 (sum of Nyquist Rate) S109 Option (D) is correct.
2 Slope overload distortion can be reduced by increasing the
= 1 [2W + 2W + 4W + 6W] = 7W step size
2
3 $ slope of x (t)
Ts
S100 Option (B) is correct.
We have S110 Option (C) is correct.
qi = 2p105 t + 5 sin (2p1500t) + 7.5 sin (2p1000t) sin (4pWt)
We have p (t) =
wi = ddtq i 4pWt (1 - 16W2 t2)
= 2p105 + 10p1500 cos (2p1500t) + 15p1000 cos (2p1000t)
at t = 1 it is 0 form. Thus applying L' Hospital rule
4W 0
Maximum frequency deviation is 4pW cos (4pWt)
p( ) =
1

3wmax = 2p (5 # 1500 + 7.5 # 1000)


4W

4pW [1 - 48W2 t2]


3 fmax = 15000
3f cos (4pWt)
Modulation index is = max = 15000 = 10 = = cos p = 0.5
fm 1500 1 - 48W2 t2 1-3

S101 Option (C) is correct. S111 Option (B) is correct.


The block diagram is as shown below
S102 Option (B) is correct.
fm = 4 KHz
fs = 2fm = 8 kHz
Bit Rate Rb = nfs = 8 # 8 = 64 kbps
The minimum transmission bandwidth is
BW = Rb = 32 kHz
2

S103 Option (C) is correct.


S0
c N m = 1.76 + 6n dB Here M1 (f) = Mt (f)
0
j 2p B
Y1 (f) = M (f) c e + e -j2pB
= 1.76 + 6 # 8 = 49.76 dB We have n = 8
2 m
j 2p B
Y2 (f) = M1 (f) c e - e -j2pB
2 m
S104 Option (B) is correct.
As Noise \ 12 Y (f) = Y1 (f) + Y2 (f)
L All waveform is shown below
To reduce quantization noise by factor 4, quantization
level must be two times i.e. 2L .
Now L = 2n = 28 = 256
Thus 2L = 512
To Get Updated Solved Paper by Whatsapp, Send Your
Branch and BTech year to 9414243489 by whatsapp
Page 392 Communications Chapter 9

Eg1 16a2
Ratio = = =4
Eg2 4a2
S116 Option (A) is correct.
Noise Power is same for both which is N0 .
2
Thus probability of error will be lower for the constellation
1 as it has higher signal energy.

S117 Option (A) is correct.


Area under the pdf curve must be unity
Thus 2a + 4a + 4b = 1
2a + 8b = 1 ...(1)
For maximum entropy three region must by equivaprobable
thus
2a = 4b = 4b ...(2)
From (1) and (2) we get
b = 1 and a = 1
12 6

S118 Option (*) is correct.

S119 Option (B) is correct.


S112 Option (C) is correct. A LPF will not produce phase distortion if phase varies
By Binomial distribution the probability of error is linearly with frequency.
pe = n Cr pr (1 - p) n - r f (w) \ w
Probability of at most one error i.e. f (w) = kw
= Probability of no error + Probability of one error
= n C0 p0 (1 - p) n - 0 + n C1 p1 (1 - p) n - 1 S120 Option (B) is correct.
= n (1 - p) n + np (1 - p) n - 1 Let m (t) is a low pass signal, whose frequency spectra is
shown below
S113 Option (B) is correct.
Bandwidth allocated for 1 Channel = 5 M Hz
Average bandwidth for 1 Channel 5 = 1 MHz
5
Total Number of Simultaneously Channel = 1M # 8 = 40
200k

S114 Option (A) is correct.


Chip Rate RC = 1.2288 # 106 chips/sec Fourier transform of g (t)
Data Rate Rb = RC
3
1
G G (t) = -4
0.5 # 10 k =- 3
/
d (f - 20 # 103 k)
Since the processing gain G must be at least 100, thus Spectrum of G (f ) is shown below
for Gmin we get
6
Rb max = RC = 1.2288 # 10 = 12.288 # 103 bps
Gmin 100

S115 Option (B) is correct.


Energy of constellation 1 is
Eg1 = (0) 2 + (- 2 a) 2 + (- 2 a) 2 + ( 2 a) 2
+ (- 2 2 a) 2
2 2 2 2 2
= 2a + 2a + 2a + 8a = 16a
Energy of constellation 2 is Now when m (t) is sampled with above signal the spectrum
Eg2 = a2 + a2 + a2 + a2 = 4a2 of sampled signal will look like.
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 9 Communications Page 393

S125 Option (A) is correct.


The diagonal clipping in AM using envelop detector can
be avoided if
1 << RC < 1
wc W
But from 1 $ Wm sin Wt
RC 1 + m cos Wt
We can say that RC depends on W , thus
When sampled signal is passed through a LP filter of BW RC < 1
1 kHz, only m (t) will remain. W

S121 Option (C) is correct. S126 Option (B) is correct.


The highest frequency signal in x (t) is 1000 # 3 = 3 kHz
if expression is expanded. Thus minimum frequency S127 Option (B) is correct.
requirement is When 3 /2 is added to y (t) then signal will move to next
f = 2 # 3 # 103 = 6 # 103 Hz quantization level. Otherwise if they have step size less
than 3 then they will be on the same quantization level.
S122 Option (B) is correct. 2
We have S128 Option (C) is correct.
x (t) = 125t [u (t) - u (t - 1)] + (250 - 125t) After the SSB modulation the frequency of signal will be
# [u (t - 1) - u (t - 2)] fc - fm i.e.
The slope of expression x (t) is 125 and sampling 1000 - 10 kHz . 1000 kHz
frequency fs is 32 # 1000 samples/sec. The bandwidth of FM is
Let 3 be the step size, then to avoid slope overload BW = 2 (b + 1) 3 f
3 $ slope x (t) For NBFMb << 1, thus
Ts BWNBFM . 2 3 f = 2 (109 - 106) . 2 # 109
3 fc $ slope x (t)
3# 32000 $ 125 S129 Option (A) is correct.
3 $ 125 We have p (t) = u (t) - u (t - 1)
32000
g (t) = p (t)* p (t)
3 = 2- 8 s (t) = g (t) - d (t - 2)* g (t) = g (t) - g (t - 2)
All signal are shown in figure below :
S123 Option (A) is correct.
The sampling frequency is
fs = 1 = 33 kHz
0.03m
Since fs $ 2fm , the signal can be recovered and are
correlated.
The impulse response of matched filter is
S124 Option (B) is correct. h (t) = s (T - t) = s (1 - t)
We have p1 = 0.25 , p2 = 0.25 and p3 = 0.5 Here T is the time where output SNR is maximum.
3
H = /p1 log2 1 bits/symbol S130 Option (A) is correct.
i=1
p1
We have xAM (t) = 10 [P (t) + 0.5g (t)] cos wc t
= p1 log2 + p2 log2 1 + p3 log2 1
1
p1 p2 p3 where p (t) = u (t) - u (t - 1)
1 1 and g (t) = r (t) - 2r (t - 1) + r (t - 2)
= 0.25 log2 + 0.25 log2 + 0.5 log2 1
0.25 0.25 0.5 For desired interval 0 # t # 1, p (t) = 1 and g (t) = t ,
= 0.25 log2 4 + 0.25 log2 4 + 0.5 log2 2 Thus we have,
= 0.5 + 0.5 + 1 = 3 bits/symbol xAM (t) = 100 (1 - 0.5t) cos wc t
2 2 Hence modulation index is 0.5
Rb = 3000 symbol/sec
Average bit rate = Rb H S131 Option (A) is correct.
= 3 # 3000 = 4500 bits/sec We know thatSYY (w) = H (w) 2 .SXX (w)
2
Now SYY (w) = 16 2 and SXX (w) = 1 white noise
16 + w
To Get Updated Solved Paper by Whatsapp, Send Your
Branch and BTech year to 9414243489 by whatsapp
Page 394 Communications Chapter 9

3f
16 Here b = 2b1 and b1 = = 90 = 18
Thus = H (w) 2 fm 5
16 + w2
4 BW = 2 (b + 1) fm = 2 (2 # 18 + 1) # 5 = 370
or H (w) = kHz
16 + w2
or H (s) = 4 S138 Option (C) is correct.
4+s
which is a first order low pass RL filter. The transfer function of matched filter is
h (t) = x (t - t) = x (2 - t)
S132 Option (A) is correct. The output of matched filter is the convolution of x (t)
We have R = 4 and h (t) as shown below
R + sL 4+s
R
or L4 =
+s R
L
4 + s
Comparing we get L = 1 H and R = 4W

S133 Option (C) is correct.


We have xAM (t) = 10 (1 + 0.5 sin 2pfm t) cos 2pfc t
The modulation index is 0.5
(10) 2
Carrier power Pc = = 50
2
(10) 2 S139 Option (B) is correct.
Side band power Ps = = 50
2 We have H (f) = 2e - jwt d

2 (0.5) 2 (50)
Side band power Ps = m Pc = = 6.25 H (f) = 2
2 2 G0 (f) = H (f) 2 Gi (f) = 4No W/Hz
The noise power is = 4No # B
S134 Option (B) is correct.
Mean noise power = Area under the PSD curve S140 Option (C) is correct.
= 4 ; 1 # B # No E = BNo As the area under pdf curve must be unity
2 2 1 (4 # k) = 1 $ k = 1
The ratio of average sideband power to mean noise 2 2
power is Now mean square value is
Side Band Power = 6.25 = 25 +3
Noise Power N0 B 4No B sv2 = #- 3 v2 p (v) dv
4
S135 Option (D) is correct. = #0 v2 ` v8 j dv as p (v) = 1 v
8
{1 + km (t)} A sin (wc t) $ Amplitude modulation 4 3

dm (t) Asin (wc t) $ DSB-SC modulation


= #0 c v8 m dv = 8
A sin {cos t + km (t)} $ Phase Modulation
A sin [wct + k] t- 3 m (t) dt $ Frequency Modulation S141 Option (D) is correct.
The phase deviation is
S136 Option (C) is correct. 3f
VSB $ fm + fc b= = 10 = 10
fm 1
DSB - SC $ 2fm If phase deviation remain same and modulating
SSB $ fm frequency is changed
AM $ 2fm BW = 2 (b + 1) fm' = 2 (10 + 1) 2 = 44 kHz
Thus SSB has minimum bandwidth and it require
minimum power. S142 Option (B) is correct.
As the area under pdf curve must be unity and all three
S137 Option (A) is correct.
region are equivaprobable. Thus are under each region
Let x (t) be the input signal where
must be 13 .
x (t) = cos (cos t + b1 cos wm t)
cos (2wc t + 2b1 cos wm t) 2a # 1 = 1 $ a = 2
y (t) = x2 (t) = 1 + 4 3 3
2 2
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 9 Communications Page 395

S143 Option (A) is correct. S148 Option (D) is correct.


+a 3 a 3
x $ 1 dx = 1 ; x E = a
a 2 F (x1 # X < x2) = p (X = x2) - P (X = x1)
Nq = #- a x2 p (x) dx = 2 #0 4 2 3 0 6 or P (X = 1) = P (X = 1+) - P (X = 1 -)
Substituting a = 2 we have = 0.55 - 0.25 = 0.30
3
Nq = 4 S149 Option (A) is correct.
81
The SNR at transmitter is
S144 Option (C) is correct. SNRtr = Ptr
NB
When word length is 6 10 -3
S = 109
` N jN = 6 = 2 = 2 10 - 20 # 100 # 106
2 #6 12

In dB SNRtr = 10 log 109 = 90 dB


When word length is 8 Cable Loss = 40 db
S
` N jN = 8 = 2 = 2
2 #8 16
At receiver after cable loss we have
SNRRc = 90 - 40 = 50 dB
^ N hN = 8
S 16
Now S = 212 = 2 4 = 16
^ N hN = 6 2 S150 Option (B) is correct.
Thus it improves by a factor of 16.
The impulse response of matched filter is
h (t) = x (T - t)
S145 Option (B) is correct. Since here T = 4 , thus
Carrier frequency fc = 1 # 106 Hz h (t) = x (4 - t)
Modulating frequency The graph of h (t) is as shown below.
fm = 2 # 103 Hz
For an envelope detector
2pfc > 1 > 2pfm
Rc
1 < RC < 1
2pfc 2pfm
1 < RC < 1
2pfc 2pfm
1 < RC < 1
2p106 2 # 103
From graph it may be easily seen that slope between
1.59 # 10 - 7 < RC < 7.96 # 10 - 5
3 < t < 4 is - 1.
so, 20 msec sec best lies in this interval.
S151 Option (C) is correct.
S146 Option (B) is correct.
The required bandwidth of M array PSK is
SAM (t) = Ac [1 + 0.1 cos wm t] cos wm t
sNBFM (t) = Ac cos [wc t + 0.1 sin wm t] BW = 2Rb
n
s (t) = SAM (t) + SNB fm (t) n
where 2 = M and Rb is bit rate
= Ac [1 + 0.1 cos wm t] cos wc t + Ac cos (wc t + 0.1 sin wm t)
For BPSK, M = 2 = 2n $ n = 1
= Ac cos wc t + Ac 0.1 cos wm t cos wc t
+ Ac cos wc t cos (0.1 sin wm t) - Ac sin wc t. sin (0.1 sin wm t) Thus B1 = 2Rb = 2 # 10 = 20 kHz
1
As 0.1 sin wm t ,+ 0.1 to - 0.1 For QPSK, M = 4 = 2n $ n = 2
so, cos (0.1 sin wm t) . 1
As when q is small cos q . 1 and sin q , q, thus Thus B2 = 2Rb = 10 kHz
2
sin (0.1 sin wm t) = 0.1 sincos wc t cos wm t + Ac cos wc t
- Ac 0.1 sin wm t sin wc t S152 Option (C) is correct.
= 2Ac cos wc t + 0.1Ac cos (wc + wm) t We have fc = 100 MHz = 100 # 106 and fm = 1 MHz
1 44 2 44 3 1 4444 4 2 4444 43
cosec USB = 1 # 106
Thus it is SSB with carrier. The output of balanced modulator is
VBM (t) = [cos wc t][ cos wc t]
S147 Option (A) is correct.
= 1 [cos (wc + wm) t + cos (wc - wm) t]
Consecutive pulses are of same polarity when modulator 2
is in slope overload. Consecutive pulses are of opposite If VBM (t) is passed through HPF of cut off frequency
polarity when the input is constant. fH = 100 # 106 , then only (wc + wm) passes and output of
To Get Updated Solved Paper by Whatsapp, Send Your
Branch and BTech year to 9414243489 by whatsapp
Page 396 Communications Chapter 9

HPF is
VHP (t) = 1 cos (wc + wm) t = 0.2 + 0.1 = 0.15
2 0
Now V0 (t) = VHP (t) + sin (2p # 100 # 106) t
S155 Option (B) is correct.
= 1 cos [2p100 # 106 + 2p # 1 # 106 t] + sin (2p # 100 # 106) t
2 The square mean value is
= 1 cos [2p108 + 2p106 t] + sin (2p108) t #- 3
3
s2 = (x - xq) 2 f (x) dx
2
= 1 [cos (2p108 t) t cos (2p106 t)] - sin [2p108 t sin (2p106 t)
1
2 = #0 (x - xq) 2 f (x) dx
+ sin 2p108 t] 0. 3 0. 1
= #0 (x - 0) 2 f (x) dx + #0.3 (x - 0.7) 2 f (x) dx
= 1 cos (2p106 t) cos 2p108 t + `1 - 1 sin 2p106 t j sin 2p108 t 3 0. 3 3 2 1
2 2 = ; x E + ; x + 0.49x - 14 x E
3 0 3 2 0. 3
This signal is in form or 2
s = 0.039
= A cos 2p108 t + B sin 2p108 t
RMS = s2 = 0.039 = 0.198
The envelope of this signal is
= A2 + B2 S156 Option (C) is correct.
2 2
= ` 1 cos (2p106 t)j + `1 - 1 sin (2p106 t j FM $ Capture effect
2 2
DM $ Slope over load
= 1 cos2 (2p106 t) + 1 + 1 sin2 (2p106 t) - sin (2p106 t)
4 4 PSK $ Matched filter
1 + 1 - sin (2p106 t) PCM $ m - law
=
4
5 - sin (2p106 t) S157 Option (C) is correct.
=
4 Since fs = 2fm , the signal frequency and sampling frequency
are as follows
fm1 = 1200 Hz $ 2400 samples per sec
S153 Option (A) is correct.
fm2 = 600 Hz $ 1200 samples per sec
s (t) = A cos [2p10 # 103 t] + A cos [2p10.1 # 103 t]
1 fm3 = 600 Hz $ 1200 samples per sec
Here T1 = = 100m sec Thus by time division multiplexing total 4800 samples
10 # 103
1 per second will be sent. Since each sample require 12 bit,
and T2 = = 99m sec
10.1 # 103 total 4800 # 12 bits per second will be sent
Period of added signal will be LCM [T1, T2] Thus bit rate Rb = 4800 # 12 = 57.6 kbps
Thus T = LCM [100, 99] = 9900m sec
S158 Option (B) is correct.
Thus frequency f = 1 = 0.1 kHz
9900m The input signal X (f) has the peak at 1 kHz and - 1 kHz.
After balanced modulator the output will have peak at
S154 Option (A) is correct. fc ! 1 kHz i.e. :
The pdf of transmission of 0 and 1 will be as shown below 10 ! 1 $ 11 and 9 kHz
: 10 ! (- 1) $ 9 and 11 kHz
9 kHz will be filtered out by HPF of 10 kHz. Thus 11
kHz will remain. After passing through 13 kHz balanced
modulator signal will have 13 ! 11 kHz signal i.e. 2 and
24 kHz.
Thus peak of Y (f) are at 2 kHz and 24 kHz.

S159 Option (A) is correct.


Probability of error of 1 The input is a coherent detector is DSB - SC signal plus
P (0 # X # 0.2) = 0.2 noise. The noise at the detector output is the in-phase
Probability of error of 0 : component as the quadrature component nq (t) of the noise
P (0.2 # X # 0.25) = 0.05 # 2 = 0.1 n (t) is completely rejected by the detector.
Average error
P (0 # X # 0.2) + P (0.2 # X # 0.25) S160 Option (C) is correct.
= The noise at the input to an ideal frequency detector is
2
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 9 Communications Page 397

white. The PSD of noise at the output is parabolic E [Z2] = E [X2 (4)] = 8
E [YZ] = RXX (2) = 4 [e-0.2 (4 - 2) + 1] = 6.68
S161 Option (B) is correct. E [W2] = sw2 = 8 + 8 - 2 # 6.68 = 2.64
We have Pe = 1 erfc c Ed m
2 2h S165 Option (C) is correct.
Since Pe of Binary FSK is 3 dB inferior to binary PSK 2mp
Step size d = = 1.536 = 0.012 V
L 128
S162 Option (D) is correct. Quantization Noise power
The pdf of Z will be convolution of pdf of X and pdf of 2 (0.012) 2
= d =
Y as shown below. 12 12
z
Now p [Z # z] = #- 3
fZ (z) dz = 12 # 10 V2
-6

-2
p [Z #- 2] = #- 3fZ (z) dz = Area [z #- 2] S166 Option (D) is correct.
The frequency of pulse train is
= 1 # 1 #1 = 1
2 6 12 f 1- 3 = 1 k Hz
10
The Fourier Series coefficient of given pulse train is
-T /2
Cn = 1 #
o

Ae-jnw t dt o

To -T /2 o

-T /6
= 1 #
o

Ae-jhw t dt o

To -T /6 o

= A [e-jw t] --TT //66


o o

To (- jhwo) o

= A (e-jw t - e jhw T /6)


o o o

(- j2pn)
= A (e jhp/3 - e-jhp/3)
j2pn
or Cn = A sin ` np j
pn 3
From Cn it may be easily seen that 1, 2, 4, 5, 7, harmonics
are present and 0, 3, 6, 9,.. are absent. Thus p (t) has 1
kHz, 2 kHz, 4 kHz, 5 kHz, 7 kHz,... frequency component
and 3 kHz, 6 kHz.. are absent.
The signal x (t) has the frequency components 0.4 kHz
and 0.7 kHz. The sampled signal of x (t) i.e. x (t)* p (t)
S163 Option (D) is correct. will have
We have RXX (t) = 4 (e - 0.2 t + 1) 1 ! 0.4 and 1 ! 0.7 kHz
RXX (0) = 4 (e - 0.2 0 + 1) = 8 = s2 2 ! 0.4 and 2 ! 0.7 kHz
or s =2 2 Given 4 ! 0.4 and 4 ! 0.7 kHz
mean m=0 Thus in range of 2.5 kHz to 3.5 kHz the frequency
Now P (x # 1) = Fx (1) present is
X-m 2 + 0.7 = 2.7 kHz
= 1 - Qc
s m
at x = 1
4 - 0.7 = 3.3 kHz
= 1 - Qc 1 - 0 m = 1 - Qc 1 m
2 2 2 2 S167 Option (C) is correct.
vi = Ac1 cos (2pfc t) + m (t)
S164 Option (C) is correct. v0 = ao vi + avi3
W = Y-Z v0 = a0 [Ac cos (2pfc t) + m (t)] + a1 [Ac' cos (2pfc' t) + m (t)] 3
' '

E [W2] = E [Y - Z] 2 = a0 Ac' cos (2pfc' t) + a0 m (t) + a1 [(Ac' cos 2pfc' t) 3


= E [Y2] + E [Z2] - 2E [YZ] + (Ac' cos (2pfc') t) 2 m (t) + 3Ac' cos (2pfc' t) m2 (t) + m3 (t)]
= sw2
We have E [X2 (t)] = Rx (10) = a0 Ac' cos (2pfc' t) + a0 m (t) + a1 (Ac' cos 2fc' t) 3
= 4 [e - 0.2 0 + 1] = 4 [1 + 1] = 8 1 + cos (4pfc' t)
E [Y2] = E [X2 (2)] = 8 + 3a1 Ac'2 ; Em (t)
2
To Get Updated Solved Paper by Whatsapp, Send Your
Branch and BTech year to 9414243489 by whatsapp
Page 398 Communications Chapter 9

= 3a1 Ac' cos (2pfc' t) m2 (t) + m3 (t) S174 Option (A) is correct.
The term 3a1 Ac' ( cos 42pf t ) m (t) is a DSB-SC signal having If s " carrier synchronization at receiver
'
c

carrier frequency 1. MHz. Thus 2fc' = 1 MHz or fc' = 0.5 r " represents bandwidth efficiency
MHz then for coherent binary PSK r = 0.5 and s is required.

S168 Option (D) is correct. S175 Option (B) is correct.


2 Bit Rate = 8k # 8 = 64 kbps
PT = Pc c1 + a m
2 (SNR)q = 1.76 + 6.02n dB
2 P (0.5) 2 = 1.76 + 6.02 # 8 = 49.8 dB
Psb = Pc a = c
2 2
Psb = 1 S176 Option (C) is correct.
or
Pc 8 The frequency of message signal is
fc = 1000 kHz
S169 Option (D) is correct.
1 The frequency of message signal is
AM Band width = 2fm 1
Peak frequency deviation = 3 (2fm) = 6fm fm = = 10 kHz
100 # 10 - 6
6f
Modulation index b = m = 6 Here message signal is symmetrical square wave whose
fm
FS has only odd harmonics i.e. 10 kHz, 30 kHz 50 kHz.
The FM signal is represented in terms of Bessel function Modulated signal contain fc ! fm frequency component.
as Thus modulated signal has
3
xFM (t) = Ac / Jn (b) cos (wc - nwn) t fc ! fm = (1000 ! 10) kH = 1010 kHz, 990 kHz
n =- 3 fc ! 3fm = (1000 ! 10) kH = 1030 kHz, 970 kHz
wc + nwm = 2p (1008 # 103) Thus, there is no 1020 kHz component in modulated
2p106 + n4p # 103 = 2p (1008 # 103), n = 4 signal.
Thus coefficient = 5J4 (6)
S177 Option (C) is correct.
S170 Option (B) is correct. +3

Ring modulation $ Generation of DSB - SC We have y (t) = 5 # 10 - 6 x (t) / d (t - nTs)


n =- 3
VCO $ Generation of FM x (t) = 10 cos (8p # 103) t
Foster seely discriminator $ Demodulation of fm Ts = 100m sec
mixer $ frequency conversion The cut off fc of LPF is 5 kHz
We know that for the output of filter
S171 Option (A) is correct. x (t) y (t) 10 cos (8p # 103) t # 5 # 10 - 6
fmax = 1650 + 450 = 2100 kHz = =
Ts 100 # 10 - 6
fmin = 550 + 450 = 1000 kHz
1 = 5 # 10 - 1 cos (8p # 103) t
or f =
2p LC
frequency is minimum, capacitance will be maximum S178 Option (C) is correct.
f2 Transmitted frequencies in coherent BFSK should be
R = Cmax = max = (2.1) 2
Cmin 2
fmin integral of bit rate 8 kHz.
or R = 4.41
fi = fc + 2fIF = 700 + 2 (455) = 1600 kHz S179 Option (B) is correct.
For best reception, if transmitting waves are vertically
S172 Option (D) is correct. polarized, then receiver should also be vertically polarized
Eb = 10 - 6 watt-sec i.e. transmitter and receiver must be in same polarization.
No = 10 - 5 W/Hz
6
(SNR) matched filler = EN
o
= 10 - 5 = .05 S180 Option (D) is correct.
2
o
2 # 10 s (t) = cos 2p (2 # 106 t + 30 sin 150t + 40 cos 150t)
(SNR)dB = 10 log 10 (0.05) = 13 dB = cos {4p106 t + 100p sin (150t + q)}
Angle modulated signal is
S173 Option (B) is correct. s (t) = A cos {wc t + b sin (wm t + q)}
3 fs Comparing with angle modulated signal we get
For slopeoverload to take place Em $
2pfm Phase deviations b = 100p
This is satisfied with Em = 1.5 V and fm = 4 kHz Frequency deviations
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 9 Communications Page 399

3 f = bfm = 100p # 150 = 7.5 kHz S185 Option (A) is correct.


2p
For any PDF the probability at mean is 1 . Here given
2
PDF is Gaussian random variable and X = 4 is mean.
S181 Option (*) is correct.
We have m (t) s (t) = y1 (t) S186 Option (C) is correct.
2 sin (2pt) cos (200pt)
= We require 6 bit for 64 intensity levels because 64 = 26
t
sin (202pt) - sin (198pt) Data Rate = Frames per second # pixels per frame # bits
= per pixel
t
sin 202p t - sin 198pt + sin 199pt = 625 # 400 # 400 # 6 = 600 Mbps sec
y1 (t) + n (t) = y2 (t) =
t t
y2 (t) s (t) = u (t) S187 Option (C) is correct.
We have
[sin 202pt - sin 198pt + sin 199pt] cos 200pt sin (700pt) sin (500pt)
= sin c (700t) + sin c (500t) = +
t 700pt 500pt
1
= [sin (402pt) + sin (2pt) - {sin (398pt) Here the maximum frequency component is 2pfm = 700p
2
- sin (2pt)} + sin (399pt) - sin (pt)] i.e. fm = 350 Hz
Thus Nyquist rate fs = 2fm = 2 (350) = 700 Hz
sin (2pt) + sin (2pt) - sin (pt) Thus sampling interval = 1 sec
After filtering y (t) = 700
2t
sin (2pt) + 2 sin (0.5t) cos (1.5pt)
= S188 Option (D) is correct.
2t
= sin 2pt + sin 0.5pt cos 1.5pt Probability of error = p
2t t
Probability of no error = q = (1 - p)
Probability for at most one bit error
S182 Option (B) is correct. = Probability of no bit error+ probability of 1 bit error
The signal frequency is = (1 - p) n + np (1 - p) n - 1
3
fm = 24p10 = 12 kHz
2p S189 Option (A) is correct.
Ts = 50m sec " fs = 1 = 1 # 106 = 20 kHz If g (t)
FT
G (w)
Ts 50
then PSD of g (t) is Sg (w) = G (w) 2
After sampling signal will have fs ! fm frequency
Pg = 1 Sg (w) dw
#
3
component i.e. 32 and 12 kHz and power is
2p - 3
At filter output only 8 kHz will be present as cutoff Now ag (t)
FT
aG (w)
frequency is 15 kHz. PSD of ag (t) is Sag (w) = a (G (w)) 2 = a2 G (w) 2
or Sag (w) = a2 Sg (w)
S183 Option (A) is correct. Similarly Pag = a2 Pg
d (n) = x (n) - x (n - 1)
E [d (n)] 2 = E [x (n) - x (n - 1)] 2 S190 Option (C) is correct.
orE [d (n)] = E [x (n)] 2 + E [x (n - 1)] 2 - 2E [x (n) x (n - 1)]
2
The envelope of the input signal is [1 + ka m (t)] that will
or sd2 = sx2 + sx2 - 2Rxx (1) as k = 1
2 be output of envelope detector.
As we have been given sd2 = sx , therefore
10
2 S191 Option (D) is correct.
sx = s2 + s2 - 2R (1)
x x xx Frequency Range for satellite communication is 1 GHz to
10
30 GHz,
or 2Rxx (1) = 19 sx2
10
Rxx = 19 = 0.95 S192 Option (B) is correct.
or
sx2 20 Waveform will be orthogonal when each bit contains
integer number of cycles of carrier.
S184 Option (A) is correct. Bit rate Rb = HCF (f1, f2) = HCF (10k, 25k) = 5 kHz
An ideal low - pass filter with appropriate bandwidth fm Thus bit interval is Tb = 1 = 1
is used to recover the signal which is sampled at nyquist Rb 5k
rate 2fm . = 0.2 msec = 200 msec
To Get Updated Solved Paper by Whatsapp, Send Your
Branch and BTech year to 9414243489 by whatsapp
Page 400 Communications Chapter 9

S193 Option (D) is correct. = e-at [cos Dwt + j sin Dwt]


We have Pm = m2 (t) = exp (- at) exp (jDwt) m (t)
The input to LPF is
x (t) = m (t) cos wo t cos (wo t + q) S199 Option (B) is correct.
Given function
m (t)
= [cos (2wo t + q) + cos q] g (t) = 6 # 10 4 sin c2 (400t) ) 106 sin c3 (100t)
2
Let g1 (t) = 6 # 10 4 sin c2 (400t)
m (t) cos (2wo t + q) m (t) cos q
= + g2 (t) = (106) sin c3 (100t)
2 2
We know that g1 (t) ) g2 (t) ? G1 (w) G2 (w) occupies
The output of filter will be
m (t) cos q minimum of Bandwidth of G1 (w) or G2 (w)
y (t) = Band width of G1 (w) = 2 # 400 = 800 rad/ sec = 400 Hz
2
Band width of G2 (w) = 3 # 100 = 300 rad/ sec or 150 Hz
Power of output signal is
2 Sampling frequency = 2 # 150 = 300 Hz
Py = y2 (t) = 1 m2 (t) cos2 q = Pm cos q
4 4
S200 Option (B) is correct.
For a sinusoidal input SNR (dB) is PCM is obtained by
S194 Option (A) is correct.
following formulae.
Hilbert transformer always adds - 90c to the positive
SNR (dB) = 1.8 + 6n n is no. of bits
frequency component and 90c to the negative frequency
Here n =8
component. So, SNR (dB) = 1.8 + 6 # 8 = 49.8
Hilbert Trans form
cos wt " sin wt S201 Option (D) is correct.
sin wt " cos wt We know that matched filter output is given by
Thus cos w1 t + sin w2 t " sin w1 t - cos w2 t
#
3
g 0 (t) = g (l) g (T0 - t + l) dl at t = T0
-3
S195 Option (A) is correct.
6g 0 (t)@max = # g (l) g (l) dl = #
3 3
g 2 (t) dt
We have -3 -3
x (t) = Ac cos {wc t + b sin wm t} 1 # 10-4

y (t) = {x (t)} 3
= #
0
[10 sin (2p # 106) 2] dt
= Ac2 cos (3wc t + 3b sin wm t) + 3 cos (wc t + b sin wm t) [g 0 (t)] max = 1 # 100 # 10-4 = 5 mV
Thus the fundamental frequency doesn’t change but BW 2
is three times. S202 Option (B) is correct.
BW = 2 (3 f') = 2 (3 f # 3) = 3 MHz Sampling rate must be equal to twice of maximum
frequency.
S196 Option (C) is correct. f s = 2 # 400 = 800 Hz
S197 Option (C) is correct. S203 Option (C) is correct.
This is Quadrature modulated signal. In QAM, two The amplitude spectrum of a gaussian pulse is also
signals having bandwidth. B 1 & B 2 can be transmitted gaussian as shown in the fig.
simultaneous over a bandwidth of (B 1 + B 2) Hz -y 2
fY (y) = 1 exp c
so B.W. = (15 + 10) = 25 kHz 2p 2 m

S198 Option (B) is correct.


A modulated signal can be expressed in terms of its in-
phase and quadrature component as
S (t) = S1 (t) cos (2pfc t) - SQ (t) sin (2pfc t)
Here
S (t) = [e-at cpsDwt cos wc t - eat sin Dwt sin wc t] m (t)

= [e-at cos Dwt] cos 2pfc t - [e-at sin Dwt] sin 2pfc t
= S1 (t) cos 2pfc t - SQ (t) sin 2pfc t
Complex envelope of s (t) is
S (t) = S1 (t) + jSQ (t)
S204 Option (C) is correct.
= e-at cos Dwt + je-at sin Dwt
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 9 Communications Page 401

Let the rectangular pulse is given as so SNR will increased by a factor of 4

S207 Option (A) is correct.


In flat top sampling an amplitude distortion is produced
while reconstructing original signal x (t) from sampled
signal s (t). High frequency of x (t) are mostly attenuated.
This effect is known as aperture effect.

S208 Option (A) is correct.


Carrier C (t) = cos (we t + q)
Auto correlation function is given by Modulating signal = x (t)
T/2
DSB - SC modulated signal = x (t) c (t) = x (t) cos (we t + q)
Rxx (t) = 1 x (t) x (t - t) dt
#
T -T/2 envelope = x (t)
When x (t) is shifted to right (t > 0), x (t - t) will be shown
as dotted line. S209 Option (D) is correct.
In Quadrature multiplexing two baseband signals can
transmitted or modulated using I 4 phase & Quadrature
carriers and its quite different form FDM & TDM.

S210 Option (A) is correct.


Fourier transform perform a conversion from time domain
to frequency domain for analysis purposes. Units remain
same.

S211 Option (A) is correct.


T
2 2
Rxx (t) = 1 A2 dt = A :T + T - tD = A :T - tD
+t

#
2

T T
- +t
T 2 2 T 2 In PCM, SNR is depends an step size (i.e. signal amplitude)
2

(t) can be negative or positive, so generalizing above SNR can be improved by using smaller steps for smaller
equations amplitude. This is obtained by compressing the signal.
2
Rxx (t) = A :T - t D
T 2 S212 Option (C) is correct.
Rxx (t) is a regular pulse of duration T . Band width is same for BPSK and APSK(OOK) which is
equal to twice of signal Bandwidth.

S213 Option (A) is correct.


The spectral density of a real value random process
symmetric about vertical axis so it has an even symmetry.

S214 Option (A) is correct.

S215 Option (C) is correct.


It is one of the advantage of bipolar signalling (AMI) that
S205 Option (B) is correct. its spectrum has a dc null for binary data transmission
Selectivity refers to select a desired frequency while PSD of bipolar signaling is
rejecting all others. In super heterodyne receiver selective
is obtained partially by RF amplifier and mainly by IF
amplifier.

S206 Option (C) is correct.


In PCM, SNR a 22n
so if bit increased from 8 to 9
(SNR) 1 2#8
= 22 # 9 = 22 = 1
(SNR) 2 2 4
To Get Updated Solved Paper by Whatsapp, Send Your
Branch and BTech year to 9414243489 by whatsapp
Page 402 Communications Chapter 9

S216 Option (A) is correct. Minimum sampling freq. = 1.5 # 2 = 3 kHz


Probability Density function (PDF) of a random variable
x defined as S218 Option (B) is correct.
Px (x) = 1 e-x /2 We need a high pass filter for receiving the pulses.
2

2p
so here K = 1 S219 Option (D) is correct.
2p Power spectral density function of a signal g (t) is fourier
transform of its auto correlation function
S217 Option (C) is correct. Rg (t)
F
Sg (w)
Here the highest frequency component in the spectrum is here Sg (w) = sin c2 (f)
1.5 kHz so Rg (t) is a triangular pulse.
[at 2 kHz is not included in the spectrum] f [triang.] = sin c2 (f)

***********
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 10 Electromagnetics Page 403

CHAPTER 10
ELECTROMAGNETICS

GATE 2016 EC01 ONE MARK Q5 Light from the free space is incident at an angle q i to
the normal of the facet of a step-index large core optical
Q1 Concentric spherical shells of radii 2 m, 4 m and fibre. The core and cladding refractive indices are n1 = 1.5
8 m carry uniform surface charge densities of 20 nC/m2 and n2 = 1.4 , respectively.
, - 4 nC/m2 and r s , respectively. The value of r s (nC/m2)
required to ensure that the electric flux density D v = v0 at
radius 10 m is _____.

Q2 The propagation constant of a lossy transmission


line is (2 + j5) m-1 and its characteristic impedance is
(50 + j0) W at w = 106 rad S-1 . The values of the line The maximum value of q i (in degrees) for which the
constants L, C , R, G are, respectively, incident light will be guided in the core of the fibre is
(A) L = 200 mH/m , C = 0.1 mF/m , R = 50 W/m , _____
G = 0.02 S/m
(B) L = 250 mH/m , C = 0.1 mF/m , R = 100 W/m ,
GATE 2016 EC03 ONE MARK
G = 0.04 S/m
(C) L = 200 mH/m , C = 0.2 mF/m , R = 100 W/m ,
Q6 If a right-handed circularly polarized wave is incident
G = 0.02 S/m
normally on a plane perfect conductor, then the reflected
(D) L = 250 mH/m , C = 0.2 mF/m , R = 50 W/m ,
wave will be
(A) right-handed circularly polarized
GATE 2016 EC02 ONE MARK (B) left-handed circularly polarized
(C) elliptically polarized with a tilt angle of 45c
Q3 A uniform and constant magnetic field B = zB t exists (D) horizontally polarized
in the zt direction in vacuum. A particle of mass m with
a small charge q is introduced into this region with an
Q7 Faraday’s law of electromagnetic induction is
initial velocity v = xv
t x + zv
t z . Given that B , m , q , vx and
mathematically described by which one of the following
vz are all non-zero, which one of the following describes
equations?
the eventual trajectory of the particle?
(A) d:Bv = 0
(A) Helical motion in the zt direction
(B) d:Dv = rv
(B) Circular motion in the xy plane
v
(C) Linear motion in the zt direction (C) d # Ev =-2B
2t
(D) Linear motion in the xt direction
v
(D) d # Hv = sEv + 2D
2t
Q4 Let the electric field vector of a plane electromagnetic
wave propagating in a homogenous medium be expressed GATE 2016 EC01 TWO MARKS
t X e-j (wt - bz) , where the propagation constant b is a
as E = xE
function of the angular frequency w . Assume b (w) and Ex
Q8 The current density in a medium is given by
are known and are real. From the information available,
which one of the following CANNOT be determined? Jv = 400 2sin q atr Am-2 .
2p (r + 4)
(A) The type of polarization of the wave
The total current and the average current density flowing
(B) The group velocity of the wave through the portion of a spherical surface r = 0.8 m ,
(C) The phase velocity of the wave p # q # p , 0 # f # 2p are given respectively by
12 4
(D) The power flux through the z = 0 plane.
(A) 15.09 A , 12.86 Am-2 (B) 18.73 A , 13.65 Am-2
To Get Updated Solved Paper by Whatsapp, Send Your
Branch and BTech year to 9414243489 by whatsapp
Page 404 Electromagnetics Chapter 10

(C) 12.86 A , 9.23 Am-2 (D) 10.28 A , 7.56 Am-2 (D) Circular (anti clockwise), Linear, – 3dB

Q9 An antenna pointing in a certain direction has a Q12 The far-zone power density radiated by a helical
noise temperature of 50 K. The ambient temperature is antenna is approximated as:
290 K . The antenna is connected to pre-amplifier that has Wrad = Waverage . atr C 0 12 cos 4 q
$ $

a noise figure of 2 dB and an available gain of 40 dB over r


an effective bandwidth of 12 MHz. The effective input The radiated power density is symmetrical with respect to
noise temperature Te for the amplifier and the noise power f and exists only in the upper hemisphere: 0 # q # p ;
Pao at the output of the preamplifier, respectively, are 2
0 # f # 2p; C 0 is a constant. The power radiated by the
(A) Te = 169.36 K and Pao = 3.73 # 10-10 W
antenna (in watts) and the maximum directivity of the
(B) Te = 170.8 K and Pao = 4.56 # 10-10 W antenna, respectively, are
(C) Te = 182.5 K and Pao = 3.85 # 10-10 W (A) 1.5C 0 , 10 dB (B) 1.256C 0 , 10 dB
(D) Te = 160.62 K and Pao = 4.6 # 10-10 W (C) 1.256C 0 , 12 dB (D) 1.5C 0 , 12 dB

Q10 Two lossless X-band horn antennas are separated by GATE 2016 EC02 TWO MARKS
a distance of 200l . The amplitude reflection coefficients at
the terminals of the transmitting and receiving antennas
Q13 The parallel-plate capacitor shown in the figure
are 0.15 and 0.18, respectively. The maximum directivities
of the transmitting and receiving antennas (over the has movable plates. The capacitor is charged so that the
isotropic antenna) are 18 dB and 22 dB, respectively. energy stored in it is E when the plate separation is d .
Assuming that the input power in the lossless transmission The capacitor is then isolated electrically and the plates
line connected to the antenna is 2 W, and that the antennas are moved such that the plate separation become 2d .
are perfectly aligned and polarization matched, the power
(in mW) delivered to the load at the receiver is _____

Q11 The electric field of a uniform plane wave travelling


along the negative z direction is given by the following
equation: At this new plate separation, what is the energy stored in
EvWi = ^atx + jaty h E 0 e jkz the capacitor, neglecting fringing effects?
This wave is incident upon a receiving antenna placed at (A) 2E
the origin and whose radiated electric field towards the (B) 2E
incident wave is given by the following equation: (C) E
Eva = ^atx + 2aty h EI 1 e-jkr (D) E/2
r
The polarization of the incident wave, the polarization of
the antenna and losses due to the polarization mismatch Q14 A lossless microstrip transmission line consists of a
are, respectively, trace of width w . It is drawn over a practically infinite ground
plane and is separated by a dielectric slab of thickness t
and relative permittivity e r > 1. The inductance per unit
length and the characteristic impedance of this line are L
and Z 0 , respectively.

Which one of the following inequalities is always satisfied?


(A) Z 0 > Lt (B) Z 0 < Lt
(A) Linear, Circular (clockwise), –5 dB e 0 er w e 0 er w
(B) Circular (clockwise), Linear, – 5 dB
(C) Z 0 > Lw (D) Z 0 < Lw
(C) Circular (clockwise), Linear, – 3 dB e 0 er t e 0 er t
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 10 Electromagnetics Page 405

Q15 A microwave circuit consisting transmission lines Q18 Consider an air-filled rectangular waveguide with
T1 and T2 is shown in the figure. The plot shows the dimensions a = 2.286 cm and b = 1.016 cm. The increasing
magnitude of the input reflection coefficient G as a order of the cut-off frequencies for different modes is
function of frequency f . The phase velocity of the signal (A) TE 01 < TE 10 < TE 11 < TE 20
in the transmission lines is 2 # 108 m/s. (B) TE 20 < TE 11 < TE 10 < TE 01
(C) TE 10 < TE 20 < TE 01 < TE 11
(D) TE 10 < TE 11 < TE 20 < TE 01

Q19 A radar operating at 5 GHz uses a common antenna


for transmission and reception. The antenna has a gain of
150 and is aligned for maximum directional radiation and
reception to a regret 1 km away having radar cross-section
of 3 m2 . If it transmit 100 kW, then the received power (in
mW ) is_____

Q20 Consider the charge profile shown in figure. The


resultant potential distribution is best described by

The length L (in meters) of T2 is ______

Q16 A positive charge q is placed at x = 0 between two


infinite metal plates placed at x =- d and at x =+ d
respectively. The metal plates lie in the yz plane.

The charge is at rest at t = 0 , when a voltage + V is


applied to the plate at - d and voltage - V is applied
to the plate at x =+ d . Assume that the quantity of the
charge q is small enough that it does not perturb the field
set up by the metal plates. The time that the charge q
takes to reach the right plate is proportional to
(A) d (B) d
V V

(C) d (D) d
V V
GATE 2015 EC01 ONE MARK

GATE 2016 EC03 TWO MARKS


Q21 The electric field component of a plane wave
traveling in a lossless dielectric medium is given by
Q17 Consider an air-filled rectangular waveguide with
Ey (z, t) = aty 2 cos _108 t - z2 i V/m. The wavelength (in m)
dimensions a = 2.286 cm and b = 1.016 cm. At 10 GHz
for the wave is_______.
operating frequency, the value of the propagation constant
(per meter) of the corresponding propagating mode is
______ Q22 Consider a straight, infinitely long, current carrying
conductor lying on the z-axis. Which one of the following
plots (in linear scale) qualitatively represents the
To Get Updated Solved Paper by Whatsapp, Send Your
Branch and BTech year to 9414243489 by whatsapp
Page 406 Electromagnetics Chapter 10

dependence of H f on r , where H f is the magnitude of (B) increases the effective area of the antenna
the azimuthal component of magnetic field outside the (C) results in a better impedance matching
conductor and r is the radial distance from the conductor
(D) allows more power to be transmitted by the antenna
?

Q26 A coaxial cable is made of two brass conductors.


The spacing between the conductors is filled with Teflon
( e r = 2.1, tan d = 0 ). Which one of the following circuits
can represent the lumped element model of a small piece
of this cable having length Tz ?

GATE 2015 EC02 ONE MARK

Q23 The electric field of a uniform plane electromagnetic


wave is
Ev = (avx + j4avy) exp [j (2p # 107 t - 0.2z)]
The polarization of the wave is
(A) Right handed circular (B)Right handed elliptical
(C) Left handed circular (D) Left handed elliptical

Q24 In a source free region in vacuum, if the electrostatic


potential j = 2x2 + y2 + cz2, the value of constant must be
GATE 2015 EC01 TWO MARKS
_______

Q27 The electric field intensity of a plane wave traveling


GATE 2015 EC03 ONE MARK
in free space is give by the following expression
E (x, t) = ay 24p cos (wt - k 0 x) (V/m)
Q25 The directivity of an antenna array can be increased In this field, consider a square area 10 # 10 cm on a
by adding more antenna elements, as a larger number of plane x + y = 1. The total time-averaged power (in mW)
elements passing through the square area is _____.
(A) improves the radiation efficiency
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 10 Electromagnetics Page 407

Q28 Consider a uniform plane wave with amplitude (E 0 between the antennas is ________.
) of 10 V/m and 1.1 GHz frequency travelling in air, and
incident normally on a dielectric medium with complex
relative permittivity ( e r ) and permeability ( m r ) as shown
in the figure.

Q32 An air-filled rectangular waveguide of internal


dimensions a cm # b cm (a > b) has a cut-off frequency
of 6 GHz for the dominant TE10 mode. For the same
waveguide, if the cut-off frequency of the TM11 mode is
The magnitude of the transmitted electric field component 15 GHz, the cut-off frequency of the TE 01 mode in GHz is
(in V/m) after it has travelled a distance of 10 cm inside ________.
the dielectric region is _______.
Q33 The electric field of a plane wave propagating in a
Q29 A vector Pv is given by Pv = x3 y2 avz . Which one of the lossless non-magnetic medium is given by the following
following statements is TRUE? expression
(A) Pv is solenoidal, but not irrotational E (z, t) = ax 5 cos (2p # 109 t + bz) + ay 3 cos
(B) Pv is irrotational, but not solenoidal p
#a2p # 10 t + bz - 2 k
9

(C) Pv is neither solenoidal nor irrotational


The type of the polarization is
(D) Pv is both solenoidal and irrotational
(A) Right Hand Circular
(B) Left Hand Elliptical
Q30 The longitudinal component of the magnetic field
(C) Right Hand Elliptical
inside an air-filled rectangular waveguide made of a perfect
electric conductor is given by the following expression (D) Linear
Hz (x, y, z, t) = 0.1 cos (25px) cos (30.3py)
× cos (12p # 109 t - bz)(A/m) GATE 2015 EC03 TWO MARKS
The cross-sectional dimensions of the waveguide are given
as a = 0.08 m and b = 0.033 m. The mode of propagation
inside the waveguide is Q34 A vector field D = 2r2 a r + z az exists inside a
(A) TM12 (B) TM21 cylindrical region enclosed by the surfaces r = 1, z = 0
and z = 5 . Let S be the surface bounding this cylindrical
(C) TE21 (D) TE12
##
region. The surface integral of this field on S ( D $ ds)
Get More Study Material by is____. s

Whatsapp. Send Your College Pin


Q35 A coaxial capacitor of inner radius 1 mm and outer
Code, Branch and BTech year to radius 5 mm has a capacitance per unit length of 172 pF/m.
9414243489 by whatsapp If the ratio of outer radius to inner radius is doubled, the
capacitance per unit length (in pF/m) is______.

Q36 Consider the 3 m ling lossless air-filled transmission


GATE 2015 EC02 TWO MARKS line shown in the figure. It has a characteristic impedance
of 120p W , is terminated by a short circuit, and is excited
Q31 Two half-wave dipole antennas placed as shown in with a frequency of 37.5 MHz. What is the nature of the
the figure are excited with sinusoidally varying currents input impedance (Z in )?
of frequency 3 MHz and phase shift of p2 between them
(the element at the origin leads in phase). If the maximum
radiated E -field at the point P in the x -y plane occurs
at an azimuthal angle of 60° the distance d (in meters)
To Get Updated Solved Paper by Whatsapp, Send Your
Branch and BTech year to 9414243489 by whatsapp
Page 408 Electromagnetics Chapter 10

GATE 2014 EC02 ONE MARK

Q39 To maximize power transfer, a lossless transmission


line is to be matched to a resistive load impedance via a
l/4 transformer as shown.

(A) Open (B) Short


(C) Inductive (D) Capacitive

Q37 A 200 m long transmission line having parameters


shown in the figure is terminated into a load RL . The line
is connected to a 400 V source having source resistance RS
through a switch, which is closed at t = 0 . The transient
response of the circuit at the input of the line (z = 0) is also
drawn in the figure. The value of RL (in W ) is_______. The characteristic impedance (in W ) of the l/4 transformer
is ______.

Q40 Which one of the following field patterns represents


a TEM wave travelling in the positive x direction ?
(A) E =+ 8yt, H =- 4zt (B) E =- 2yt, H =- 3zt
(C) E =+ 2zt, H =+ 2yt (D) E =- 3yt, H =+ 4zt

GATE 2014 EC03 ONE MARK

Q41 Consider an air filled rectangular waveguide with a


cross-section of 5 cm # 3 cm . For this waveguide, the cut-
off frequency (in MHz) of TE21 mode is _______.

Q42 In the following figure, the transmitter TX sends


a wideband modulated RF signal via a coaxial cable to
the receiver RX . The output impedance ZT of TX , the
characteristic impedance Z 0 of the cable and the input
impedance ZR of RX are all real.

GATE 2014 EC01 ONE MARK

Q38 The force on a point charge + q kept at a distance d


from the surface of an infinite grounded metal plate in a
medium of permittivity e is
(A) 0
q2
(B) away from the plate (A) The signal gets distorted if ZR ! Z 0 , irrespective of
16ped 2
the value of ZT
q2 (B) The signal gets distorted if ZT ! Z 0 , irrespective of
(C) towards the plate
16ped 2 the value of ZR
q2 (C) Signal distortion implies impedance mismatch at both
(D) towards the plate
4ped 2 ends : ZT ! Z 0 and ZR ! Z 0
(D) Impedance mismatches do NOT result in signal
distortion but reduce power transfer efficiency
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 10 Electromagnetics Page 409

GATE 2014 EC04 ONE MARK ) is _____.

Q43 For an antenna radiating in free space, the electric


GATE 2014 EC02 TWO MARKS
field at a distance of 1 km is found to be 12 mV/m . Given
that intrinsic impedance of the free space is 120p W , the
magnitude of average power density due to this antenna Q48 If the electric field of a plane wave is
at a distance of 2 km from the antenna (in nW/m2 ) is E ^z, t h = xt3 cos ^wt - kz + 30ch - yt4 sin ^wt - kz + 45ch
v
_______. (mV/m),
the polarization state of the plane wave is
(A) left elliptical (B) left circular
Q44 Match column A with column B.
(C) right elliptical (D) right circular
Column A Column B
1. Point electromagnetic P. Highly directional
Q49 In the transmission line shown, the impedance Zin
source
(in ohms) between node A and the ground is _____.
2. Dish antenna Q. End fire
3. Yagi-Uda antenna R. Isotropic
1"P 1"R
(A) 2 " Q (B) 2 " P
3"R 3"Q
1"Q 1"R
(C) 2 " P (D) 2 " Q
3"R 3"P Q50 For a rectangular waveguide of internal dimensions
a # b ^a > b h, the cur-off frequency for the TE11 mode is
the arithmetic mean of the cut-off frequencies for TE10
GATE 2014 EC01 TWO MARKS mode and TE10 mode and TE20 mode. If a = 5 cm, the
value of b (in cm) is______.
Q45 In spherical coordinates, let atq , atf denote unit vectors
along the q , f directions. GATE 2014 EC03 TWO MARKS
E = 100 sin q cos ^wt - br h atq V/m
r
Q51 Given the vector A = ^cos x h^sin y h atx + ^sin x h^cos y h aty
and H = 0.265 sin q cos ^wt - br h atf A/m , where atx , aty denotes unit vectors along x , y directions,
r
respectively. The magnitude of curl of A is ______.
represent the electric and magnetic field components of the
EM wave at large distances r from a dipole antenna, in free
Q52 A region shown below contains a perfect conducting
space. The average power (W) crossing the hemispherical half-space and air. The surface current Kvs on the surface
shell located at r = 1 km , 0 # q # p/2 is ____. of the perfect conductor is Kvs = xt2 amperes per meter.
The tangential Hv field in the air just above the perfect
Q46 For a parallel plate transmission line, let v be conductor is
the speed of propagation and Z be the characteristic
impedance. Neglecting fringe effects, a reduction of the
spacing between the plates by a factor of two results in
(A) halving of v and no change in Z
(B) no changes in v and halving of Z
(C) no change in both v and Z
(D) halving of both v and Z

Q47 The input impedance of a l8 section of a lossless


transmission line of characteristic impedance 50 W is (A) ^xt + zth 2 amperes per meter
found to be real when the other end is terminated by a
load ZL = ^R + jX h W . If X is 30 W , the value of R (in W (B) xt2 amperes per meter
(C) - zt2 amperes per meter
To Get Updated Solved Paper by Whatsapp, Send Your
Branch and BTech year to 9414243489 by whatsapp
Page 410 Electromagnetics Chapter 10

(D) zt2 amperes per meter Q58 v = xatx + yaty + zatz


The divergence of the vector field A
is
Q53 Assume that a plane wave in air with an electric field (A) 0 (B) 1/3
E = 10 cos ^wt - 3x - 3 z h aty V/m is incident on a non-
v (C) 1 (D) 3
magnetic dielectric slab of relative permittivity 3 which
covers the region z > 0 . The angle of transmission in the Q59 The return loss of a device is found to be 20 dB. The
dielectric slab is _______ degrees. voltage standing wave ratio (VSWR) and magnitude of
reflection coefficient are respectively
GATE 2014 EC04 TWO MARKS (A) 1.22 and 0.1 (B) 0.81 and 0.1
(C) – 1.22 and 0.1 (D) 2.44 and 0.2
Q54 The electric field (assumed to be one-dimensional)
between two points A and B is shown. Let y A and y B be
GATE 2013 TWO MARKS
the electrostatic potentials at A and B , respectively. The
value of y B - y A in Volts is
Statement for Linked Answer Questions 60 and 61
A monochromatic plane wave of wavelength l = 600 mm is
propagating in the direction as shown in the figure below.
Evi , Evr and Evt denote incident, reflected, and transmitted
electric field vectors associated with the wave.

Q55 Given Fv = zatx + xaty + yatz . If S represents the


portion of the sphere x2 + y2 + z2 = 1 for z $ 0 , then
#
s
d # Fv : ds is_______.

Q56 If Ev =-^2y3 - 3yz2h xt - ^6xy2 - 3xz2h yt + ^6xyz h zt is


the electric field in a source free region, a valid expression Q60 The angle of incidence qi and the expression for Evi
for the electrostatic potential is are
p # 10 ^x + 2h
4

(A) xy3 - yz2 (B) 2xy3 - xyz2 (A) 60c and E 0 ^atx - atz h e-j 3 2 V/m
(C) y3 + xyz2 (D) 2xy3 - 3xyz2 2
(B) 45c and E 0 ^atx + atz h e-j 3 V/m
4
p # 10 z

2
GATE 2013 ONE MARK p # 10 ^x + z h
4

(C) 45c and E 0 ^atx - atz h e-j 3 2 V/m


2
Q57 Consider a vector field Av ^rvh. The closed loop line
(D) 60c and E 0 ^atx - atz h e-j 3 V/m
4
p # 10 z

integral A #
v : dlv can be expressed as 2
(A) ##^d # Avh : dsv over the closed surface bounded by
the loop Q61 The expression for Evr is
(B) ###^d : A
vh dv over the closed volume bounded by
p # 10 ^x - z h
4

the loop (A) 0.23 E 0 ^atx + atz h e-j 3 2 V/m


2
(C) ### ^d : Avhdv over the open volume bounded by the
(B) - E 0 ^atx + atz h e j 3 V/m
4
p # 10 z
loop
2
(D) ## ^d # Avh : dsv over the open surface bounded by p # 10 ^x - z h
(C) 0.44 E 0 ^atx + atz h e-j 3 2
4

V/m
the loop 2
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 10 Electromagnetics Page 411

inside a rectangular waveguide with the cross-section


p # 10 ^x + z h
4

(D) E 0 ^atx + atz h e-j 3 V/m shown in the figure is


2 Hz = 3 cos (2.094 # 102 x) cos (2.618 # 102 y) cos (6.283 # 1010 t - bz)

GATE 2012 ONE MARK

Q62 A plane wave propagating in air with


E = (8ax + 6ay + 5az ) e j (wt + 3x - 4y) V/m is incident on a
perfectly conducting slab positioned at x # 0 . The E field
of the reflected wave is
(A) (- 8ax - 6ay - 5az ) e j (wt + 3x + 4y) V/m The phase velocity v p of the wave inside the waveguide
(B) (- 8ax + 6ay - 5az ) e j (wt + 3x + 4y) V/m
-
satisfies
(C) (- 8ax - 6ay - 5az ) e j (wt - 3x - 4y) V/m (A) v p > c (B) v p = c
(D) (- 8ax + 6ay - 5az ) e j (wt - 3x - 4y) V/m (C) 0 < v p < c (D) v p = 0

Q63 The electric field of a uniform plane electromagnetic Statement for Linked Answer Question 68 and 69 :
wave in free space, along the positive x direction is given An infinitely long uniform solid wire of radius a carries a
by E = 10 (ay + jaz ) e-j 25x . The frequency and polarization uniform dc current of density J
of the wave, respectively, are
(A) 1.2 GHz and left circular
Q68 The magnetic field at a distance r from the center of
(B) 4 Hz and left circular the wire is proportional to
(C) 1.2 GHz and right circular (A) r for r < a and 1/r 2 for r > a
(D) 4 Hz and right circular (B) 0 for r < a and 1/r for r > a
(C) r for r < a and 1/r for r > a
Q64 A coaxial-cable with an inner diameter of 1 mm (D) 0 for r < a and 1/r 2 for r > a
and outer diameter of 2.4 mm is filled with a dielectric
of relative permittivity 10.89. Given m0 = 4p # 10-7 H/m,
-9 Q69 A hole of radius b (b < a) is now drilled along the
e0 = 10 F/m , the characteristic impedance of the cable length of the wire at a distance d from the center of the
36p
is wire as shown below.
(A) 330 W (B) 100 W
(C) 143.3 W (D) 43.4 W

Q65 The radiation pattern of an antenna in spherical co-


ordinates is given by F (q) = cos 4 q ; 0 # q # p/2 . The
directivity of the antenna is
(A) 10 dB (B) 12.6 dB
(C) 11.5 dB (D) 18 dB
The magnetic field inside the hole is
(A) uniform and depends only on d
GATE 2012 TWO MARKS
(B) uniform and depends only on b
Q66 A transmission line with a characteristic impedance (C) uniform and depends on both b and d
of 100 W is used to match a 50 W section to a 200 W section. (D) non uniform
If the matching is to be done both at 429 MHz and 1 GHz
, the length of the transmission line can be approximately
(A) 82.5 cm (b) 1.05 m GATE 2011 ONE MARK

(C) 1.58 cm (D) 1.75 m


Q70 Consider the following statements regarding the
complex Poynting vector Pv for the power radiated by
Q67 The magnetic field among the propagation direction a point source in an infinite homogeneous and lossless
To Get Updated Solved Paper by Whatsapp, Send Your
Branch and BTech year to 9414243489 by whatsapp
Page 412 Electromagnetics Chapter 10

medium. Re(Pv ) denotes the real part of Pv, S denotes a (D) Hv2 = 3utx + 30uty + 10utz A/m
spherical surface whose centre is at the point source, and
nt denotes the unit surface normal on S . Which of the
Q74 A transmission line of characteristic impedance 50 W
following statements is TRUE?
is terminated in a load impedance ZL . The VSWR of the
(A) Re(Pv ) remains constant at any radial distance from
line is measured as 5 and the first of the voltage maxima
the source
in the line is observed at a distance of l/4 from the load.
(B) Re(Pv ) increases with increasing radial distance from The value of ZL is
the source (A) 10 W (B) 250 W
(C) ## Re (Pv) : nt dS remains constant at any radial (C) (19.23 + j 46.15) W (D) (19.23 - j 46.15) W
s
distance from the source
(D) ## Re (Pv) : nt dS decreases with increasing radial Q75 The electric and magnetic fields for a TEM wave of
s
distance from the source frequency 14 GHz in a homogeneous medium of relative
permittivity er and relative permeability mr = 1 are given
Q71 A transmission line of characteristic impedance 50 W by Ev = E p e j (wt - 280py) utz V/m and Hv = 3e j (wt - 280py) utx A/m .
is terminated by a 50 W load. When excited by a sinusoidal Assuming the speed of light in free space to be 3 # 108 m/s
voltage source at 10 GHz, the phase difference between , the intrinsic impedance of free space to be 120p , the
two points spaced 2 mm apart on the line is found to be relative permittivity er of the medium and the electric
p/4 radians. The phase velocity of the wave along the line field amplitude E p are
is (A) er = 3, E p = 120p (B) er = 3, E p = 360p
(A) 0.8 # 108 m/s (B) 1.2 # 108 m/s (C) er = 9, E p = 360p (D) er = 9, E p = 120p
(C) 1.6 # 108 m/s (D) 3 # 108 m/s
GATE 2010 ONE MARK
Q72 The modes in a rectangular waveguide are denoted
by TE mn
where m and n are the eigen numbers along the
TM mn Q76 If the scattering matrix [S ] of a two port network is
larger and smaller dimensions of the waveguide respectively. 0.2+0c 0.9+90c
[S ] = >
0.9+90c 0.1+90cH
Which one of the following statements is TRUE? , then the network is
(A) The TM 10 mode of the waveguide does not exist (A) lossless and reciprocal
(B) The TE 10 mode of the waveguide does not exist (B) lossless but not reciprocal
(C) The TM 10 and the TE 10 modes both exist and have (C) not lossless but reciprocal
the same cut-off frequencies
(D) neither lossless nor reciprocal
(D) The TM 10 and the TM 01 modes both exist and have
the same cut-off frequencies
Q77 A transmission line has a characteristic impedance of
50 W and a resistance of 0.1 W/m . If the line is distortion
GATE 2011 TWO MARKS less, the attenuation constant(in Np/m) is
(A) 500 (B) 5
Q73 A current sheet Jv = 10uty A/m lies on the dielectric (C) 0.014 (D) 0.002
interface x = 0 between two dielectric media with
er 1 = 5, mr 1 = 1 in Region-1 (x < 0) and er2 = 2, mr2 = 2
Q78 The electric field component of a time harmonic
in Region-2 (x 2 0). If the magnetic field in Region-1
plane EM wave traveling in a nonmagnetic lossless
at x = 0- is Hv1 = 3utx + 30uty A/m the magnetic field in
dielectric medium has an amplitude of 1 V/m. If the
Region-2 at x = 0+ is
relative permittivity of the medium is 4, the magnitude of
the time-average power density vector (in W/m2 ) is
(A) 1 (B) 1
30p 60p

(C) 1 (D) 1
120p 240p

(A) Hv2 = 1.5utx + 30uty - 10utz A/m


(B) Hv2 = 3utx + 30uty - 10utz A/m
(C) Hv2 = 1.5utx + 40uty A/m
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 10 Electromagnetics Page 413

GATE 2010 TWO MARKS plane and parallel to the x - axis. Which components of
the resulting magnetic field are non-zero at the origin ?
Q79 If Av = xyatx + x 2 aty , then # Av $ dlv
o over the path
C
shown in the figure is

(A) x, y, z components (B) x, y components


(A) 0 (B) 2
3 (C) y, z components (D) x, z components
(C) 1 (D) 2 3
Q83 Which of the following statements is true regarding
Q80 A plane wave having the electric field components the fundamental mode of the metallic waveguides shown ?
Ei = 24 cos ^3 # 108 - by h atx V/m and traveling in free
v
space is incident normally on a lossless medium with
m = m0 and e = 9e0 which occupies the region y $ 0 . The
reflected magnetic field component is given by
(A) 1 cos (3 # 108 t + y) atx A/m
10p (A) Only P has no cutoff-frequency
(B) 1 cos (3 108 t + y) at A/m (B) Only Q has no cutoff-frequency
20p # x
(C) Only R has no cutoff-frequency
(C) - 1 cos (3 # 108 t + y) atx A/m (D) All three have cutoff-frequencies
20p

(D) - 1 cos (3 # 108 t + y) atx A/m


10p GATE 2009 TWO MARKS

Q81 In the circuit shown, all the transmission line sections Q84 If a vector field V is related to another vector field
are lossless. The Voltage Standing Wave Ration(VSWR) A through V = 4# A , which of the following is true? (Note
on the 60 W line is : C and SC refer to any closed contour and any surface
whose boundary is C . )
#C
(A) V $ dl = #S #C
A $ dS

(B) #C A $ dl = #S #CV $ d S
(C) #C D # V $ dl = #S #C D # A $ d S
(D) #C D # V $ dl = #S #CV $ d S
(A) 1.00 (B) 1.64
(C) 2.50 (D) 3.00 Q85 A transmission line terminates in two branches, each
of length l , as shown. The branches are terminated by
4
50W loads. The lines are lossless and have the characteristic
GATE 2009 ONE MARK impedances shown. Determine the impedance Zi as seen
by the source.
Q82 Two infinitely long wires carrying current are as
shown in the figure below. One wire is in the y - z plane
and parallel to the y - axis. The other wire is in the x - y
To Get Updated Solved Paper by Whatsapp, Send Your
Branch and BTech year to 9414243489 by whatsapp
Page 414 Electromagnetics Chapter 10

Q91 A uniform plane wave in the free space is normally


incident on an infinitely thick dielectric slab (dielectric
constant e = 9 ). The magnitude of the reflection coefficient
is
(A) 0 (B) 0.3
(C) 0.5 (D) 0.8

(A) 200W (B) 100W Q92 In the design of a single mode step index optical
(C) 50W (D) 25W fibre close to upper cut-off, the single-mode operation is
not preserved if
(A) radius as well as operating wavelength are halved
Q86 A magnetic field in air is measured to be
y t (B) radius as well as operating wavelength are doubled
B = B 0 c 2 x 2 yt - 2
x + y2 m
x
x +y (C) radius is halved and operating wavelength is doubled
What current distribution leads to this field ? (D) radius is doubled and operating wavelength is halved
[Hint : The algebra is trivial in cylindrical coordinates.]
(A) J = B0 z c 2 1 2 m, r ! 0
t
m0 x + y Q93 At 20 GHz, the gain of a parabolic dish antenna of 1
meter and 70% efficiency is
(B) J =- B0 z c 2 2 2 m, r ! 0
t
m0 x + y (A) 15 dB (B) 25 dB
(C) 35 dB (D) 45 dB
(C) J = 0, r ! 0
(D) J = B0 z c 2 1 2 m, r ! 0
t
m0 x + y GATE 2007 ONE MARK

GATE 2008 ONE MARK Q94 A plane wave of wavelength l is traveling in a


direction making an angle 30c with positive x - axis and
Q87 For a Hertz dipole antenna, the half power beam 90c with positive y - axis. The E field of the plane wave
width (HPBW) in the E -plane is can be represented as (E0 is constant)
(A) 360c (B) 180c (A) E = yE
3p p
t 0 e j c wt - l x - l z m
p 3p
t 0 e jc wt - l x - l z m
(B) E = yE
(C) 90c (D) 45c 3 p x+ p z p 3pz
t 0 e jc wt +
(C) E = yE l l m t 0 e jc wt - l x +
(D) E = yE l m

Q88 For static electric and magnetic fields in an


inhomogeneous source-free medium, which of the following Q95 If C is code curve enclosing a surface S , then
represents the correct form of Maxwell’s equations ? magnetic field intensity H , the current density j and the
(A) 4$ E = 0 , 4# B = 0 (B) 4$ E = 0 , 4$ B = 0 electric flux density D are related by
2D
(C) 4# E = 0 , 4# B = 0 (D) 4# E = 0 , 4$ B = 0 (A) ##S
H $ ds =
c
##
c j + 2t m $ d t

GATE 2008 TWO MARKS


(B) #S H $ d l = ##S c j + 22Dt m $ dS

Q89 A rectangular waveguide of internal dimensions (


(C) ##S H $ dS = #C c j + 22Dt m $ d t
a = 4 cm and b = 3 cm) is to be operated in TE11 mode.
The minimum operating frequency is (D) #C H $ d l # = ##S c j + 22Dt m $ ds
c
(A) 6.25 GHz (B) 6.0 GHz
(C) 5.0 GHz (D) 3.75 GHz GATE 2007 TWO MARKS

Q90 One end of a loss-less transmission line having the Q96 The E field in a rectangular waveguide of inner
characteristic impedance of 75W and length of 1 cm is dimension a # b is given by
wm
E = 2 ` l j H0 sin ` 2px j sin (wt - bz) yt
short-circuited. At 3 GHz, the input impedance at the 2

other end of transmission line is h 2 a


(A) 0 (B) Resistive Where H0 is a constant, and a and b are the dimensions
(C) Capacitive (D) Inductive along the x - axis and the y - axis respectively. The
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 10 Electromagnetics Page 415

mode of propagation in the waveguide is Q100 An air-filled rectangular waveguide has inner
(A) TE20 (B) TM11 dimensions of 3 cm # 2 cm. The wave impedance of the
(C) TM20 (D) TE10 TE20 mode of propagation in the waveguide at a frequency
of 30 GHz is (free space impedance h0 = 377 W )
(A) 308 W (B) 355 W
Q97 A load of 50 W is connected in shunt in a 2-wire
(C) 400 W (D) 461 W
transmission line of Z0 = 50W as shown in the figure. The
2-port scattering parameter matrix (s-matrix) of the shunt
element is Q101 A l2 dipole is kept horizontally at a height of l2 0

above a perfectly conducting infinite ground plane. The


radiation pattern in the lane of the dipole (E plane) looks
approximately as

-1 1
0 1
(A) > 12 1H
2
(B) = G
2 - 2 1 0

-1 2 1
- 43
(C) > 23 1H
3
(D) > 43 H
3 -3 -4 1
4

Q98 The parallel branches of a 2-wirw transmission line


Q102 A right circularly polarized (RCP) plane wave is
re terminated in 100W and 200W resistors as shown in
incident at an angle 60c to the normal, on an air-dielectric
the figure. The characteristic impedance of the line is
interface. If the reflected wave is linearly polarized, the
Z0 = 50W and each section has a length of l . The voltage relative dielectric constant xr2 is.
4
reflection coefficient G at the input is

(A) 2 (B) 3
(C) 2 (D) 3

GATE 2006 ONE MARK

Q103 The electric field of an electromagnetic wave


(A) - j 7 (B) - 5 propagation in the positive direction is given by
5 7
E = atx sin (wt - bz) + aty sin (wt - bz + p/2). The wave is
(C) j 5 (D) 5 (A) Linearly polarized in the z -direction
7 7
(B) Elliptically polarized
Q99 The H field (in A/m) of a plane wave propagating in free (C) Left-hand circularly polarized
space is given by H = xt 5 3 cos (wt - bz) + yt` wt - bz + p j (D) Right-hand circularly polarized
h0 2
.
The time average power flow density in Watts is Q104 A transmission line is feeding 1 watt of power to
h
(A) 0 (B) 100 a horn antenna having a gain of 10 dB. The antenna is
100 h0
matched to the transmission line. The total power radiated
(C) 50h20 (D) 50 by the horn antenna into the free space is
h0
(A) 10 Watts (B) 1 Watts
(C) 0.1 Watts (D) 0.01 Watt
To Get Updated Solved Paper by Whatsapp, Send Your
Branch and BTech year to 9414243489 by whatsapp
Page 416 Electromagnetics Chapter 10

GATE 2006 TWO MARKS 2


(C) 4p (D) 20p2
5
Q105 When a planes wave traveling in free-space is
incident normally on a medium having the fraction of GATE 2005 ONE MARK
power transmitted into the medium is given by
(A) 8 (B) 1 Q110 The magnetic field intensity vector of a plane wave
9 2
is given by
(C) 1 (D) 5 H (x, y, z, t) = 10 sin (50000t + 0.004x + 30) aty
3 6
where aty , denotes the unit vector in y direction. The wave
Q106 A medium of relative permittivity er2 = 2 forms an is propagating with a phase velocity.
interface with free - space. A point source of electromagnetic (A) 5 # 10 4 m/s (B) - 3 # 108 m/s
energy is located in the medium at a depth of 1 meter (C) - 1.25 # 107 m/s (D) 3 # 108 m/s
from the interface. Due to the total internal reflection,
the transmitted beam has a circular cross-section over Q111 Refractive index of glass is 1.5. Find the wavelength
the interface. The area of the beam cross-section at the of a beam of light with frequency of 1014 Hz in glass.
interface is given by Assume velocity of light is 3 # 108 m/s in vacuum
(A) 2p m 2 (B) p2 m 2 (A) 3 mm (B) 3 mm
(C) p m 2 (D) p m 2 (C) 2 mm (D) 1 mm
2

Q107 A rectangular wave guide having TE10 mode as GATE 2005 TWO MARKS
dominant mode is having a cut off frequency 18 GHz for
the mode TE30 . The inner broad - wall dimension of the Q112 Which one of the following does represent the
rectangular wave guide is electric field lines for the mode in the cross-section of a
(A) 5/3 cm (B) 5 cm hollow rectangular metallic waveguide ?

(C) 5/2 cm (D) 10 cm

Q108 A medium is divide into regions I and II about


x = 0 plane, as shown in the figure below.

Q113 Characteristic impedance of a transmission line is


50 W. Input impedance of the open-circuited line when the
An electromagnetic wave with electric field transmission line a short circuited, then value of the input
E1 = 4atx + 3aty + 5atz is incident normally on the interface impedance will be.
from region I . The electric file E2 in region II at the (A) 50 W (B) 100 + j150W
interface is (C) 7.69 + j11.54W (D) 7.69 - j11.54W
(A) E2 = E1 (B) 4atx + 0.75aty - 1.25atz
Get More Study Material by
(C) 3atx + 3aty + 5atz (D) - 3atx + 3aty + 5atz
Whatsapp. Send Your College Pin
Q109 A mast antenna consisting of a 50 meter long Code, Branch and BTech year to
vertical conductor operates over a perfectly conducting 9414243489 by whatsapp
ground plane. It is base-fed at a frequency of 600 kHz. The
radiation resistance of the antenna is Ohms is
2 2 Q114 Two identical and parallel dipole antennas are
(A) 2p (B) p kept apart by a distance of l4 in the H - plane. They are
5 5
fed with equal currents but the right most antenna has a
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 10 Electromagnetics Page 417

phase shift of + 90c. The radiation pattern is given as. GATE 2004 ONE MARK

Q118 The phase velocity of an electromagnetic wave


propagating in a hollow metallic rectangular waveguide in
the TE10 mode is
(A) equal to its group velocity
(B) less than the velocity of light in free space
(C) equal to the velocity of light in free space
(D) greater than the velocity of light in free space

Q119 Consider a lossless antenna with a directive gain


of + 6 dB. If 1 mW of power is fed to it the total power
radiated by the antenna will be
(A) 4 mW (B) 1 mW
Statement of Linked Answer Questions 115 and 116
(C) 7 mW (D) 1/4 mW
Voltage standing wave pattern in a lossless transmission
line with characteristic impedance 50 and a resistive load
is shown in the figure. GATE 2004 TWO MARKS

Q120 A parallel plate air-filled capacitor has plate area


of 10 m 2 and plate separation of 10 - 3 m. It is connect
-4

- ed to a 0.5 V, 3.6 GHz source. The magnitude of the


displacement current is ( e = 361p 10 - 9 F/m)
(A) 10 mA (B) 100 mA
(C) 10 A (D) 1.59 mA

Q115 The value of the load resistance is Q121 Consider a 300 W, quarter - wave long (at 1 GHz)
(A) 50 W (B) 200 W transmission line as shown in Fig. It is connected to a 10
(C) 12.5 W (D) 0 V, 50 W source at one end and is left open circuited at
the other end. The magnitude of the voltage at the open
Q116 The reflection coefficient is given by circuit end of the line is
(A) - 0.6 (B) - 1
(C) 0.6 (D) 0

Q117 Many circles are drawn in a Smith Chart used for


transmission line calculations. The circles shown in the
figure represent (A) 10 V (B) 5 V
(C) 60 V (D) 60/7 V

Q122 In a microwave test bench, why is the microwave


signal amplitude modulated at 1 kHz
(A) To increase the sensitivity of measurement
(B) To transmit the signal to a far-off place
(C) To study amplitude modulations
(A) Unit circles
(D) Because crystal detector fails at microwave frequencies
(B) Constant resistance circles
(C) Constant reactance circles
Q123 If E = (atx + jaty) e jkz - kwt and H = (k/wm) (aty + katx ) e jkz - jwt ,
(D) Constant reflection coefficient circles. the time-averaged Poynting vector is
(A) null vector (B) (k/wm) atz
To Get Updated Solved Paper by Whatsapp, Send Your
Branch and BTech year to 9414243489 by whatsapp
Page 418 Electromagnetics Chapter 10

(C) (2k/wm) atz (D) (k/2wm) atz GATE 2003 TWO MARKS

Q129 Medium 1 has the electrical permittivity e1 = 1.5e0


Q124 Consider an impedance Z = R + jX marked with
farad/m and occupies the region to the left of x = 0
point P in an impedance Smith chart as shown in Fig. The
plane. Medium 2 has the electrical permittivity e2 = 2.5e0
movement from point P along a constant resistance circle
farad/m and occupies the region to the right of x = 0
in the clockwise direction by an angle 45c is equivalent to
plane. If E1 in medium 1 is E1 = (2ux - 3uy + 1uz ) volt/m,
then E2 in medium 2 is
(A) (2.0ux - 7.5uy + 2.5uz ) volt/m
(B) (2.0ux - 2.0uy + 0.6uz ) volt/m
(C) (2.0ux - 3.0uy + 1.0uz ) volt/m
(D) (2.0ux - 2.0uy + 0.6uz ) volt/m

Q130 If the electric field intensity is given by


(A) adding an inductance in series with Z E = (xux + yuy + zuz ) volt/m, the potential difference
(B) adding a capacitance in series with Z between X (2, 0, 0) and Y (1, 2, 3) is
(A) + 1 volt (B) - 1 volt
(C) adding an inductance in shunt across Z
(C) + 5 volt (D) + 6 volt
(D) adding a capacitance in shunt across Z

Q131 A uniform plane wave traveling in air is incident


Q125 A plane electromagnetic wave propagating in free
on the plane boundary between air and another dielectric
space is incident normally on a large slab of loss-less, non-
medium with er = 4 . The reflection coefficient for the
magnetic, dielectric material with e > e0 . Maxima and
normal incidence, is
minima are observed when the electric field is measured
(A) zero (B) 0.5+180c
in front of the slab. The maximum electric field is found
to be 5 times the minimum field. The intrinsic impedance (B) 0.333+0c (D) 0.333+180c
of the medium should be
(A) 120p W (B) 60p W Q132 If the electric field intensity associated with a uniform
(C) 600p W (D) 24p W plane electromagnetic wave traveling in a perfect dielectric
medium is given by E (z, t) = 10 cos (2p107 t - 0.1pz) V/m,
then the velocity of the traveling wave is
Q126 A lossless transmission line is terminated in a load
(A) 3.00 # 108 m/sec (B) 2.00 # 108 m/sec
which reflects a part of the incident power. The measured
VSWR is 2. The percentage of the power that is reflected (C) 6.28 # 107 m/sec (D) 2.00 # 107 m/sec
back is
(A) 57.73 (B) 33.33 Q133 Two identical antennas are placed in the q = p/2
(C) 0.11 (D) 11.11 plane as shown in Fig. The elements have equal amplitude
excitation with 180c polarity difference, operating at
wavelength l. The correct value of the magnitude of the
GATE 2003 ONE MARK far-zone resultant electric field strength normalized with
that of a single element, both computed for f = 0 , is
Q127 The unit of 4# H is
(A) Ampere (B) Ampere/meter
(C) Ampere/meter 2 (D) Ampere-meter

Q128 The depth of penetration of electromagnetic wave


in a medium having conductivity s at a frequency of 1
MHz is 25 cm. The depth of penetration at a frequency of
4 MHz will be (A) 2 cos b 2ps l (B) 2 sin b 2ps l
l l
(A) 6.25 dm (B) 12.50 cm
(C) 50.00 cm (D) 100.00 cm (C) 2 cos a ps k (D) 2 sin a ps k
l l
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 10 Electromagnetics Page 419

Q134 A short - circuited stub is shunt connected to a GATE 2002 TWO MARKS
transmission line as shown in fig. If Z0 = 50 ohm, the
admittance Y seen at the junction of the stub and the Q139 A plane wave is characterized by
jp/2 jwt - jkz
transmission line is E = (0.5xt + ye
t )e . This wave is
(A) linearly polarized (B) circularly polarized
(C) elliptically polarized (D) unpolarized

Q140 Distilled water at 25c C is characterized by


s = 1.7 # 10 - 4 mho/m and e = 78eo at a frequency of 3
GHz. Its loss tangent tan d is
( e = 10
36p F/m)
-9

(A) 1.3 # 10-5 (B) 1.3 # 10-3


(C) 1.3 # 10-4 /78 (D) 1.3 # 10-5 /78e0

Q141 The electric field on the surface of a perfect


conductor is 2 V/m. The conductor is immersed in water
(A) (0.01 - j0.02) mho (B) (0.02 - j0.01) mho with e = 80eo . The surface charge density on the conductor
is ( e = 10
36p F/m)
-9

(C) (0.04 - j0.02) mho (D) (0.02 + j0) mho


(A) 0 C/m 2 (B) 2 C/m 2
Q135 A rectangular metal wave guide filled with a (C) 1.8 # 10 - 11 C/m 2 (D) 1.41 # 10 - 9 C/m 2
dielectric material of relative permittivity er = 4 has the
inside dimensions 3.0 cm # 1.2 cm. The cut-off frequency
Q142 A person with receiver is 5 Km away from the
for the dominant mode is
transmitter. What is the distance that this person must
(A) 2.5 GHz (B) 5.0 GHz
move further to detect a 3-dB decrease in signal strength
(C) 10.0 GHz (D) 12.5 GHz (A) 942 m (B) 2070 m
(C) 4978 m (D) 5320 m
GATE 2002 ONE MARK

GATE 2001 ONE MARK


Q136 The VSWR can have any value between
(A) 0 and 1 (B) - 1 and + 1
Q143 A transmission line is distortonless if
(C) 0 and 3 (D) 1 and 3
(A) RL = 1 (B) RL = GC
GC
Q137 In in impedance Smith movement along a constant (C) LG = RC (D) RG = LC
resistance circle gives rise to
(A) a decrease in the value of reactance Q144 If a plane electromagnetic wave satisfies the equal
(B) an increase in the value of reactance d Ex = c2 d2 Ex , the wave propagates in the
2

(C) no change in the reactance value dZ2 dt2


(D) no change in the impedance (A) x - direction
(B) z - direction
Q138 The phase velocity for the TE10 -mode in an air- (C) y - direction
filled rectangular waveguide is (c is the velocity of plane (D) xy plane at an angle of 45c between the x and z
waves in free space) direction
(A) less than c (B) equal to c
(C) greater than c (D) none of these Q145 The plane velocity of wave propagating in a hollow
metal waveguide is
(A) grater than the velocity of light in free space
(B) less than the velocity of light in free space
(C) equal to the velocity of light free space
To Get Updated Solved Paper by Whatsapp, Send Your
Branch and BTech year to 9414243489 by whatsapp
Page 420 Electromagnetics Chapter 10

(D) equal to the velocity of light in free conductor. The E and H field at the boundary will be
respectively,
(A) minimum and minimum
Q146 The dominant mode in a rectangular waveguide is
TE10 , because this mode has (B) maximum and maximum
(A) the highest cut-off wavelength (C) minimum and maximum
(B) no cut-off (D) maximum and minimum
(C) no magnetic field component
(D) no attenuation Q153 If the diameter of a l dipole antenna is increased
2
from l to l , then its
100 50
GATE 2001 TWO MARKS
(A) bandwidth increases (B) bandwidth decrease
(C) gain increases (D) gain decreases
Q147 A material has conductivity of 10 - 2 mho/m and
a relative permittivity of 4. The frequency at which
the conduction current in the medium is equal to the GATE 2000 TWO MARKS
displacement current is
(A) 45 MHz (B) 90 MHz Q154 A uniform plane wave in air impings at 45c angle
(C) 450 MHz (D) 900 MHz on a lossless dielectric material with dielectric constant
dr . The transmitted wave propagates is a 30c direction
Q148 A uniform plane electromagnetic wave incident on with respect to the normal. The value of dr is
a plane surface of a dielectric material is reflected with (A) 1.5 (B) 1.5
a VSWR of 3. What is the percentage of incident power (C) 2 (D) 2
that is reflected ?
(A) 10% (B) 25% Q155 A rectangular waveguide has dimensions 1 cm #
(C) 50% (D) 75% 0.5 cm. Its cut-off frequency is
(A) 5 GHz (B) 10 GHz
Q149 A medium wave radio transmitter operating at a (C) 15 GHz (D) 12 GHz
wavelength of 492 m has a tower antenna of height 124.
What is the radiation resistance of the antenna? Q156 Two coaxial cable 1 and 2 are filled with different
(A) 25 W (B) 36.5 W dielectric constants er1 and er2 respectively. The ratio of
(C) 50 W (D) 73 W the wavelength in the cables (l1 /l2) is
(A) er1 /er2 (B) er2 /er1
Q150 In uniform linear array, four isotropic radiating (C) er1 /er2 (D) er2 /er1
elements are spaced l apart. The progressive phase shift
4
between required for forming the main beam at 60c off the Q157 For an 8 feet (2.4m) parabolic dish antenna
end - fire is : operating at 4 GHz, the minimum distance required for
(A) - p (B) - p2 radians far field measurement is closest to
(C) - p4 radians (D) - p8 radians (A) 7.5 cm (B) 15 cm
(C) 15 m (D) 150 m
GATE 2000 ONE MARK
GATE 1999 ONE MARK
Q151 The magnitudes of the open-circuit and short-
circuit input impedances of a transmission line are 100 Q158 An electric field on a place is described by its
W and 25 W respectively. The characteristic impedance of potential
the line is, V = 20 (r-1 + r-2)
(A) 25 W (B) 50 W where r is the distance from the source. The field is due to
(C) 75 W (D) 100 W (A) a monopole (B) a dipole
(C) both a monopole and a dipole(D) a quadruple
Q152 A TEM wave is incident normally upon a perfect
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 10 Electromagnetics Page 421

Q159 Assuming perfect conductors of a transmission line, (A) 377 (B) 198.5+180c
pure TEM propagation is NOT possible in (C) 182.9+14c (D) 133.3
(A) coaxial cable (B) air-filled cylindrical
waveguide
(C) parallel twin-wire line in air GATE 1998 ONE MARK

(D) semi-infinite parallel plate wave guide


Q166 The intrinsic impedance of copper at high
frequencies is
Q160 Indicate which one of the following will NOT exist (A) purely resistive
in a rectangular resonant cavity.
(B) purely inductive
(A) TE110 (B) TE 011
(C) complex with a capacitive component
(C) TM110 (D) TM111
(D) complex with an inductive component

Q161 Identify which one of the following will NOT satisfy


the wave equation. Q167 The Maxwell equation V # H = J + 2D is based
2t
(A) 50e j (wt - 3z) (B) sin [w (10z + 5t)] on
2
(C) cos (y + 5t) (D) sin (x) cos (t) (A) Ampere’s law (B) Gauss’ law
(C) Faraday’s law (D) Coulomb’s law
Get More Study Material by
Whatsapp. Send Your College Pin Q168 All transmission line sections shown in the figure
Code, Branch and BTech year to is have a characteristic impedance R 0 + j 0 . The input
impedance Zin equals
9414243489 by whatsapp

GATE 1999 TWO MARKS

Q162 In a twin-wire transmission line in air, the adjacent


voltage maxima are at 12.5 cm and 27.5 cm . The operating
frequency is
(A) 300 MHz (B) 1 GHz
(C) 2 GHz (D) 6.28 GHz

Q163 A transmitting antenna radiates 251 W (A) 2 R 0 (B) R 0


isotropically. A receiving antenna, located 100 m away 3
from the transmitting antenna, has an effective aperture
(C) 3 R 0 (D) 2R 0
of 500 cm2 . The total received by the antenna is 2
(A) 10 mW (B) 1 mW
(C) 20 mW (D) 100 mW GATE 1998 TWO MARKS

Q164 In air, a lossless transmission line of length 50 cm Q169 The time averages Poynting vector, in W/m2 , for a
with L = 10 mH/m , C = 40 pF/m is operated at 25 MHz . wave with Ev = 24e j (wt + bz) avy V/m in free space is
Its electrical path length is (A) - 2.4 avz (B) 2.4 avz
p p
(A) 0.5 meters (B) l meters
(C) p/2 radians (D) 180 deg rees (C) 4.8 avz (D) - 4.8 avz
p p

Q165 A plane wave propagating through a medium Q170 The wavelength of a wave with propagation
[er = 8, mr = 2, and s = 0] has its electric field given by constant (0.1p + j0.2p) m-1 is
Ev = 0.5Xet - (z/3) sin (108 t - bz) V/m . The wave impedance,
(A) 2 m (B) 10 m
in ohms is 0.05
To Get Updated Solved Paper by Whatsapp, Send Your
Branch and BTech year to 9414243489 by whatsapp
Page 422 Electromagnetics Chapter 10

(C) 20 m (D) 30 m (C) 1.885 m2 (D) 3.77 m2

Q171 The depth of penetration of wave in a lossy dielectric Q176 The maximum usable frequency of an ionospheric
increases with increasing layer at 60c incidence and with 8 MHz critical frequency
(A) conductivity (B) permeability is
(C) wavelength (D) permittivity (A) 16 MHz (B) 16 MHz
3
(C) 8 MHz (D) 6.93 MHz
Q172 The polarization of wave with electric field vector
E = E 0 e j^wt + bz h ^avx + avy h is
v
(A) linear (B) elliptical Q177 A loop is rotating about they y -axis in a magnetic
(C) left hand circular (D) right hand circular field Bv = B 0 cos (wt + f) avx T. The voltage in the loop is
(A) zero
(B) due to rotation only
Q173 The vector H in the far field of an antenna satisfies
(A) d $ Hv = 0 and d # Hv = 0 (C) due to transformer action only
(B) d $ Hv ! 0 and d # Hv ! 0 (D) due to both rotation and transformer action
(C) d $ Hv = 0 and d # Hv ! 0
(D) d $ Hv ! 0 and d # Hv = 0 Q178 The far field of an antenna varies with distance r
as
(A) 1 (B) 12
Q174 The radiation resistance of a circular loop of one r r
turn is 0.01 W. The radiation resistance of five turns of
(C) 13 (D) 1
such a loop will be r r
(A) 0.002 W (B) 0.01 W
(C) 0.05 W (D) 0.25 W GATE 1997 ONE MARK

Get More Study Material by Q179 A transmission line of 50 W characteristic impedance


Whatsapp. Send Your College Pin is terminated with a 100 W resistance. The minimum
Code, Branch and BTech year to impedance measured on the line is equal to
(A) 0 W (B) 25 W
9414243489 by whatsapp (C) 50 W (D) 100 W

Q180 A rectangular air filled waveguide has cross section


Q175 An antenna in free space receives 2 mW of power of 4 cm #10 cm . The minimum frequency which can
when the incident electric field is 20 mV/m rms. The propagate in the waveguide is
effective aperture of the antenna is (A) 0.75 GHz (B) 2.0 GHz
(A) 0.005 m2 (B) 0.05 m2
(C) 2.5 GHz (D) 3.0 GHz

***********
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 10 Electromagnetics Page 423

SOLUTIONS
S1 Correct answer is - 0.25 . Vv = VX Xt + VZ Zt
x -component of Vv is perpendicular to magnetic filed Bv .
A change moving perpendicular to magnetic field
experience a radial force causing circular motion shown
in figure.
z -component of Vv is parallel to magnetic field Bv . A change
moving parallel to the field generates no force shown in
figure (b).
Motion with components perpendicular and parallel to
the field causes the change to move in a helical path along
+ z direction. Show in figure (c).
Given rs1 = 20 nC/m2
rs2 =- 4 nC/m2
rs 3 = ? (unknown)
Electric flux density at r = 10 m in given by
v = y net leaving the sphere of radian r = 10 m atr
D c Area of sphere of radius r = 10 m m
but Dv=0
y net at r = 10
= 0;

20 # 10 -9 # 4p (2) 2 + (- 4 # 10 -9) # 4p (4) 2 + rs3 4p (8) 2 = 0


S4 Correct option is (D).
r s3 = r s =- 0.25 nc/m 2
Given Ev = xEX e-jw (- bz)
As medium properties and are of z = 0 plane is not given
S2 Correct option is (B). in the data, hence Average power flow (or) power flux
Propagation contact, P = (2 + j5) m-1 , cannot be determined.
characteristic impedance z 0 = 50 W
angular frequency w = 106 rad/sec, S5 Correct answer is 32.58.
P = (R + jwL) (G + jwC) Given n1 = 1.5 , n2 = 1.4
(R + jwL) The maximum angle over which the incident light rays
z0 = entering the fiber is called acceptance angle, q A .
0 (G + jwC )
PZ = R + j wL sin q A = n 12 - n 22
q A = sin-1 n 12 - n 22 = sin-1 1.52 - 1.42
0

R + jw L = (100 + j250) or
R = 100 W/m = 32.58c
L = 2506 = 250 mH/m
10 S6 Correct option is (B).
P = G + jw C
z0
2 5
G + jwC = b 50 + j 50 l

G = 0.04 s/m
C = 5 = 0.2 mF/m
50 # 106
Therefore line constants L, C , R & G are respectively
L = 250 mH/m , C = 0.1 mF/m , R = 100 W/m , G = 0.04 s/m
If the wave is incident on perfect conductor then reflection
S3 Correct option is (A). coefficient is given by
Given Bv = BZt0 E
G / r =- 1
0

Ei 0
To Get Updated Solved Paper by Whatsapp, Send Your
Branch and BTech year to 9414243489 by whatsapp
Page 424 Electromagnetics Chapter 10

Er = Ei +180c
0 0 = 3.73 # 10-10 watts
If incident wave is traveling along + Z direction then the
reflected wave will be traveling along - Z direction. Thus S10 Correct answer is 2.99.
the reflected wave is left hand circularly polarized (LHCP) Given
Lossless horn antennas
S7 Correct option is (C). = hT = h R = 1
Differential form of Faraday’s law is given by Power Gain = Directivity
v Directivity of Txing antenna,
d # Ev =-2B or
2t DT = 18 dB
v 10 log DT = 18
d # Ev =- m2H
2t GT (or) DT = 63.09
Directivity of Rxing antenna,
S8 Correct option is not given.
DR = 22 dB
Current density,
10 log DR = 22
Jv = 400 2sin q ar A/m2 GR or DR = 158.48
2p (r + 4)
input power Pin = 2 W
current passing through the portion of sphere of radius
Spacing, r = 200l
r = 0.8 m is given by
#
I = Jv.dsv (r = constant)
s
dsv = r2 sin qdqdfard
t (a r = 0.8 m)
p
2p
400 sin q r2 sin qdqdf
I = # # 4

q= p f=0 2p (r2 + 4)
2

400 (0.8) 2 p - p p
;a 4 12 k - b sin a 2 k - sin a 6 klE
p
= 2
2p (0.8 + 4)
# (2p)
I = 7.45 Amp
The average current density through the given sphere
surface is
I Friis transmission formula in given by
J = l 2
Area of r = 0.8 m sphere PL = GT GR : 4pr D Pin
= 7.45 = 7.45 Where:
p/4 2p 1.04
(0.8) 2 #
sin qdqdf# Pin : Input power (prime indicates power due to reflection)
Pin = 1 - GT2 Pin = 1 - ^0.15h # 2 = 1.955 W
q = p/2 f = 0 2

J = 7.15 A/m2 l
PL = 63.09 # 158.48 : 4p # 200l D # 1.955
S9 Correct option is (A). = 3.1 # 10-3
As there is a reflection at the terminals of Rxing antenna
power delivered to the load in given by
PL = "1 - G R , # PL
2

= "1 - (0.18) 2, # 3.1 # 10-3 = 2.99 mW

S11 Correct option is (C and D).


10 log 10 NF = 2 dB
log 10 NF = 0.2
NF = 100.2
Noise temperature
= (F - 1) To = (100.2 - 1) 290 = 169.36 K
Noise input power = kTe B
= 1.38 # 10-23 # (169.36 + 50) # 12 # 106
Noise power at output
= (3.632 # 10-14) # 10 4
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 10 Electromagnetics Page 425

Electric field of incident wave is ` Prad = 1.256C 0' Watt


EWi = (atx + jaty) E 0 e jkz Maximum directivity of the antenna in given by
at z = 0 ; D = 4pU max
EvWi = E 0 cos wtatx - E 0 sin wtaty Prad
2
(in time varying form) U = r Wrad
at wt = 0 '

EvWi = E 0 atx U = r2 # C20 cos 4 q


r
At wt = p U 0 = C 0 cos 4 q
'
2 vi
EW = E 0 (- aty)
As a tip of electric field intensity is tracing a circle when U max = C 0'
'
time varies, hence the wave is said to be circularly polarized D = 4pC 0 = 10
1.256C 0
in clockwise direction (or) RHCP. Polarizing vector of
D(dB) = 10 log 10
incident wave is given by.
D = 10 dB
at + jaty
Pti = x
2
S13 Correct option is (A).
radiated electric field from the antenna is
Energy stored when spacing is d is given by
Eva = ^atx + 2aty h EI 1 e-jkg Energy stored = Energy density # volume
g
at r = 0 E1 = Ed # V1
Eva = EI cos wtatx + 2EI cos wtaty V1 = d1 A
(in time varying form) = dA
As both x and y components are in-phase, hence the wave When spacing between the plated is doubled,
is said to be linear polarized. Polarizing vector of radiated d2 = 2d
(at + 2aty) Then, V2 = d2 A
field is Pta = x polarizing mismatch; The polarizing
5 = 2dA
mismatch is said to have, if the polarization of receiving E2 = Ed # 2dA
antenna is not same on the polarization of the incident = 2Ed ^dAh
wave. The polarization loss factor (PLF) characterizes the E2 = 2E1
loss of EM power to polarization mismatch. There with the modified capacitor energy stored is doubled.
2
PLF = Pti .Pta
in dB;
PLF (dB) = 10 log (PLF)

atx + jaty atx + 2aty


2

PLF = b 2 l . e o
5
1 + j2 2
= = 1 (or) 0.5
2 5 2
S14 Correct option is (B).
PLF (dB) = 10 log 0.5
=- 3.0102 S15 Correct answer is 0.1.
S12 Correct option is (B).
Power density radiated by the antenna
W rad = C20 cos 4 qatr W/m2
$

r
Power radiated (or) average power radiated by the antenna
in given by
#
$
Prad = Wrad .dsv
s ZL = 50// - j50 cot bloc
p/2 2p '
C cos 4 qr2 sin qdqdf
= # # q=0 r f=0
0
2
G = Z2 - Z 01 0 only when ZL = Z 01
Z2 + Z0
(a radiated only in the upper hemisphere)
50// - j50 cot bloc = 50
= C 0 (2p) 1 The satisfied only when - j50 cot bloc = 3
5
To Get Updated Solved Paper by Whatsapp, Send Your
Branch and BTech year to 9414243489 by whatsapp
Page 426 Electromagnetics Chapter 10

i.e., bloc = m
2p l = mp = j2p # 10 # 109 # 1 1 - b 6.56 l
2

l oc 3 # 108 10
8 g = j158.07 m-1
loc = ml = mv = m # 2 # 109
2 2f 2 # f # 10 Therefore the value of propagation constant is given by
[a f in GHz, Here f = 0,1,2,3. GHz] g = 158.07 m-1
loc = m
10f S18 Correct option is (C).
f = 1 GHz a = 2.286 cm
(Here f = 1 GHz m = 1 for minimum length loc ) b = 1.016 cm
loc = m = 1 [for m = 1] air filled RWG
10 10
fc^TE h = c 1 +1 (a m = 1, n = 1)
= 0.1
11
2 a2 b2
10
= 3 # 10 1 1
c ^2.216h2 + ^1.016h2 m
S16 Correct option is (C). 2

fc^TE h = 16.15 GHz


11
10
fc^TE h = c = 3 # 10
11
2b 201.016
= 14.76 GHz
10
fc^TE h = c = 3 # 10
20
a 2.286
= 13.12 GHz
10
fc^TE h = c = 3 # 10
When there is no external field, 20
2a 2 # 2.286
Change at rest having potential energy only = 6.56 GHz
P.E. = qvq ` Increasing order of the cut-off frequency is given by
By an application of an external field, change carries TE 10 < TE 20 < TE 01 < TE 11
acquire some kinetic energy, with velocity V .
qv = 1 mv2 S19 Correct answer is 0.0122.
2 Frequency, f = 5 GHz
V = 2eV = 5 # 109 Hz
m 8
wave length, l = c = 3 # 109
Time taken to reach x = d plate is known as gtr ‘Gap f 5 # 10
transit’ time = 0.06 m
tg = d = d2eV Gain of antenna, G = 150
v m Range of target, R max = 1 km
= 103 m
td a d radar cross-section, s = 3 m2
V
transmitted power, Pt = 100 kW
S17 Correct answer is 158.07. The RADAR range equation is given by
Given
2
P # G # 4lp # G # s l2 G m
R max = > t H c A =
^4p42 # PRh
a
Air filled RWG, e
4p
a = 2.286 cm The received power, PR is given by
b = 1.016 cm
f = 10 GHz 100 # 103 # 150 # 150 # ^0.06h2 # 3
PR =
Assume dominant mode ^TE 10h is propagating in the 4p43 # ^103h4
waveguide, cut-off frequency of TE 10 mode is given by = 1.22 # 10-8
10
= 0.0122 mW
fc ^TE 10h = c = 3 # 10
2a 2 # 2.286
fc = 6.56 GHz S20 Correct answer is (D).
Propagation constant g is given by Let us consider b =- 1 and a = 1
g = jbv For line (1):
f 2 Here (-1,0) to (0,-1) the line equation is
= iw m 0 e 0 1 - c c m
f
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 10 Electromagnetics Page 427

y - 0 = - 1 ^t + 1h Since, b = 2p = 1
1 l 2
y =- t - 1 So, l = 2 # p # 2 = 8.885765
-^t + 1h2
-^t + 1h dt =
t
#-1 2
1<1<0 S22 Correct option is (C).
We know that for a straight, infinitely, long current
For line (2) carrying conductor,
Here ^0, - 1h to (1, 0) the line equation is
Hf = 1 r " distance from the current element
y = t-1 2pr

^t - 1h2 So, Hf \ 1
r
^t - 1h dt =
t
# 0 2
0<t<1
S23 Correct option is (D).
Ev = (avx + j4avy) exp [j (2p # 107 t - 0.2z)]
For finding polarization, put Z = 0 so that polarization
can be seen in my plane. Let
Ex = cos wt
w = 2p # 107 t
Ey = 4 cos ` w + p2 j =- 4 sin wt
As y direction component is multiplied by j , so it is p2
-^t + 1h2
At t = 0- : y = = -1 shifted. Hence, it is left hand elliptical polarization.
2 2

S24 Correct answer is - 3 .


Given electrostatic potential
f = 2x2 + y2 + cz2
So, the electric field is obtained as
Ev =- df =-^4xavx + 2yavy + 2Czavz h (1)
In source free region,
d $ Ev = 0
^t - 1h2
At t = 0+ : y = =1 Substituting equation (1), we get
2 2
d $ ^- 4xavx - 2yavy - 2Czavz h = 0
or - 4 - 2 - 2C = 0
or C =- 3

S25 Correct option is (B).

S26 Correct option is (B).


Given that
tan d = 0
Get More Study Material by tan d = loss tangent = s = 0
we
Whatsapp. Send Your College Pin So, s =0
Hence, conductivity is G = 0
Code, Branch and BTech year to
9414243489 by whatsapp S27 Correct answer is 53 to 54.

S21 Correct answer is 8.8858. S28 Correct answer is 1.


E ^z, t h = aty 2 cos b108 t - z l V/m Electromagnetic wave travels from air medium to dielectric
2 medium. In both the medium,
Comparing with the general form, s =0
E ^z, t h = aty A cos ^wt - bz h V/m So, electric field of EM wave is defined as
we get E i = E i0 e - b z
1

b= 1 and E t = E t 0 e- b z
2

2 Also, we have
To Get Updated Solved Paper by Whatsapp, Send Your
Branch and BTech year to 9414243489 by whatsapp
Page 428 Electromagnetics Chapter 10

Et 0 = 2h 2 = 2 m 2 /e 2 2^x3 y h 2^- x2 y2h 2^x3 y h


Ei 0 h 2 + h1
- F + az = - G
m 2 /e 2 + m 1 / e 1 2z 2x 2y
For air medium, we have = atx ^- x2 z - 0h - aty 6- 2xyz - 0@ + atz 6- 2xy2 - x3@
m1 = m 0 , e1 = e 0
= ^- x2 z h atx + ^2xyz h aty - ^2xy2h atz ! 0
and for dielectric medium
m 2 = mr m 0, e 2 = er e 0
S30 Correct option is (C).
So, we get
We have the expression,
E t 0 = 2 m r /e r Hz ^x, y, z, t h = 0.1 cos ^25px h cos ^30.3py h cos
Ei 0 m r /e r + 1 ^12p # 109 t - bz h A/m
= 2
1+1 ^m r = 1 - j 2, e r = 1 - j 2h and the cross sectional dimension is
a = 0.08 m , b = 0.033 m
=1
Now, we compare the equation for TEm, n mode whose Hz
Since, we have
Ei0 = 10 V/m is given as
n py
Hz ^x, y, z, t h = H 0 cos a mpx k cos a
b k ^
and f = 1.1 GHz = 1.1 # 109 Hz. cos wt - bz h A/m
a
So, we obtain So, m p x = 25px
a
Et 0 = E i 0
= 10 V/m or m =2
n py
In dielectric medium, phase constant is and = 30.3yp
b
b2 = w r e
mr er or n =1
= 2p ^1.1 # 109h Hence, given mode is TE21 mode.
3 # 108
Hence, it is not irrotational.
= 22p ^1 - j 2h2
3
S31 Correct answer is 50.
= 22p ^1 - j 2h
3 For maximum electric field, we have
Therefore, the electric field at any distance z from the y = bd cos q + a = 0 (1)
interface is obtained as where
Et ^z h = Et 0 e-jb z 2
b = 2p =
2p f
-
j 22p
^1 - j 2hz
l 3 # 108 ms
= 10e
2p # ^3 # 106h
3

= 2p
j 22p
- 44p z
= 810e e 3 B
-
3
z =
3 # 108 100
Hence, the magnitude of electric field at z = 10 cm is q = Azimuthal angle = 60c
Et ^z = 0.1 mh = 10e- 3 # 0.1
44p

a = Phase shift =- p
4.4p
= 10e- 3 = 1 V/m 2
Substituting these values in equation (1), we get
^2ph
S29 Correct option is (A). d cos ^60ch + c- p m = 0
Given vector, 100 2
Pv = x3 yatx - x2 y2 aty - x2 yzatz d = p # 100 = 50 m
2p
If divergence d : Pv = 0 , then vector Pv is solenoidal. So,
we obtain
2P S32 Correct answer is 13.74.
d : Pv = 2Px + y + 2Pz We have rectangular waveguide with a cm # b cm ^a > b h
2x 2y 2z
= 3x y - 2x y - x2 y = 0
2 2 For TE10 , fC = 6 GHz
Hence, it is solenoidal. For TM11 , fC = 15 GHz
Again, if curl d # Pv = 0 , then Pv is irrotational. So, we Since, we have
2 2
obtain fC = C c m m + d n n
atx aty atz 2 a b
8
So, 15 # 109 = 3 # 10 1 +1
v
d # P = 2x 2 2 2
2y 2z
2 a2 b2
x3 y - x2 y2 - x2 yz
2^- x2 yz h 2^- x2 y2h 1 + 1 = 15 # 109 # 2
2^- x2 yz h
== - G x
at - aty< a2 b2 3 # 108
2y 2z 2x
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 10 Electromagnetics Page 429

^ h
1 + 1 = 100 2 S34 Correct answer is 78.52.
a2 b2 Given vector field,
8
1 2
and 6 GHz = 3 # 10
2 b al
D = 2r2 a r + zaz
By using divergence theorem,
8
6 # 109 = 3 # 10 # 1 #(d $ D) dV = D $ dS#
2 a V S
2Df 2Dz
d $ D = 1 2 (rD r) + 1
2
b a1 l + b b1 l
2
100 = +
r 2r r 2f 2z
= 1 2 (r2r2) + 0 + 1
8
a = 3 # 10 9 r 2r
2 # 6 # 10
Therefore, a = 1 m = 1 (2) (3) r2 + 1 = 6r + 1
40 r
1 2p 5
Again, ^100h2 = ^40h2 + b 1 l
b
2
# (dD) dV
V
= # # # (6r + 1) (r) dr df dz
r=0 f=0 z=0
2 = 78.52
^100h2 + ^40h2 = b b1 l
2 S35 Correct answer is 120.22.
^140h^60h = b b1 l
Capacitance of coaxial capacitor is defined as
b = 91.65 C = 2pebl
ln ^ a h
C1 = ln ^ a h
8
1 2
b bl
b
fC = 3 # 10
2

For TE 01 , So, 2

ln _ b i
2 C2 b 1

ln ^ 101 h
1
8 8
= 3 # 10 # 1 = 3 # 10 # 91.65 172 pF/m
=
2 b 2 C2 ln (5)
= 13.7442 GHz C2 = 120.22 pF/m.

S33 Correct option is (B) S36 Correct option is (D)


Ev^z, t h = ax 5 cos ^2p # 109 t + bz h + ay 3 cos Given
p
#c 2p # 10 t + bz - 2 m L = 3 m (lossless)
9

Z 0 = 120p W , ZL = 0 W
So, Ex = 5 cos ^2p # 109 + bz h For lossless line, we have
Ey = 3 cos c 2p # 109 + bz - p m ZL + jZ 0 tan bL
Z in = Z 0 c Z + jZ tan bL m (1)
2
0 L
Since phase difference between Ex and Ey is p2 , and Now, l=?
magnitudes are not equal. So, this is elliptical polarization. f = 37.5 MHz
Now, we have to determine direction. C = fl
At z = 0 Ex = 5 cos ^2p # 109 t h 8
So, l = 3 # 10 6 = 8
Ey = 3 cos c 2p # 109 t - p m 37.5 # 10
2
bL = 2p (L) = 2p (3) = 3p
For t = 1, t = 2 ,...... we have the circulation as shown l 8 4
below. Put ZL = 0 in equation (1),
Z in = jZ 0 tan bL
Z in = j (120p) tan 3p
4
or Z in < 0 ( tan 3p/4 = negative quantity)

Hence, input impedance is capacitive in nature.

S37 Correct answer is 30 .


We form the bounce diagram for the given transmission
line as

Hence, the polarization is left hand elliptical.


To Get Updated Solved Paper by Whatsapp, Send Your
Branch and BTech year to 9414243489 by whatsapp
Page 430 Electromagnetics Chapter 10

Since, the force will be attractive, so the force on point


charge + q due to metal surface is
q2
F = towards the plate
16pe 0 d 2
S39 Correct answer is 70.7 W .
Given the input impedance,
Zin = 50 W
Load impedance,
ZL = 100 W
For a l/4 transformer, the input impedance is given as
2
Z in = Z o
Here, GG and G L are the reflection coefficients at generator ZL
and load end, defined as So, we obtain the characteristic impedance as
G L = RL - 50 (1) Zo = Z in ZL = 50 # 100 = 5000
RL + 50
= 70.7 W
and GG = 1 (2)
2
S40 Correct option is (B).
Again, we have The direction of propagation of a TEM wave is given as
V ^t = 2ms, Z = 0h = 62.5 avTEM = avE # avH
So, we can write where avE is direction of electric field, avH is direction of
62.5 = V ^t = 0, z = 0h + V ^t = 1, z = 0h
magnetic field.
+ V ^t = 2, z = 0h
From the given options, we have the option (B) with
62.5 = 100 + G L ^100h + GG G L ^100h
Ev =- 2yt ; avE =- yt
Substituting equation (2), we get
Hv =- 3zt ; avH =- zt
GL = - 1 So, the direction of TEM wave is
4
Hence, substituting the above result in equation (1), we avTEM = (- yt) # (- zt) = xt
obtain i.e. the TEM wave travelling in positive x -direction.
RL = 30 W
S41 Correct answer is 7810.
S38 Correct option is (C). We have the dimensions of rectangular waveguide as
Consider the point charge + q and infinite surface as a = 5 cm
b = 3 cm
shown below.
So, the cutoff frequency is
2 2
fc = u c m m + d m n
2 a b
For TE21
8
fc = 3 # 10 4 + 1 102
2 25 9 #
Hence, fc = 7810 mHz.

S42 Correct option is (C).


We can replace the image charge by a negative equivalent
charge - q placed at 2d distance from + q charge. The given transmission line is redrawn as

Hence, the force experienced by + q charge is If either of ZR and ZT is matched with Z 0 , it will cause
2
qq 1 q = q2
F = 1 = complete absorption of signal, travelling on the line. So,
4pe 0 ^2d h2 4pe 0 4d 2 16pe 0 d 2
for distorted signal, we have both conditions
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 10 Electromagnetics Page 431

ZT ! Z 0
and ZR ! Z 0

S43 orrect answer is 47.7.


Given E 0 = 12 mv/m at r = 1 km
h = 120p
For an antenna, the electric field is inversely proportional
to the distance, i.e.
E\ 1
r
Also, we have
So, at r = 2 km, the electric field is
Kvs = 2xt
E = E 0 = 6 mV/m Applying Ampere’s law,
2
Hence, the average power density is # v dl = K dl
Hv $ dlv = Ienc or H s

E 2 36 # 10-6 or H = 2 Hence, Hv = 2zt


Pave = = = 0.0477 # 10-6
2h 2 # 120p
= 47.7 nW/m2 S53 Correct answer is 60.
Assume that the plane wave is incident on the non-
S44 Correct option is (C). magnetic dielectric slab with an angle q i , as shown in
The correct match for column A and column B is
1"Q figure below.
2"P
3"R
S45 Correct answer is 55.5.

S46 Correct option is (B).

S47 Correct answer is 40.

S48 Correct option is (A).


Given electric field of the wave in medium 1,
S49 Correct answer is 33.33 ohm. Ev = 10 cos ^wt - 3x - 3 z h ay
t V/m

S50 Correct answer is 2. = 10 cos _wt - ^3xt + 3 zth $ ^x xt + z zthi yt


So, we get the travelling direction of plane wave
S51 Correct answer is 0 .
kt = 3xt + 3 zt = 3x + 3 z
t t
Given vector is 12
A = ^cos x h^sin y h atx + ^sin x h^cos y h aty From the vector property, we have
cos q i = kt : (normal to plane)
atx aty atz
2 2 2 = e 130x + 3 az o : ^az h = 1
d#A= 12 2
2x 2y 2z
cos x sin y sin x cos y 0 Hence, q i = 60c
= atx ^0 - 0h + aty ^0 - 0h
+ atz "cos x cos y - cos x cos y , S54 Correct answer is - 15 V.
=0 The given curve shows the variation of electric field from
point A to B .
S52 Correct option is (D).
We redraw the given system of two regions.
To Get Updated Solved Paper by Whatsapp, Send Your
Branch and BTech year to 9414243489 by whatsapp
Page 432 Electromagnetics Chapter 10

= 1 : q + sin 2q D = p = 3.141
2p

2 2 0

S56 Correct option is (D).


Given electric field,
Ev =-^2y3 - 3yz2h xt - ^6xy2 - 3xz2h yt + 6xyz zt
So, the electrostatic potential is given by
V =- Ev $ drv =- Ev^dx xt + dy yt + dz zth
# #
=-: # -^2y 3
- 3yz2h dx - # ^6xy 2
- 3xz2h dy

+ # 6xyzdzD
The area under E - x graph will give the potential difference
between two points, i.e. = # ^2y 3
- 3yz2h dx + # ^6xy 2
- 3xz2h dy
y A - y B = Area
= 20 kv/cm # 5 # 10-4 cm
- # 6xyzdz
+ 1 # 20 # 5 # 10-4
2 = # ^2y dx + 6x ydy h - # 3yz dx
3 2 2

= 10 + 5 - # 3xz dy - # 6xydz 2

y A - y B = 15 V
Hence, we get = # d ^2xy h - # d ^3xyz h
3 2

y B - y A =- 15 V
= 2xy3 - 3xyz2
S55 Correct answer is 3.141.
S57 Option (D) is correct.
Given vector field,
Stoke’s theorem states that the circulation a vector field
Fv = zatx + xaty + yatz v around a closed path l is equal to the surface integral of
Consider the surface S shown below that represents the A
v over the open surface S bounded by l .
the curl of A
portion of the sphere x2 + y2 + z2 = 1 for z $ 0 .
#
i.e., Av : dlv = ^d # A vh : dsv
##
Here, line integral is taken across a closed path which is
denoted by a small circle on the integral notation where
as, the surface integral of ^d # A vh is taken over open
surface bounded by the loop.

S58 Option (D) is correct.


Given, the vector field
v = xavx + yavy + zavz
A
so,
d$A v ) = 2Ax + 2Ay + 2Az
v (Divergence of A
Hence, we obtain 2x 2y 2z
# ^d # Fv h $ ds = # ^za x + xay + yaz h^dx atx + dy aty + dz atz h = 1+1+1 = 3
s c

At the boundary c , we have S59 Option (A) is correct.


z = 0 , dz = 0 Given, the return loss of device as 20 dB
Hence, the integral reduces to i.e., G in dB =- 20 dB (loss)
^ h
#^d # Fv h $ ds = xdy #
s c or, 20 log G =- 20
2 2
where x + y = 1. We put x = cos q , y = sin q & dy = cos qdq
& G = 10-1 = 0.1
. So, the integral becomes
Therefore, the standing wave ration is given by
^d # Fv h $ ds =
2p 2p
# # cos2 qdq = 1 #
2 cos2 qdq 1+ G
s 0 2 0 VSWR = = 1 + 0.1 = 1.1 = 1.22
1- G 1 - 0.1 0.9
2 0^
cos 2q + 1h dq
2p
1
= #
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 10 Electromagnetics Page 433

S60 Option (C) is correct. Ero


= T 11'
For the given incidence of plane wave, we have the Eio
transmitting angle or Ero = G z Eio =- 0.23 Eio
qt = 19.2c Hence, the expression of reflected electric field is
From Snell’s law, we know Evr =- 0.23 Eo _- avx - avz i e-j 3 k
4
p # 10
(2)
n1 sin qi = n2 sin qt 2
Again, we have the propagation vector of reflected wave as
c m1 e1 sin qi = c m2 e2 sin qt ...(1) v v
For the given interfaces, we have avk = ax - az
2
m1 = m2 = 1 x - z
e1 = 1, e2 = 4.5 or, k =
2
So, from Eq. (1) Substituting it in Eq. (2), we get
sin qi = 4.5 sin 19.2
Evr =- 0.23 Eo _- avx - avz i e-j 3 b 2 l
4
p # 10 x - z

or, qi . 45c 2
Now, the component of Evi can be obtained as jp # 10 ^x - z h V
4

Evr = 0.23 Eo _avx + avz i e- 3 2


Evi = _Eox avx - Eoz avz i e-jbk
m
2
(observed from the shown figure)
Since, the angle qi = 45c so, S62 Option (C) is correct.
Eox = Eoz = Eo Electric field of the propagating wave in free space is given
2 as
Therefore, Evi = Eo _avx - avz i e-jbk ...(1) Ei = (8ax + 6ay + 5az ) e j (wt + 3x - 4y) V/m
2
So, it is clear that wave is propagating in the direction
Now, the wavelength of EM wave is
l = 600 mm (- 3ax + 4ay).
Since, the wave is incident on a perfectly conducting slab
So, b = 2p = p # 10 4 at x = 0 . So, the reflection coefficient will be equal to - 1.
l 3
i.e. Er = (- 1) Ei =- 8ax - 6ay - 5az
0 0

Also, direction of propagation is Again, the reflected wave will be as shown in figure.
v v
avk = ax + az
2
So, k = x + z
2
Substituting it in equation (1), we get
p # 10 ^x + z h
4

Evi = Eo _avx - avz i e-j 3 2


2

S61 Option (A) is correct.


We obtain the reflection coefficient for parallel polarized i.e. the reflected wave will be in direction 3ax + 4ay . Thus,
wave (since, electric field is in the plane of wave the electric field of the reflected wave will be.
propagation) as Ex = (- 8ax - 6ay - 5az ) e j (wt - 3x - 4y) V/m
h cos qt - h1 cos qi
Gz = 2 ...(1) S63 Option (A) is correct.
h2 cos qt + h1 cos qi
As we have already obtained The field in circular polarization is found to be
qi = 45c, qt = 19.2c Es = E 0 (ay ! jaz ) e-jbx propagating in + ve x
m 1 = h0 -direction.
Also, h2 = = h0 where, plus sign is used for left circular polarization and
e 4.5 4.5
m minus sign for right circular polarization. So, the given
and h1 = = h0 1 = h0 problem has left circular polarization.
e 1
Substituting these in eq. (1) we get b = 25 = w
c
G z = cos 19.2c - 4.5 cos 45c 2pf 8
cos 19.2c + 4.5 cos 45c 25 = & f = 25 # c = 25 # 3 # 10
c 2p 2 # 3.14
=- 0.227
= 1.2 GHz
.- 0.23
Therefore, the reflected field has the magnitude given by
To Get Updated Solved Paper by Whatsapp, Send Your
Branch and BTech year to 9414243489 by whatsapp
Page 434 Electromagnetics Chapter 10

S64 Option (B) is correct. Substituting above values,


10 2
Let b " outer diameter
b = c 6.283 # 10 8 m - (2.094 + 2.618 ) # 10
2 2 4
a " inner diameter 3 # 10
Characteristic impedance, - j261
m0
ln b
e0 er b a l
Z0 =
b is imaginary so mode of operation is non-propagating.
-7
4p # 10 # 36p ln 2.4 = 100 W vp = 0
=
10-9 # 10.89 b 1 l
S68 Option (C ) is correct.
S65 Option (A) is correct. For r > a , Ienclosed = (pa2) J
The directivity is defined as #H : dl = Ienclosed
D = Fmax H # 2pr = (pa2) J
Favg
Fmax = 1 H = Io Io = (pa2) J
2pr
Favg = 1 F (q, f) dW
#
4p H \ 1 , for r > a
2p 2p
r
= 1 ; # # F (q, f) sin qdq dfE J (pr 2) Jr 2
4p 0 0 For r < a , Ienclosed = = 2
2p p/2 pa 2 a
= 1 ; # # cos 4 q sin qdqdfE
4p 0 0 So, # H : dl = I enclosed
2
H # 2pr = Jr2
5 p/2
= 1 ;2p b- cos q lE = 1 # 2p :- 0 + 1 D
4p 5 0
4p 5 a
= 1 2 p = 1 H = Jr 2
4p # 5 10 2pa
D = 1 = 10 H \ r , for r < a
10
or, D (in dB) = 10 log 10 = 10 dB S69 Option (A) is correct.
Assuming the cross section of the wire on x -y plane as
S66 Option (C) is correct. shown in figure.
Since Z 0 = Z1 Z 2
100 = 50 # 200
This is quarter wave matching. The length would be odd
multiple of l/4 .
l = (2m + 1) l
4
f1 = 429 MHz, l1 = c = 3 # 108 = 0.174 m
f1 # 4 429 # 106 # 4
8
f2 = 1 GHz , l2 = c = 3 # 10 = 0.075 m
f2 # 4 1 # 109 # 4
Only option (C) is odd multiple of both l1 and l2 .
(2m + 1) = 1.58 = 9
l1
(2m + 1) = 58 - 21
1 .
l2

S67 Option (D) is correct.


Hz = 3 cos (2.094 # 102 x) cos (2.618 # 102 y) Since, the hole is drilled along the length of wire. So, it
cos (6.283 # 1010 t - bz) can be assumed that the drilled portion carriers current
bx = 2.094 # 102 density of - J .
by = 2.618 # 102
Now, for the wire without hole, magnetic field intensity at
w = 6.283 # 1010 rad/s
point P will be given as
For the wave propagation,
Hf1 (2pR) = J (pR2)
w 2 - (b 2 + b 2)
b=
c2
x y Hf1 (2pR) = JR
2
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 10 Electromagnetics Page 435

Since, point o is at origin. So, in vector form one maxima and minima equals to l/4 so voltage minima
H1 = J (xax + yay) will be observed at the load, Therefore load can not be
2
complex it must be pure resistive.
G = s-1
Again only due to the hole magnetic field intensity will be
Now
given as. s+1
(Hf2) (2pr) =- J (pr 2) also RL = R 0 (since voltage maxima is formed at the load)
s
Hf2 = - Jr RL = 50 = 10 W
2 5
Again, if we take Ol at origin then in vector form
H2 = - J (xlax + ylay) S75 Option (D) is correct.
2
From the expressions of Ev & Hv , we can write,
where xl and yl denotes point ‘P ’ in the new co-ordinate b = 280 p
system. 2 p
or = 280 p & l = 1
Now the relation between two co-ordinate system will be. l 140
x = xl + d v E
y = yl Wave impedance, Zw = E = p = 120 p
Hv 3 er
So, H2 = - J [(x - d) ax + yay]
2 again, f = 14 GHz
So, total magnetic field intensity = H1 + H2 = J dax
8

2 Now l = C = 3 # 10 9 = 3
er f er 14 # 10 140 er
So, magnetic field inside the hole will depend only on ‘d ’. or 3 = 1
140 er 140
S70 Option (C) is correct. or er = 9
Ep
Power radiated from any source is constant. Now = 120p = E p = 120p
3 9
S71 Option (C) is correct.
We have d = 2 mm and f = 10 GHz S76 Option (C) is correct.
Phase difference = 2p d = p ; For a lossless network
l 4 S11 2 + S21 2 = 1
or = l = 8d = 8 # 2 mm = 16 mm For the given scattering matrix
v = fl = 10 # 109 # 16 # 10-3 S11 = 0.2 0c , S12 = 0.9 90c
= 1.6 # 108 m/ sec S21 = 0.9 90c , S22 = 0.1 90c
Here, (0.2) 2 + (0.9) 2 ! 1 (not lossless)
S72 Option (A) is correct. Reciprocity :
TM11 is the lowest order mode of all the TMmn modes. S12 = S21 = 0.9 90c (Reciprocal)

S73 Option (A) is correct. S77 Option (D) is correct.


From boundary condition For distortion less transmission line characteristics
Bn1 = Bn2 impedance
m1 Hx1 = m2 Hx2 Z0 = R
or Hx2 = Hx1 = 1.5 G
2 Attenuation constant
a = RG
or Hx2 = 1.5utx
Further if H z = 1.5utx + Auty + Buz So, a = R = 0.1 = 0.002
Z0 50
Then from Boundary condition
10ut S78 Option (C) is correct.
(3utx + 30uty) utx = (1.5utx + Auty + Butz ) xt + v y
Intrinsic impedance of EM wave
=- 30utz =- Autz + Buty + 10Juty m m0
Comparing we get A = 30 and B =- 10 h= = = 120p = 60p
e 4e0 2
So H 2 = 1.5utx + 30uty - 10utz A/m
Time average power density
2
S74 Option (A) is correct. Pav = 1 EH = 1 E = 1 = 1
2 2 h 2 # 60p 120p
Since voltage maxima is observed at a distance of l/4
from the load and we know that the separation between
S79 Option (C) is correct.
To Get Updated Solved Paper by Whatsapp, Send Your
Branch and BTech year to 9414243489 by whatsapp
Page 436 Electromagnetics Chapter 10

Av = xyatx + x 2 aty
v = dxatx + dyaty Zo = 30 W, ZL = 0 (short)
dl
# Av : dl
v = # (xyatx + x 2 aty) : (dxatx + dyaty) tan bl = tan b 2p : l l = 1
C C l 8
= # (xydx + x 2 dy) Z in = jZo tan bl = 30j
C
Circuit is shown below.
= #1/
2/ 3
xdx +
1/ 3
#2/ 3xdx + #1
3 4 dy + #3
1 1 dy
3 3 3 3
= 1 : 4 - 1 D + 3 :1 - 4 D + 4 [3 - 1] + 1 [1 - 3]
2 3 3 2 3 3 3 3
=1

S80 Option (A) is correct.


In the given problem

Reflection coefficient
60 + 3j - 60
t = ZL - Zo = = 1
ZL + Zo 60 + 3j + 60 17
1+ t
VSWR = = 1 + 17 = 1.64
1- t 1 - 17

S82 Option (D) is correct.


Reflection coefficient Due to 1 A current wire in x - y plane, magnetic field be
h - h1
t= 2 = 400p - 120p =- 1 at origin will be in x direction.
h2 + h 1 40p + 120p 2
Due to 1 A current wire in y - z plane, magnetic field be
t is negative So magnetic field component does not change
at origin will be in z direction.
its direction Direction of incident magnetic field
Thus x and z component is non-zero at origin.
atE # atH = atK
atZ # atH = aty
S83 Option (A) is correct.
atH = atx ( + x direction)
Rectangular and cylindrical waveguide doesn’t support
So, reflection magnetic field component
TEM modes and have cut off frequency.
Hr = t # 24 cos (3 # 108 + by) atx , y $ 0
h Coaxial cable support TEM wave and doesn’t have cut off
frequency.
= 1 # 24 cos (3 # 108 + by) atx , y $ 0
2 # 120p
8
S84 Option (B) is correct.
b = w = 3 # 108 = 1
vC 3 # 10 We have V = 4# A ...(1)
So, Hr = 1 cos (3 # 108 t + y) atx , y $ 0 By Stokes theorem
10p
#
A $ dl = ##(4 # A) $ ds ...(2)
From (1) and (2) we get
S81 Option (B) is correct.
For length of l/4 transmission line
#
A $ dl = ##V $ ds
Z + jZo tan bl
Z in = Zo ; L
Zo + jZL tan bl E S85 Option (D) is correct.
The transmission line are as shown below. Length of all
ZL = 30 W , Zo = 30 W, b = 2p , l = l
l 4 line is l
4
So, tan bl = tan b 2p : l l = 3
l 4
R ZL V
S tan bl + jZo W 2
Z in = Zo S W = Z 0 = 60 W
S Zo + jZL W ZL
S tan bl W
T X
For length of l/8 transmission line
Z + jZo tan bl
Z in = Zo ; L
Zo + jZL tan bl E
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 10 Electromagnetics Page 437

2 2 S90 Option (D) is correct.


Zi1 = Z01 = 100 = 200W Z + iZo tan (bl)
ZL1 50 Zin = Zo L
2 2 Zo + iZL tan (bl)
Zi2 = Z02 = 100 = 200W
ZL2 50 For ZL = 0 ,Zin = iZo tan (bl)
ZL3 = Zi1 Zi2 = 200W 200W = 100W The wavelength is
8
2 2 l = c = 3 # 109 = 0.1 m or 10 cm
f
Zi = Z0 = 50 = 25W 3 # 10
ZL3 100 p
bl = 2 l = 2p # 1 = p
l 10 5
Get More Study Material by Thus Zin = iZo tan p
5
Whatsapp. Send Your College Pin
Thus Zin is inductive because Zo tan p is positive
Code, Branch and BTech year to 5

9414243489 by whatsapp S91 Option (C) is correct.


m
We have h=
e
Reflection coefficient
S86 Option (C) is correct. h - h1
y G= 2
We have Bv = B0 c 2 x 2 ay - 2 ax m ...(1) h2 + h1
x +y x + y2 Substituting values for h1 and h2 we have
To convert in cylindrical substituting m
- me
= 1 - er = 1 - 9
o o

x = r cos f and y = r sin f t = eme o r

m
o

ee +
o
e 1 + er
o
1+ 9
ax = cos far - sin faf o r o

since er = 9
and ay = sin far + cos faf
=- 0.5
In (1) we have
Bv = Bv0 af
S92 Option (B) is correct.
v Bv a
Now Hv = B = 0 f constant In single mode optical fibre, the frequency of limiting
m0 m0
mode increases as radius decreases
Jv = 4# Hv = 0 since H is constant
Hence r \ 1
f
S87 Option (C) is correct. So. if radius is doubled, the frequency of propagating
The beam-width of Hertizian dipole is 180c and its half mode gets halved, and wavelength is doubled.
power beam-width is 90c.
S93 Option (D) is correct.
S88 Option (D) is correct. 8
l = c = 3 # 10 9 = 3
Maxwell equations f 20 # 10 200
2
4- B = 0 Gain Gp = hp2 ` D j = 0.7 # p2 c 13 m = 30705.4
2

4$ E = r/E l 100
4# E =- B = 44.87 dB
4# Ht = D + J
For static electric magnetic fields S94 Option (A) is correct.
4$ B = 0 g = b cos 30cx ! b sin 30cy
4$ E = r/E = 2p 3 x ! 2p 1 y
4# E = 0 l 2 l 2
4# Ht = J = p 3 x! py
l l
p 3 x! p y
S89 Option (A) is correct. E = ay E0 e j (wt - g) = ay E0 e j;wt - c l l mE

Cut-off Frequency is
fc = c ` m j2 + ` n j2 S95 Option (D) is correct.
2 a b 4# H = J + 2D Maxwell Equations
For TE11 mode, 2t
2D
fc =
3 # 1010
2
1 2 1 2
` 4 j + ` 3 j = 6.25 GHz
## 4# H $ ds = ##
`J + 2t j .ds Integral form
s s
To Get Updated Solved Paper by Whatsapp, Send Your
Branch and BTech year to 9414243489 by whatsapp
Page 438 Electromagnetics Chapter 10

ho
# H $ dl = ## `J + 22Dt j .ds Stokes Theorem h' = f 2
= 377
10 = 400W
1 - c 10 10 m
2
s 1-c c m
f 3 # 10
S96 Option (A) is correct. S101 Option (B) is correct.
wm
E = 2 ` p j H0 sin ` 2px j sin (wt - bz) yt
2
Using the method of images, the configuration is as shown
h 2 a
below
This is TE mode and we know that
mpy
Ey \ sin ` mpx j cos `
a b j
Thus m = 2 and n = 0 and mode is TE20

S97 Option (C) is correct.


The 2-port scattering parameter matrix is
S11 S12
S ==
S21 S22 G
Here d = l, a = p, thus bd = 2p

(Z Z ) - Zo (50 50) - 50 bd cos y + a


S11 = L 0 = =- 1 Array factor is = cos ; E
(ZL Z0) + Zo (50 50) + 50 3 2
2p cos y + p
2 (ZL Zo) 2 (50 50) = cos ; E = sin (p cos y)
S12 = S21 = = =2 2
(ZL Zo) + Zo (50 50) + 50 3
(ZL Zo) - Zo (50 50) - 50
S22 = = =- 1 S102 Option (D) is correct.
(ZL Zo) + Zo (50 50) + 50 3
The Brewster angle is
tan qn = er2
S98 Option (D) is correct. er1
The input impedance is er2
2 tan 60c =
Zin = Zo ; if l = l 1
ZL 4
2 2
or er2 = 3
Zin1 = Zo1 = 50 = 25
ZL1 100
2
S103 Option (C) is correct.
2
Zin2 = Zo2 = 50 = 12.5 We have E = atxx sin (wt - bz) + aty sin (wt - bz + p/2)
ZL2 200 Here Ex = Ey and fx = 0, fy = p2
Now ZL = Zin1 Zin2 Phase difference is p2 , thus wave is left hand circularly
25 12.5 = 25 polarized.
3
(50) 2 S104 Option (A) is correct.
Zs = = 300
25/3 We have 10 log G = 10 dB
G = ZS - Zo = 300 - 50 = 5 or G = 10
ZS + Zo 300 + 50 7
Now gain G = Prad
Pin
S99 Option (D) is correct.
or 10 = Prad
We have H 2 = Hx2 + Hy2 1W
2 or Prad = 10 Watts
= c 5 3 m + c 5 m = c 10 m
2 2
ho ho ho
E 2 ho H 2 S105 Option (A) is correct.
mo mo
For free space P = = h - h1 eo er - eo
2ho 2 G= 2 =
h2 + h1 mo
+ mo
ho 10 2 50 eo er eo
= c m = watts
2 ho ho
= 1 + er = 1 - 4 =- 1
S100 Option (C) is correct. 1 + er 1+ 4 3
The cut-off frequency is The transmitted power is
fc = c ` m j2 + ` n j2 Pt = (1 - G2) Pi = 1 - 1 = 8
2 a b 9 9
Since the mode is TE20, m = 2 and n = 0 or Pt = 8
8 Pi 9
fc = c m = 3 # 10 # 2 = 10 GHz
2 2 2 # 0.03
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 10 Electromagnetics Page 439

S106 Option (D) is correct. Frequency f = 1014 Hz


sin q = 1 = 1 c = 3 # 108 m/sec
er 2 8

p l = c = 3 # 10 = 3 # 10 - 6
or q = 45c = f 1014
4
wavelength in glass is
The configuration is shown below. Here A is point -6

source. lg = a = 3 # 10 = 2 # 10 - 6 m
m 1.5

S112 Option (D) is correct.

S113 Option (D) is correct.


Zo2 = ZOC .ZSC
2
ZZC = Zo = 50 # 50 = 50
ZOC 100 + j150 2 + 3j
Now AO = 1 m
50 (2 - 3j)
From geometry BO = 1 m = = 7.69 - 11.54j
13
Thus area = pr2 = p # OB = p m 2

S107 Option (C) is correct. S114 Option (A) is correct.


The cut-off frequency is The array factor is
bd sin q + a
fc = c ` m j2 + ` m j2 A = cos b l
2 a b 2
Since the mode is TE30 , m = 3 and n = 0 Here b = 2p , d = l and a = 90c
l 4
fc = c m 2p l
sin q + p2
2 a p p
Thus A = cos c l 4 m = cos ` sin q + j
8 2 4 2
or 18 # 109 = 3 # 10 3
2 a The option (A) satisfy this equation.
or a = 1 m = 5 cm
40 2 S115 Option (C) is correct.
From the diagram, VSWR is
S108 Option (C) is correct. s = Vmax = 4 = 4
We have E1 = 4ux + 3uy + 5uz Vmin 1
Since for dielectric material at the boundary, tangential When minima is at load ZO = s.ZL
component of electric field are equal or ZL = Zo = 50 = 12.5W
E21 = E1t = 3aty + 5atz s 4
at the boundary, normal component of displacement S116 Option (A) is correct.
vector are equal
The reflection coefficient is
i.e. Dn2 = Dn1
or e2 E2n = e1 E1n G = ZL - ZO = 12.5 - 50 =- 0.6
ZL + ZO 125. + 50
or 4eo E2n = 3eo 4atz
or E2n = 3atx S117 Option (C) is correct.
Thus E2 = E2t + E2a = 3atx + 3aty + 5atz
The given figure represent constant reactance circle.
S109 Option (C) is correct.
S118 Option (D) is correct.
Since antenna is installed at conducting ground,
2 We know that vp > c > vg .
= 4p W
2
Rrad = 80p2 ` dl j = 80p2 c 50 2
l 0.5 # 10 3 m 5
S119 Option (A) is correct.
4pU (q, f)
S110 Option (C) is correct. We have GD (q, f) =
Prad
w = 50, 000 and b =- 0.004
4 For lossless antenna
Phase Velocity is vP = w = 5 # 10 - 3 = 1.25 # 107 m/s Prad = Pin
b - 4 # 10
Here we have Prad = Pin = 1 mW
and 10 log GD (q, f) = 6 dB
S111 Option (C) is correct.
or GD (q, f) = 3.98
Refractive index of glass m = 1.5
To Get Updated Solved Paper by Whatsapp, Send Your
Branch and BTech year to 9414243489 by whatsapp
Page 440 Electromagnetics Chapter 10

Thus the total power radiated by antenna is h - 120p


or -2 = 2
4pU (q, f) = Prad GD (q, f) 3 h2 + 120p
= 1 m # 3.98 = 3.98 mW or h2 = 24p

S120 Option (D) is correct. S126 Option (D) is correct.


The capacitance is 1- G
- 12 -4 The VSWR 2 =
C = eo A = 8.85 # 10 - 3 # 10 = 8.85 # 10 - 13 1+ G
d 10 or G =1
The charge on capacitor is 3
Q = CV = 8.85 # 10 - 13 = 4.427 # 10 - 13 Pref
Thus = G2= 1
Displacement current in one cycle Pinc 9
Q
I = = fQ = 4.427 # 10 -13 # 3.6 # 109 or Pref = Pinc
T 9
= 1.59 mA i.e. 11.11% of incident power is reflected.

S127 Option (C) is correct.


S121 Option (C) is correct. By Maxwells equations
VL = ZO
Vin Zin 4# H = 2D + J
2t
or VL = ZO Vin = 10 # 300 = 60 V Thus 4# H has unit of current density J that is A/m2
Zin 50
S128 Option (B) is correct.
S122 Option (D) is correct.
We know that d\ 1
f
S123 Option (A) is correct.
Ravg = 1 Re [E # H*] Thus d2 = f1
2 d1 f2
E # H* = (atx + jaty) e jkz - jwt # k (- jatx + aty) e-jkz + jwt d2 = 1
wm 25 4
= atz ; k - (- j) (j) k E = 0 or d2 = 1 # 25 = 12.5 cm
wm wm 4
Thus Ravg = 1 Re [E # H*] = 0
2
S129 Option (C) is correct.
S124 Option (A) is correct. We have E1 = 2ux - 3uy + 1uz
Suppose at point P impedance is E1t =- 3uy + uz and E1n = 2ux
Z = r + j (- 1) Since for dielectric material at the boundary, tangential
If we move in constant resistance circle from point P component of electric field are equal
in clockwise direction by an angle 45c, the reactance E1t =- 3uy + uz = E2t (x = 0 plane)
magnitude increase. Let us consider a point Q at 45c from E1n = 2ux
point P in clockwise direction. It’s impedance is At the boundary the for normal component of electric
Z1 = r - 0.5j field are
or Z1 = Z + 0.5j D1n = D2n
Thus movement on constant r - circle by an +45c in CW or e1 E1n = e2 E2n
direction is the addition of inductance in series with Z . or 1.5eo 2ux = 2.5eo E2n
or E2n = 3 ux = 1.2ux
2.5
S125 Option (D) is correct.
1- G Thus E2 = E2t + E2n =- 3uy + uz + 1.2ux
We have VSWR = Emax = 5 =
Emin 1+ G
S130 Option (C) is correct.
or G =2 We have E = xux + yuy + zuz
3
dl = utx dx + uty dy + utz dz
Thus G =- 2 Y 2 0 0
3 #X
VXY =- E.dl = #1
xdxutx + #2 ydyutz + #3 zdzuzt
h2 - h1
Now G= 0
h2 + h1 2 2 y2 2 0
=-= x + +z G
2 1 2 2 2 3
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 10 Electromagnetics Page 441

=- 1 [22 - 12 + 02 - 22 + 02 - 32] = 5
2

S131 Option (D) is correct.


m
h=
e
Reflection coefficient
h - h1
t= 2
h2 + h1
Substituting values for h1 and h2 we have
m
- me
= 1 - er = 1 - 4 since er = 4
o 0

t = eme o r

m
o

ee +
o
o r e 1 + er
o
o
1+ 4

= - 1 = 0.333+180c
3 S135 Option (A) is correct.
8
u = c = 3 # 10 = 1.5 # 108
S132 Option (B) is correct. e0 2
We have E (z, t) = 10 cos (2p # 107 t - 0.1pz) In rectangular waveguide the dominant mode is TE10 and
where w = 2p # 107 t fC = v ` m j2 + ` n j2
b = 0.1p 2 a b
8
= 1.5 # 10
7
Phase Velocity u = w = 2p # 10 = 2 # 108 m/s 2
1 2 0 2
` 0.03 j + ` b j
b 0.1p
8
S133 Option (D) is correct. = 1.5 # 10 = 2.5 GHz
0.06
y
Normalized array factor = 2 cos
2 S136 Option (D) is correct.
y = bd sin q cos f + d VSWR s = 1+G where G varies from 0 to 1
q = 90c, 1-G
d = 2 s, Thus s varies from 1 to 3.
f = 45c,
d = 180c S137 Option (B) is correct.
y bd sin q cos f + d Reactance increases if we move along clockwise direction
Now 2 cos = 2 cos ; E
2 2 in the constant resistance circle.
= 2 cos 8 2p 2 s cos 45c + 180 B
l. 2 2 S138 Option (C) is correct.
p
= 2 cos 8 + 90cB = 2 sin ` s j
s p Phase velocity
l l VC
VP =
f 2
S134 Option (A) is correct. 1-c c m
f
The fig of transmission line is as shown below.
[Z + jZo tan bl] When wave propagate in waveguide fc < f $ VP > VC
We know that Zin = Zo L
[Zo + jZL tan bl]
S139 Option (C) is correct.
For line 1, l = l and b = 2p , ZL1 = 100W We have E = (0.5xt + ye
p
t j ) e j (wt - kz)
2 l 2

[ZL + jZo tan p]


Thus Zin1 = Zo = ZL = 100W Ex = 0.5e j (wt - kz)
[Zo + jZL tan p]
For line 2, l = l and b = 2p , ZL2 = 0 (short circuit)
p
Ey = e j 2 e j (wt - kz)
8 l
[0 + jZo tan p4 ] Ex p
Thus Zin2 = Zo = jZo = j50W = 0.5e- 2
[Zo + 0] Ey
Y = 1 + 1 Ex
Zin1 Zin2 Since ! 1, it is elliptically polarized.
Ey
= 1 + 1 = 0.01 - j0.02
100 j50
S140 Option (A) is correct.
To Get Updated Solved Paper by Whatsapp, Send Your
Branch and BTech year to 9414243489 by whatsapp
Page 442 Electromagnetics Chapter 10

Loss tangent tan a = s = 1.7 # 10 - 4 VSWR = 1 + G


we 2p # 3 # 109 # 78eo 1-G
or 3 = 1+G
-4 9 1-G
= 1.7 # 10 9# 9 # 10 = 1.3 # 10 - 5
3 # 10 # 39 or G = 0.5
Now P r
= G2 = 0.25
S141 Option (D) is correct. Pi
The flux density is Thus 25% of incident power is reflected.
s = eE = e0 er E = 80 # 8.854 # 10 - 12 # 2
or s = 1.41 # 10 - 9 C/m 2 S149 Option (A) is correct.
We have l = 492 m
S142 Option (B) is correct. and height of antenna = 124 m . l
4
P \ 12 It is a quarter wave monopole antenna and radiation
r resistance is 25 W.
Thus P1 = r22
P2 r12 S150 Option (C) is correct.
3 dB decrease $ Strength is halved The array factor is
Thus P1 = 2 y = bd cos q + d
P2
where d =l Distance between elements
Substituting values we have 4
2
2 = r22 y=0 Because of end fire
5 q = 60c
or r2 = 5 2 kM = 7071 m Thus 0 = 2p # l cos 60c + d = p # 1 + d
Distance to move = 7071 - 5000 = 2071 m l 4 2 2
or d =- p
S143 Option (C) is correct. 4
A transmission line is distortion less if LG = RC
S151 Option (B) is correct.
S144 Option (B) is correct. Zo = ZOC .ZSC = 100 # 25 = 10 # 5 = 50W
We have d2 Ex = c2 d2 Ex
dz2 dt2 S152 Option (C) is correct.
This equation shows that x component of electric fields As the impedance of perfect conductor is zero, electric
Ex is traveling in z direction because there is change in z field is minimum and magnetic field is maximum at the
direction. boundary.

S145 Option (A) is correct. S153 Option (B) is correct.


BW \ 1
In wave guide vp > c > vg and in vacuum vp = c = vg (Diameter)
where vp $ Phase velocity
As diameter increases Bandwidth decreases.
c $ Velocity of light
vg $ Group velocity
S154 Option (C) is correct.
The fig is as shown below :
S146 Option (A) is correct.
In a wave guide dominant gives lowest cut-off frequency
and hence the highest cut-off wavelength.

S147 Option (A) is correct.


Ic = Id
or sE = jw d E
or s = 2pfeo er w = 2pf and e = er e0
9 -2
or f = s = 2s = 9 # 10 # 2 # 10 As per snell law
2p # eo er 4peo er 4 sin qt = 1
or 6
f = 45 # 10 = 45 MHz sin qi er
or sin 30c = 1
S148 Option (B) is correct. sin 45c er
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 10 Electromagnetics Page 443

m = w (Velocity)
1
2
1
= 1 Where
b
2
er
or er = 2 Basically w is the multiply factor of t and b is multiply
factor of z or x or y .
S155 Option (C) is correct. In option (A) E = 50e j (wt - 3z)
vp m 2+ n 2 m=w=w
2 ` a j `b j
Cutoff frequency fc = b 3
For rectangular waveguide dominant mode is TE01 We can see that equations in option (C) does not satisfy
v 8 equation (1)
Thus fc = p = 3 # 10- 2 = 15 # 109
2a 2 # 10
For air vp = 3 # 10 8 S162 Option (B) is correct.
= 15 GHz We know that distance between two adjacent voltage
maxima is equal to l/2 , where l is wavelength.
S156 Option (B) is correct. l = 27.5 - 12.5
2
Phase Velocity b = 2p = w me
l l = 2 # 15 = 30 cm
l = 2p
10
or
w me Frequency u = C = 3 # 10 = 1 GHz
l 30

Thus l\ 1 S163 Option (D) is correct.


e
we get l1 = e2 Power received by antenna
-4
l2 e1 PR = PT 2 # (apeture) = 251 # 500 # 102
4p r 4 # p # (100)
S157 Option (D) is correct.
l = 100 mW
` 2 jd = l
2

8
l = c = 3 # 10 9 = 3 m S164 Option (C) is correct.
f 4 # 10 40
Electrical path length = bl
3
` 40 # 2 j d = (2.4)
2
Where b = 2p , l = 50 cm
l
80 # (2.4) 2
or d = . 150 m We know that
3
l=u =1# 1 au= 1
f f LC LC
S158 Option (C) is correct. 1 1
= #
We know that for a monopole its electric field varies 25 # 106 10 # 10-6 # 40 # 10-12
inversely with r 2 while its potential varies inversely with 7
r . Similarly for a dipole its electric field varies inversely as = 5 # 10 6 = 2 m
25 # 10
r 3 and potential varies inversely as r 2 .
In the given expression both the terms a _ r1 + r1 i are Electric path length = 2p # 50 # 10-2
-1 -2 5
present, so this potential is due to both monopole & dipole.
= p radian
2
S159 Option (D) is correct.
In TE mode Ez = 0 , at all points within the wave guide. S165 Option (D) is correct.
It implies that electric field vector is always perpendicular In a lossless dielectric (s = 0) median, impedance is given
to the waveguide axis. This is not possible in semi-infine by
parallel plate wave guide. m m0 mr
h= 0c =
e e0 er
S160 Option (A) is correct. mr
= 120p #
er
S161 Option (C) is correct. = 120p # 2 = 188.4 W
8
A scalar wave equation must satisfy following relation
2 2 E - m 22 2 E = 0 ...(1)
2t 2 2z 2 S166 Option (D) is correct.
To Get Updated Solved Paper by Whatsapp, Send Your
Branch and BTech year to 9414243489 by whatsapp
Page 444 Electromagnetics Chapter 10

Impedance is written as Hv = 1 4
v v
A
jwm m #
h=
s + jwe v is auxiliary potential function.
where A
Copper is good conductor i.e. s >> we So 4: H = 4: (4 # A) = 0
jwm wm 4# H = 4# (4 # A) = Y 0
So h= = 45c
s s
Impedance will be complex with an inductive component. S174 Option (D) is correct.
Radiation resistance of a circular loop is given as
S167 Option (A) is correct. Rr = 8 hp3 :ND2 S D
This equation is based on ampere’s law as we can see 3 l
2
# H $ dl = I enclosed (ampere's law) Rx \ N N " no. of turns
l
So, Rr 2 = N 2 # Rr1 = (5) 2 # 0.01 = 0.25 W
or #l H $ dl = #s Jds
S175 Option (C) is correct.
Applying curl theorem Power Re ceived
Aperture Area =
#s (4 # H) $ ds = #s Jds Polynting vector of incident wave
4# H = J
A =W
then it is modified to P
4# H = J + 2D
2
2t P = E h0 = 120p
h0
Based on continuity equation is intrinsic impedance of space
-6 -6
So A = 2 # 102 = 2 # 10 -3 2 # 120 # 3.14
E (20 # 10 )
S168 Option (A) is correct. c h0 m
-6
S169 Option (B) is correct. = 2 # 10 # 12 -# 3.14 = 1.884 m2
400 # 10 6
S170 Option (B) is correct.
S176 Option (B) is correct.
Propagation constant
r = a + ib = 0.1p + j0.2p Maximum usable frequency
fo
here b = 2p = 0.2p fm =
sin Ae
l
l = 2 = 10 m fm = 8MHz = 8 = 16 MHz
sin 60c 3 3
0.2 c 2 m

S171 Option (C) is correct. S177 Option (D) is correct.


The depth of penetration or skin depth is defined as – When a moving circuit is put in a time varying magnetic
d= 1
field educed emf have two components. One for time
pfms
variation of B and other turn motion of circuit in B .
d\ 1 \ l
f S178 Option (A) is correct.
so depth increases with increasing in wavelength. Far field \ 1
r
S172 Option (A) is correct. S179 Option (B) is correct.
Given Z in min = Z 0
E (z, t) = Eo e
j (wt + bz) v
a x + e0 e j (wt + bz) avy ...(1) S
Generalizing where S = standing wave ratio
E (z) = avx E1 (z) + avy E2 (z) ...(2) 1 + GL
S =
Comparing (1) and (2) we can see that E1 (z) and E2 (z) are 1 - GL
in space quadrature but in time phase, their sum E will GL = reflection coefficient
be linearly polarized along a line that makes an angle f
with x -axis as shown below. GL = ZL - Z 0 = 100 - 50 = 50 = 1
ZL + Z 0 100 + 50 150 3

S173 Option (C) is correct.


This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 10 Electromagnetics Page 445

1+1
S = 3 =2 Here a < b , so minimum cut off frequency will be for mode
1- 1
3 TE 01
50 m = 0, n = 1
Z in min = = 25 W
2 8 a ml = c
fc = 3 # 10 1
(10 # 10-12) *
2
2#2
8 c = 3 # 108
S180 Option (A) is correct. 3 # 10
= = 0.75 GHz
The cutoff frequency is given by 2 # 2 # 10 # 10-2
ml m 2+ n 2
2 a a k a2k
fc =

***********
To Get Updated Solved Paper by Whatsapp, Send Your
Branch and BTech year to 9414243489 by whatsapp
Page 446 General Aptitude Chapter 11

CHAPTER 11
GENERAL APTITUDE

GATE 2016 EC 01 ONE MARK option with respect to grammar and usage
Statements
Q1 Which of the following is CORRECT with respect to (i) The height of Mr. X is 6 feet.
grammar and usage? (ii) The height of Mr. Y is 5 feet
Mount Everest is _____ (A) Mr. X is longer than Mr. Y
(A) the highest peak in the world (B) Mr. X is more elongated than Mr. Y
(B) highest peak in the world (C) Mr. X is taller than Mr. Y
(C) one of highest peak in the world (D) Mr. X is lengthier than Mr. Y
(D) One of the highest peak in the world
Q7 The students _____ the teacher on teachers day
Q2 The policeman asked the victim of a theft, “What for twenty years of dedicated teaching.
did you _____ ?” (A) facilitated (B) felicitated
(A) loose (B) lose (C) fantasized (D) facillitated
(C) loss (D) louse
Q8 After India’s cricket world cup victory in 1985,
Q3 Despite the new medicine’s _____ in treating Shrotria who was playing both tennis and cricket till then,
diabetes, it is not _____ widely. decided to concentrate only on cricket. And the rest is
(A) Effectiveness _____ prescribed history. What does the underlined phrase mean in this
(B) availability _____ used context.?
(A) history will rest in peace
(C) prescription _____ available
(B) rest is recorded in history books
(D) acceptable _____ proscribed
(C) rest is well known
(D) rest in archaic
Q4 In a huge pile of apples and oranges, both ripe and
unripe mixed together, 15% are unripe fruits, of the unripe
fruits, 45% are apples, of the ripe ones, 66% are oranges. Q9 Given (9 inches) 1/2 = (0.25 yards) 1/2 , which one of
If the pile contains a total of 5692000 fruits, how many of the following statements is TRUE?
them are apples? (A) 3 inches = 0.5 yards
(A) 2029198 (B) 2467482 (B) 9 inches = 1.5 yards
(C) 2789080 (D) 3577422 (C) 9 inches = 0.25 yards
(D) 81 inches = 0.0625 yards
Q5 Michael lives 10 km way from where I live. Ahmed
lives 5 km away and Susan lives 7 km away from where Q10 S, M, E and F are working in shifts in a team to finish
I live. Arun is farther away than Ahmed but closer than a project. M works with twice the efficiency of others but
Susan from where I live. From the information provided for half as many days as E worked. S and M have 6 hour
here, what is one possible distance (in km) at which I live shifts i a day, whereas E and F have 12 hours shifts. What
from Arun’s place? is the ratio of contribution of M to contribution of E in
(A) 3.00 (B) 4.99 the project?
(C) 6.02 (D) 7.01 (A) 1 : 1 (B) 1 : 2
(C) 1 : 4 (D) 2 : 1
GATE 2016 EC 02 ONE MARK

Q6 Based on the given statements, select the appropriate


This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 11 General Aptitude Page 447

GATE 2016 EC 03 ONE MARK (A) 15 (B) 33


(C) 35 (D) 37
Q11 An apple costs Rs. 10. An onion costs Rs.8.
Select the most suitable sentence with respect to grammar
and usage. Q17 In a world filled with uncertainty, he was glad to have
(A) The price of an apple is greater than an onion many good friends. He had always assisted them in times
of need and was confident that they would reciprocate.
(B) The price of an apple is more than onion
However, the events of the last week proved him wrong.
(C) The price of an apple is greater than that of an on- Which of the following interference(s) is/are logically valid
ion and can be inferred from the above passage?
(D) Apples are more costlier than onions (i) His friends were always asking him to help them.
(ii) He felt that when in need of help, his friends would
Q12 The Buddha said, “Holding on to anger is like let him down.
grasping a hot coal with the intent of throwing it at (iii) He was sure that his friends would help him when in
someone else; you are the one who gets burnt.” need.
(A) Burning (iv) His friends did not help him last week.
(B) igniting (A) (i) and (ii) (B) (iii) and (iv)
(C) clutching (C) (iii) only (D) (iv) only
(D) flinging
Q18 Leela is older than her cousin Pavithra, Pavithra’s
Q13 M has a son Q and a daughter R. He has no other brother Shiva is older than Leela. When Pavithra and
children. E is the mother of P and daughter-in-law of M. Shiva are visiting Leela, all three like to play chess.
How is P related to M? Pavithra wins more often than Leela does. Which one
(A) P is the son-in-law of M of the following statements must be TRUE based on the
(B) P is the grandchild of M above?
(A) When Shiva plays chess with Leela and Pavithra, He
(C) P is the daughter in law of M
often loses.
(D) P is the grandfather of M
(B) Leela is the oldest of the three.
(C) Shiva is a better chess player than Pavithra.
Q14 The number that least fits this set: (324, 441, 97,
(D) Pavithra is the youngest of the three.
and 64) is ______
(A) 324 (B) 441
(C) 97 (D) 64 Q19 If q-a = 1 and r-b = 1 and s-c = 1 , the value of abc
r s q
is _____.
Q15 It takes 10s and 15s respectively, for two trains (A) (rqs) -1 (B) 0
travelling at different constant speeds to completely pass (C) 1 (D) r + q + s
a telegraph post. The length of the first train is 120 m
and that of the second train is 150 m. The magnitude of Q20 P , Q , R and S are working on a project. Q can
the difference in the speeds of the two trains (in m/s) is finish the task in 25 days, working alone for 12 hours a
______. day. R can finish the task in 50 days, working alone for
(A) 2.0 (B)10.0 12 hours per day. Q worked 12 hours a day but took sick
(C) 12.0 (D) 22.0 leave in the beginning for two days. R worked 18 hours a
day on all days. What is the ratio of work done by Q and
R after 7 days from the start of the project.
GATE 2016 EC 01 TWO MARKS (A) 10 : 11 (B) 11 : 10
(C) 20 : 21 (D) 21 : 20
Q16 A person moving through a tuberculosis prone zone
has a 50% probability of becoming infected. However,
only 30% of infected people develop the disease. What GATE 2016 EC 02 TWO MARKS
percentage of people moving through a tuberculosis prone
zone remains infected but does ot shows symptoms of Q21 The Venn diagram shows the preference of the
disease? student population for leisure activities.
To Get Updated Solved Paper by Whatsapp, Send Your
Branch and BTech year to 9414243489 by whatsapp
Page 448 General Aptitude Chapter 11

(C) 120 (D) 180

GATE 2016 EC 03 TWO MARKS

Q26 The velocity V of a vehicle along a straight line


measured in m/s and plotted as shown with respect to
time in seconds. At the end of the 7 seconds, how much
From the data given, the number of students who like to will the odometer reading increase by (in m)?
read books or play sports is _____
(A) 44 (B) 51
(C) 79 (D) 108

Q22 Social science disciplines were in existence in an


amorphous form until the colonial perod when they were
institutionalized. In varying degrees, they were intended
to further the colonial interest. In the time of globalization
and the economic rise of postcolonial countries like India,
conventional ways of knowledge production have beocme
obsolete
Which of the following can be logically inferred from the
above statements? (A) 0 (B)3
(i) Social science disciplines have become obsolete. (C) 4 (D) 5
(ii) Social science disciplines had a pre-colonial origin
(iii) Social science disciplines always promote colonialism Q27 The overwhelming number of people infected with
(iv) Social science must maintain disciplinary boundaries rabies in India has been flagged by the World Health
Organization as a source of concern. It is estimated that
(A) (ii) only (B) (i) and (iii) only
inoculating 70% of pets and stray dogs against rabies can
(C) (ii) and (iv) only (D) (iii) and (iv) only lead to a significant reduction in the number of people
infected with rabies. Which of the following can be logically
Q23 Two and a quarter hours back, when seen in a mirror, inferred from the above sentences?
the reflection of a wall clock without number markings (A) The number of people in India infected with rabies is
seemed to show 1 : 30. What is the actual current time high
shown by the clock? (B) The number of people in other parts of the world
(A) 8 : 15 (B) 11 : 15 who are infected with rabies is low.
(C) 12 : 15 (D) 12 : 45 (C) Rabies can be eradicated in India by vaccinating
70% of stray dogs.
Q24 M and N start from the same location. M travels (D) Stray dogs are the main source of rabies worldwide.
10 km East and then 10 km North-East. N travels 5 km
South and then 4 km South-East. What is the shortest Q28 A flat is shared by four first year undergraduate
distance (in km) between M and N at the end of their students. They agreed to allow the oldest of them to enjoy
travel? some extra space in the flat. Manu is two months older
(A) 18.60 (B) 22.50 than Sravan, who is three months younger than Trideep.
(C) 20.61 (D) 25.00 Pavan is one month older than Sravan. Who should occupy
the extra space in the flat?
(A) Manu (B)Sravan
Q25 A wire of length 340 mm is to be cut into two parts.
One of the parts is to be made into a square and the other (C) Trideep (D) pavan
into a rectangle where sides are in the ratio of 1:2. What
is the length of the side of the square (in mm) such that Q29 Find the area bounded by the lines 3x + 2y = 14 ,
the combined area of the square and the rectangle is a 2x - 3y = 5 in the first quadrant.
MINIMUM? (A) 14.95 (B) 15.25
(A) 30 (B) 40 (C) 15.70 (D) 20.35
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 11 General Aptitude Page 449

Q30 A straight line is fit to a data set ( ln x, y ). This line options given below, to complete the following sentence
intercepts the abscissa at ln x = 0.1 and has a slope of Dhoni, as well as the other team members of Indian team
- 0.02 . What is the value of y at x = 5 from the fit? _______ present on the occasion
(A) - 0.030 (B) - 0.014 (A) Were (B) Was
(C) 0.014 (D) 0.030 (C) Has (D) Have

GATE 2015 EC 01 ONE MARK Q38 Ram and Ramesh appeared in an interview for two
vacancies in the same department. The probability of
Ram’s selection is 16 and that of Ramesh is 18 . What is the
Q31 Choose the word most similar in meaning to the
probability that only one of them will be selected?
given word:
Educe (A) 47 (B) 1
48 4
(A) Exert (B) Educate
(C) Extract (D) Extend (C) 13 (D) 35
48 48

Q32 If log x ^ 75 h =- 13 , then the value of x is Q39 Choose the word most similar in meaning to the
given word:
(A) 343 (B) 125 Awkward
125 343 (A) Inept (B) Graceful
(C) - 25 (D) - 49 (C) Suitable (D) Dreadful
49 25

Q40
Operators 4, G and " are defined by: a4b = a - b ;
An electric bus has on board instruments that report
Q33
a+b the total electricity consumed since the start of the trip
aZb = a + b ; a " b = ab. as well as the total distance covered. During a single day
a-b
Find the value (6646) " (66Z6). of operation, the bus travels on stretches M, N, O and P,
in that order. The cumulative distances traveled and the
(A) - 2 (B)- 1 corresponding electricity consumption are shown in the
(C) 1 (D) 2 Table below

Q34 Choose the most appropriate word form the options Stretch Cumulative Electricity used
given below to complete the following sentence. distance (km) (kWh)
The principal presented the chief guest with a_______, M 20 12
as token of appreciation. N 45 25
(A) momento (B) memento
O 75 45
(C) momentum (D) moment
P 100 57

Q35 Choose the appropriate word/phrase, out of the four The stretch where the electricity consumption per km is
options given below, to complete the following sentence: minimum is
Frogs_______. (A) M (B)N
(A) Croak (B)Roar (C) O (D) P
(C) Hiss (D) Patter

GATE 2015 EC 03 ONE MARK


GATE 2015 EC 02 ONE MARK
Q41 Choose the most suitable one word substitute for the
Q36 What is the adverb for the given word below? following expression:
Misogynous Connotation of a road or way
(A) Misogynousness (B) Misogynity (A) Pertinacious (B)Viaticum
(C) Misogynously (D) Misogynous (C) Clandestine (D) Ravenous

Q37 Choose the appropriate word-phrase out of the four Q42 If x > y > 1, which of the following must be true?
To Get Updated Solved Paper by Whatsapp, Send Your
Branch and BTech year to 9414243489 by whatsapp
Page 450 General Aptitude Chapter 11

(1) ln x > ln y (A) Humpty Dumpty always falls while having lunch
(2) e x > ey (B) Humpty Dumpty does not fall sometimes while hav-
(3) y x > xy ing lunch
(4) cos x > cos y (C) Humpty Dumpty never falls during dinner
(A) (1) and (2) (B) (1) and (3) (D) When Humpty Dumpty does not sit on the wall, the
wall does not break.
(C) (3) and (4) (D) (4) and (1)

Q49 The following question presents a sentence, part


Q43 Choose the correct verb to fill in the blank below:
of which is underlined. Beneath the sentence you find
Let us_______.
four ways of phrasing the underline part. Following the
(A) introvert (B)alternate requirements of the standard written English, select the
(C) atheist (D) altruist answer that produces the most effective sentence.
Tuberculosis, together with its effects, ranks one of the
Q44 Find the missing sequence in the letter series below: leading causes of death in India.
(A) ranks as one of the leading causes of death
A, CD, GHI, ?, UVWXY
(A) LMN (B)MNO (B) rank as one of the leading causes of death
(C) MNOP (D) NOPQ (C) has the rank of one of the leading causes of death
(D) are one of the leading causes of death
Q45 Choose the most appropriate word from the options
given below to complete the following sentence. Q50 Read the following paragraph and choose the correct
If the athlete had wanted to come first in the race, he statement.
______ several hours every day. Climate change has reduced human security and threatened
(A) should practise (B)should have practised human well being. An ignored reality of human progress is
that human security largely depends upon environmental
(C) practised (D) should be practising security. But on the contrary, human progress seems
contradictory to environmental security. To keep up both
GATE 2015 EC 01 TWO MARKS
at the required level is a challenge to be addressed by one
and all. One of the ways to curb the climate change may
be suitable scientific innovations, while the other may be
Q46 A cube of side 3 units is formed using a set of smaller the Gandhian perspective on small scale progress with
cubes of side 1 unit. Find the proportion of the number of focus on sustainability.
faces of the smaller cubes visible to those which are NOT (A) Human progress and security are positively associat-
visible. ed with environmental security.
(A) 1 : 4 (B) 1 : 3 (B) Human progress is contradictory to environmental
(C) 1 : 2 (D) 2 : 3 security.
(C) Human security is contradictory to environmental
Q47 Fill in the missing value security.
(D) Human progress depends upon environmental securi-
ty.

GATE 2015 EC 02 TWO MARKS

Q51 Given below are two statements followed by two


conclusions. Assuming these statements to be true, decide
which one logically follows.
Statements:
I All film stars are playback singers.
Q48 Humpty Dumpty sits on a wall every day while
II All film directors are film stars
having lunch. The wall sometimes breaks. A person sitting
on the wall falls if the wall beaks. Conclusions:
Which one of the statements below is logically valid and I All film directors are playback singers.
can be inferred from the above sentences ? II Some film stars are film directors.
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 11 General Aptitude Page 451

(A) Only conclusion I follows GATE 2015 EC 03 TWO MARKS

(B) Only conclusion II follows


(C) Neither conclusion I nor II follows Q56 log tan 1° + log tan 2° + ..... + log tan 89° is
______
(D) Both conclusions I and II follow
(A) 1 (B) 1
2
Q52 Lamenting the gradual sidelining of the arts in (C) 0 (D) - 1
school curricula, a group of prominent artists wrote to
the Chief Minister last year, asking him to allocate more
Q57 Ms. X will be in Bagdogra from 01/05/2014 to
funds to support arts education in schools. However, no
20/05/2014 and from 22/05/2014 to 31/05/2014. On the
such increase has been announced in this year’s Budget.
morning of 21/05/2014, she will reach Kochi via Mumbai.
The artists expressed their deep anguish at their request
Which one of the statements below is logically valid and
not being approved, but many of them remain optimistic
can be inferred from the above sentences?
about funding in the future
(A) Ms. X will be in Kochi for one day, only in May
Which of the statement(s) below is/are logically valid and
can be inferred from the above statements? (B) Ms. X will be in Kochi for only one day in May
(i) The artists expected funding for the arts to increase (C) Ms. X will be only in Kochi for one day in May
this year (D) Only Ms. X will be in Kochi for one day in May
(ii) The Chief Minister was receptive to the idea of in-
creasing funding for the arts Q58 Ram and Shyam shared a secret and promised to each
(iii) The Chief Minister is a prominent artist other that it would remain between them. Ram expressed
(iv) Schools are giving less importance to arts education himself in one of the following ways as given in the choices
nowadays below. Identify the correct way as per standard English.
(A) (iii) and (iv) (B) (i) and (iv) (A) It would remain between you and me
(C) (ii) (iii) and (iv) (D) (i) and (iii) (B) It would remain between I and you
(C) It would remain between you and I
Q53 A tiger is 50 leaps of its own behind a deer. The tiger (D) It would remain with me
takes 5 leaps per minute to the deer’s 4. If the tiger and
the deer cover 8 metre and 5 metre per leap respectively. Q59 From a circular sheet of paper of radius 30 cm, a
What distance in metres will the tiger have to run before sector of 10% area is removed. If the remaining part is
it catches the deer? used to make a conical surface, then the ratio of the radius
and height of the cone is_______.
Q54 If a2 + b2 + c2 = 1, then ab + bc + ac lies in the
interval Q60 In the following question, the first and the last
2 -1
(A) :1, 3D (B) : 2 , 1D sentence of the passage are in order and numbered 1
and 6. The rest of the passage is split into 4 parts and
1
(C) :- 1, 2D
numbered as 2, 3, 4 and 5. These 4 parts are not arranged
(D) [2, - 4]
in proper order. Read the sentences and arrange them in a
logical sequence to make a passage and choose the correct
Q55 In the following sentence certain parts are underlined sequence from the given options.
and marked P, Q and R. One of the parts may contain 1 On Diwali, the family rises early in the morning.
certain error or may not be acceptable in standard written 2 The whole family, including the young and the old
communication. Select the part containing an error. enjoy doing this.
Choose D as your answer if there is no error.
3 Children let off fireworks later in the night with
The student corrected all the errors that their friends.
P
the instructor marked on the answer book 4 At sunset, the lamps are lit and the family performs
Q R various rituals.
5 Father, mother and children visit relatives and ex-
(A) P (B)Q
change gifts and sweets.
(C) R (D) No error
6 Houses look so pretty with lighted lamps all around.
(A) 2, 5, 3, 4 (B) 5, 2, 4, 3
To Get Updated Solved Paper by Whatsapp, Send Your
Branch and BTech year to 9414243489 by whatsapp
Page 452 General Aptitude Chapter 11

(C) 3, 5, 4, 2 (D) 4, 5, 2, 3 Men Women


Car 40 34
GATE 2014 EC01 TWO MARKS Own vehicle Scooter 30 20
Both 60 46
Q61 The Palghat Gap (or Palakkad Gap), a region about
Do not own vehicle 20 50
30 km wide in the southern part of the Western Ghats in
India, is lower than the hilly terrain to its north and south.
The exact reasons for the formation of this gap are not Q65 When a point inside of a tetrahedron (a solid with
clear. It results in the neighbouring regions of Tamil Nadu four triangular surfaces) is connected by straight lines to
getting more rainfall from the South West mansoon and its corners, how many (new) internal planes are created
the neighbouring regions of Kerala having higher summer with these lines ? _____________
temperatures.
What can be inferred from this passage ? GATE 2014 EC02 ONE MARK
(A) The Palghat gap is caused by high rainfall and high
temperatures in southern Tamil Nadu nad Kerala
Q66 Choose the most appropriate phrase from the options
(B) The regions in Tamil Nadu and Kerala that are near given below to complete the following sentence.
the Palghat Gap are low-lying India is a post-colonial country because
(C) The low terrian of the Palghat Gap has a significant (A) it was a former British colony
impact on weather patterns in neighbouring parts of
(B) Indian Information Technology professionals have
Tamil Nadu and Kerala.
colonized the world
(D) Higher summer temperature result in higher rainfall
(C) India does not follow any colonial practices
near the Palghat Gap area.
(D) India has helped other countries gain freedom

Q62 Geneticists say that they are very close to confirming


the genetic roots of psychiatric illnesses such as depression Q67 Who ______ was coming to see us this evening ?
and schizophrenia, and consequently, that doctors will be (A) you said (B) did you say
able to eradicate these diseases through early identification (C) did you say that (D) had you said
and gene therapy.
On which of the following assumptions does the state-
Q68 Match the columns
ment above rely ?
(A) Strategies are now available for eliminating psychiat-
ric illnesses Column 1 Column 2
(B) Certain psychiatric illnesses have a genetic basis 1. eradicate P. misrepresent
(C) All human diseases can be traced back to genes and 2. distort Q. soak completely
how they are expressed
3. saturate R. use
(D) In the future, genetics will become the only relevant
field for identifying psychiatric illnesses 4. utilize S. destroy utterly

(A) 1 : S, 2 : P, 3 : Q, 4 : R
Q63 Round-trip tickets to a tourist destination are eligible (B) 1 : P, 2 : Q, 3 : R, 4 : S
for a discount of 10% on the total fare. In addition, groups
(C) 1 : Q, 2 : R, 3 : S, 4 : P
of 4 or more get a discount of 5% on the total fare. If
the one way single person fare is Rs 100, a group of 5 (D) 1 : S, 2 : P, 3 : R, 4 : Q
tourists purchasing round-trip tickets will be charged Rs
_________. Q69 What is the average of all multiplies of 10 from 2 to
198 ?
Q64 In a survey, 300 respondents were asked whether (A) 90 (B) 100
they own a vehicle or not. If yes, they were further asked (C) 110 (D) 120
to mention whether they own a car or scooter or both.
Their responses are tabulated below. What percent of
respondents do not own a scooter ? Q70 The value of 12 + 12 + 12 + ... is
(A) 3.464 (B) 3.932
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 11 General Aptitude Page 453

(C) 4.000 (D) 4.444

GATE 2014 EC02 TWO MARKS

Q71 The old city of Koenigsberg, which had a German


majority population before World War 2, is now called
Kaliningrad. After the events of the war, Kaliningrad is
now a Russian territory and has a predominantly Russian
population. It is bordered by the Baltic Sea on the north
and the countries of Poland to the south and west and
Lithuania to the east respectively. Which of the statement
below can be inferred from this passage ?
(A) Kaliningrad was historically Russian in its ethnic Q75 At what time between 6 a.m. and 7 a.m. will the
make up minute hand and hour hand of a clock make an angle
(B) Kaliningrad is a part of Russia despite it not being closest to 60c ?
contiguous with the rest of Russia (A) 6 : 22 a.m. (B) 6 : 27 a.m.
(C) Koenigsberg was renamed Kaliningrad, as that was (C) 6 : 38 a.m. (D) 6 : 45 a.m.
its original Russain name
(D) Poland and Lithuania are on the route from Kalinin- GATE 2014 EC03 ONE MARK
grad to the rest of Russia
Q76 While trying to collect an envelope
I
Q72 The number of people diagnosed with dengue
from under the table , Mr. X fell down and
fever (contracted from the bite of a mosquito) in north II III
India is twice the number diagnosed last year. Municipal was losing consciousness
authorities have concluded that measures to control the IV

mosquito population have failed in this region. Which one of the above underlined parts of the sentence
Which one of the following statements, if true, does not is NOT appropriate ?
contradict this conclusion ? (A) I (B) II
(A) A high proportion of the affected population has (C) III (D) IV
returned from neighbouring countries where dengue
is prevalent Q77 If she ____ how to calibrate the instrument, she
(B) More cases of dengue are now reported because of _____ done the experiment.
an increase in the Municipal Office’s administrative (A) knows, will have
efficiency (B) knew, had
(C) Many more cases of dengue are being diagnosed this (C) had known, could have
year since the introduction of a new and effective
(D) should have known, would have
diagnostic test
(D) The number of people with malarial fever (also con-
tracted from mosquito bites) has increased this year Q78 Choose the word that is opposite in meaning to the
word “coherent”.
(A) sticky (B) well-connected
Q73 If x is real and x2 - 2x + 3 = 11, then possible
(C) rambling (D) friendly
values of - x3 + x2 - x include
(A) 2, 4 (B) 2, 14
(C) 4, 52 (D) 14, 52 Q79 Which number does not belong in the series below ?
2, 5, 10, 17, 26, 37, 50, 64
(A) 17 (B) 37
Q74 The ratio of male to female students in a college for
(C) 64 (D) 26
five years is plotted in the following line graph. If the number
of female students doubled in 2009, by what percent did the
number of male students increase in 2009 ? Q80 The table below has question-wise data on the
performance of students in an examination. The marks
To Get Updated Solved Paper by Whatsapp, Send Your
Branch and BTech year to 9414243489 by whatsapp
Page 454 General Aptitude Chapter 11

for each question are also listed. There is no negative or Q83 The Gross Domestic Product (GDP) in Rupees grew
partial marking in the examination. at 7% during 2012-2013. For international comparison, the
GDP is compared in US Dollars (USD) after conversion
Q. No. Marks Answered Answered Not based on the market exchange rate. During the period
Correctly Wrongly Attempted 2012-2013 the exchange rate for the USD increased from
1 2 21 17 6 Rs. 50/ USD to Rs. 60/USD. India’a GDP in USD during
the period 2012-2013.
2 3 15 27 2
(A) increased by 5% (B) decreased by 13%
3 2 23 18 3 (C) decreased by 20% (D) decreased by 11%
What is the average of the marks obtained by the class
in the examination ? Q84 The ratio of male to female students in a college
(A) 1.34 (B) 1.74 for five years is plotted in the following line graph. If the
(C) 3.02 (D) 3.91 number of female students in 2011 and 2012 is equal, what
is the ratio of male students in 2012 to male students in
2011 ?
GATE 2014 EC03 TWO MARKS

Q81 A dance programme is scheduled for 10.00 a.m.


Some students are participating in the programme and
they need to come an hour earlier than the start of the
event. These students should be accompanied by a parent.
Other students and parents should come in time for the
programme. The instruction you think that is appropriate
for this is
(A) Students should come at 9.00 a.m. and parents
should come at 10.00 a.m.
(B) Participating students should come at 9.00 a.m.
accompanied by a parent, and other parents and
students should come by 10.00 a.m. (A) 1 : 1 (B) 2 : 1
(C) Students who are not participating should come by (C) 1.5 : 1 (D) 2.5 : 1
10.00 a.m. and they should not bring their parents.
Participating students should come at 9.00 a.m. Q85 Consider the equation ^7526h8 - ^Y h8 = ^4364h8 , where
(D) Participating students should come before 9.00 a.m. ^X hN stands for X to the base N . Find Y .
Parents who accompany them should come at 9.00 (A) 1634 (B) 1737
a.m. All others should come at 10.00 a.m. (C) 3142 (D) 3162

Q82 By the beginning of the 20 th century, several GATE 2013 ONE MARK
hypotheses were being proposed, suggesting a paradigm
shift in our understanding of the universe. However,
Q86 Choose the grammatically CORRECT sentence:
the clinching evidence was provided by experimental
(A) Two and two add four
measurements of the position of a star which was directly
behind our sun. Which of the following inference(s) may (B) Two and two become four
be drawn from the above passage ? (C) Two and two are four
(i) Our understanding of the universe changes based on (D) Two and two make four
the positions of stars
(ii) Paradigm shifts usually occur at the beginning of
Q87 Statement: You can always give me a ring whenever
centuries
you need.
(iii) Stars are important objects in the universe Which one of the following is the best inference from the
(iv) Experimental evidence was important in confirming above statement?
this paradigm shift (A) Because I have a nice caller tune.
(A) (i), (ii) and (iv) (B) (iii) only (B) Because I have a better telephone facility
(C) (i) and (iv) (D) (iv) only
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 11 General Aptitude Page 455

(C) Because a friend in need is a friend indeed Q94 The set of values of p for which the roots of the
(D) Because you need not pay towards the telephone equation 3x2 + 2x + p ^p - 1h = 0 are of opposite sign is
bills when you give me a ring (A) ^- 3, 0h (B) ^0, 1h
(C) ^1, 3h (D) ^0, 3h
Q88 In the summer of 2012, in New Delhi, the mean
temperature of Monday to Wednesday was 41°C and of Q95 What is the chance that a leap year, selected at
Tuesday to Thursday was 43cC . If the temperature on random, will contain 53 Sundays?
Thursday was 15% higher than that of Monday, then the (A) 2/7 (B) 3/7
temperature in cC on Thursday was (C) 1/7 (D) 5/7
(A) 40 (B) 43
(C) 46 (D) 49
2012 ONE MARK

Q89 Complete the sentence: Dare .................. mistakes.


(A) commit (B) to commit Q96 If (1.001) 1259 = 3.52 and (1.001) 2062 = 7.85, then
(1.001) 3321
(C) committed (D) committing
(A) 2.23 (B) 4.33
(C) 11.37 (D) 27.64
Q90 They were requested not to quarrel with others.
Which one of the following options is the closest in meaning
Q97 Choose the most appropriate alternate from the
to the word quarrel?
options given below to complete the following sentence :
(A) make out (B) call out
If the tired soldier wanted to lie down, he..................the
(C) dig out (D) fall out mattress out on the balcony.
(A) should take (B) shall take
GATE 2013 TWO MARKS (C) should have taken (D) will have taken

Q91 A car travels 8 km in the first quarter of an hour, Q98 Choose the most appropriate word from the options
6 km in the second quarter and 16 km in the third quarter. given below to complete the following sentence :
The average speed of the car in km per hour over the Give the seriousness of the situation that he had to face,
entire journey is his........was impressive.
(A) 30 (B) 36 (A) beggary (B) nomenclature
(C) 40 (D) 24 (C) jealousy (D) nonchalance

Q92 Find the sum to n terms of the series 10 + 84 + 734 + ... Q99 Which one of the following options is the closest in
9 ^9n + 1h 9 ^9n - 1h meaning to the word given below ?
(A) +1 (B) +1
10 8 Latitude
9 ^9n - 1h 9 ^9n - 1h (A) Eligibility (B) Freedom
(C) +n (D) + n2 (C) Coercion (D) Meticulousness
8 8

Q93 Statement: There were different streams of freedom Q100 One of the parts (A, B, C, D) in the sentence given
movements in colonial India carried out by the moderates, below contains an ERROR. Which one of the following is
liberals, radicals, socialists, and so on. INCORRECT ?
Which one of the following is the best inference from the I requested that he should be given the driving test today
above statement? instead of tomorrow.
(A) The emergence of nationalism in colonial India led to (A) requested that (B) should be given
our Independence
(C) the driving test (D) instead of tomorrow
(B) Nationalism in India emerged in the context of colo-
nialism
(C) Nationalism in India is homogeneous GATE 2012 TWO MARKS
(D) Nationalism in India is heterogeneous
Q101 One of the legacies of the Roman legions was
To Get Updated Solved Paper by Whatsapp, Send Your
Branch and BTech year to 9414243489 by whatsapp
Page 456 General Aptitude Chapter 11

discipline. In the legious, military law prevailed and PM and 2 PM on a given day. There is a conditions that
discipline was brutal. Discipline on the battlefield kept whoever arrives first will not wait for the other for more
units obedient, intact and fighting, even when the odds than 15 minutes. The probability that they will meet on
and conditions were against them. that days is
Which one of the following statements best sums up the (A) 1/4 (B) 1/16
meaning of the above passage ? (C) 7/16 (D) 9/16
(A) Through regimentation was the main reason for the
efficiency of the Roman legions even in adverse cir-
cumstances. GATE 2011 ONE MARK
(B) The legions were treated inhumanly as if the men
were animals Q106 There are two candidates P and Q in an election.
(C) Disciplines was the armies inheritance from their During the campaign, 40% of voter promised to vote for
seniors P , and rest for Q . However, on the day of election 15% of
the voters went back on their promise to vote for P and
(D) The harsh discipline to which the legions were
instead voted for Q . 25% of the voter went back on their
subjected to led to the odds and conditions being
promise to vote for Q and instead voted for P . Suppose,
against them.
P lost by 2 votes, then what was the total number of
voters ?
Q102 Raju has 14 currency notes in his pocket consisting (A) 100 (B) 110
of only Rs. 20 notes and Rs. 10 notes. The total money (C) 90 (D) 95
values of the notes is Rs. 230. The number of Rs. 10 notes
that Raju has is
(A) 5 (B) 6 Q107 The question below consists of a pair of related words
followed by four pairs of words. Select the pair that best
(C) 9 (D) 10
expresses the relations in the original pair :
Gladiator : Arena
Q103 There are eight bags of rice looking alike, seven (A) dancer : stage (B) commuter : train
of which have equal weight and one is slightly heavier. (C) teacher : classroom (D) lawyer : courtroom
The weighing balance is of unlimited capacity. Using this
balance, the minimum number of weighings required to
identify the heavier bag is Q108 Choose the most appropriate word from the options
(A) 2 (B) 3 given below to complete the following sentence :
Under ethical guidelines recently adopted by the Indian
(C) 4 (D) 8
Medical Association, human genes are to be manipulated
only to correct diseases for which...................treatments
Q104 The data given in the following table summarizes are unsatisfactory.
the monthly budget of an average household. (A) similar (B) most
(C) uncommon (D) available
Category Amount (Rs.)
Food 4000 Q109 Choose the word from the from the options given
below that is most opposite in meaning to the given word :
Clothing 1200
Frequency
Rent 2000 (A) periodicity (B) rarity
Savings 1500 (C) gradualness (D) persistency
Other Expenses 1800
Q110 Choose the most appropriate word from the options
given below to complete the following sentence :
The approximate percentages of the monthly budget NOT
It was her view that the country’s had been ............. by
spent on savings is
foreign techno-crafts, so that to invite them to come back
(A) 10% (B) 14%
would be counter-productive.
(C) 81% (D) 86% (A) identified (B) ascertained
(C) exacerbated (D) analysed
Q105 A and B are friends. They decide to meet between 1
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 11 General Aptitude Page 457

GATE 2011 TWO MARKS Q115 Three friends R, S and T shared toffee from a bowl.
R took 1/3 rd of the toffees, but returned four to the bowl.
Q111 The fuel consumed by a motor cycle during a journey S took 1/4 th of what was left but returned three toffees to
while travelling at various speed is indicated in the graph the bowl. T took half of the remainder but returned two
below. back into the bowl. If the bowl had 17 toffees left, how
many toffees were originally there in the bowl ?
(A) 38 (B) 31
(C) 48 (D) 41

GATE 2010 ONE MARK

Q116 Which of the following options is the closest in


meaning to the word below ?
Circuitous
The distance covered during four laps of the journey are (A) Cyclic (B) Indirect
listed in the table below (C) Confusing (D) Crooked

Lap Distance (km) Average speed (km/hour) Q117 The question below consist of a pair of related
P 15 15 words followed by four pairs of words. Select the pair that
Q 75 45 best expresses the relation in the original pair.
Unemployed : Worker
R 40 75 (A) Fallow : Land (B) Unaware : Sleeper
S 10 10 (C) Wit : Jester (D) Renovated : House

From the given data, we can conclude that the fuel


consumed per kilometre was least during the lap Q118 Choose the most appropriate word from the options
(A) P (B) Q given below to complete the following sentence :
If we manage to ........ our natural resources, we would
(C) R (D) S
leave a better planet for our children.
(A) unhold (B) restrain
Q112 The horse has played a little known but very (C) cherish (D) conserve
important role in the field of medicine. Horses were
injected with toxins of disease until their blood build up
immunities. Then a serum was made from their blood. Q119 Choose the most appropriate word from the options
Serums to fight with diphteria and tetanus were developed given below to complete the following sentence :
this way. His rather casual remarks on politics..................his lack of
It can be inferred from the passage, that horses were seriousness about the subject.
(A) given immunity to diseases (A) masked (B) belied
(B) generally quite immune to diseases (C) betrayed (D) suppressed
(C) given medicines to fight toxins
(D) given diphtheria and tetanus serums Q120 25 persons are in a room 15 of them play hockey,
17 of them play football and 10 of them play hockey and
football. Then the number of persons playing neither
Q113 The sum of n terms of the series 4 + 44 + 444 + ........ hockey nor football is
(A) (4/81) [10n + 1 - 9n - 1] (B) (4/81) [10n - 1 - 9n - 1] (A) 2 (B) 17
(C) (4/81) [10n + 1 - 9n - 10] (D) (4/81) [10n - 9n - 10] (C) 13 (D) 3

Q114 Given that f (y) = y /y, and q is any non-zero real


GATE 2010 TWO MARKS
number, the value of f (q) - f (- q) is
(A) 0 (B) - 1
Q121 Modern warfare has changed from large scale
(C) 1 (D) 2
clashes of armies to suppression of civilian populations.
To Get Updated Solved Paper by Whatsapp, Send Your
Branch and BTech year to 9414243489 by whatsapp
Page 458 General Aptitude Chapter 11

Chemical agents that do their work silently appear to be (A) 20 days (B) 18 days
suited to such warfare ; and regretfully, their exist people (C) 16 days (D) 15 days
in military establishments who think that chemical agents
are useful fools for their cause.
Which of the following statements best sums up the Q124 Given digits 2, 2, 3, 3, 3, 4, 4, 4, 4 how much distinct
meaning of the above passage ? 4 digit numbers greater than 3000 can be formed ?
(A) Modern warfare has resulted in civil strife. (A) 50 (B) 51
(B) Chemical agents are useful in modern warfare. (C) 52 (D) 54
(C) Use of chemical agents in ware fare would be unde-
sirable. Q125 Hari (H), Gita (G), Irfan (I) and Saira (S) are
(D) People in military establishments like to use chemi- siblings (i.e. brothers and sisters.) All were born on 1st
cal agents in war. January. The age difference between any two successive
siblings (that is born one after another) is less than 3
years. Given the following facts :
Q122 If 137 + 276 = 435 how much is 731 + 672 ? 1. Hari’s age + Gita’s age > Irfan’s age + Saira’s age.
(A) 534 (B) 1403
2. The age difference between Gita and Saira is 1 year.
(C) 1623 (D) 1531 However, Gita is not the oldest and Saira is not the
youngest.
Q123 5 skilled workers can build a wall in 20 days; 8 semi- 3. There are no twins.
skilled workers can build a wall in 25 days; 10 unskilled In what order were they born (oldest first) ?
workers can build a wall in 30 days. If a team has 2 skilled, (A) HSIG (B) SGHI
6 semi-skilled and 5 unskilled workers, how long will it (C) IGSH (D) IHSG
take to build the wall ?

***********
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 11 General Aptitude Page 459

SOLUTIONS
S1 Correct option is (A). S8 Correct option is (C).
Before superlative article ‘the’ has to be used. ‘one of’ the ‘rest is history’ is an idiomatic expression which means
expression should take plural noun and so option ‘C’ and ‘rest is well known’.
‘D’ can’t be the answer.
S9 Correct option is (C).
S2 Correct option is (B). Given (9 inches) 1/2 = (0.25 yards) 1/2
‘lose’ is verb. 9 inches = 0.25 yards

S3 Correct option is (A). S10 Correct option is (B).


‘effectiveness’ is noun and ‘prescribed’ is verb. These M efficiency = 2 [efficiency of S, E and F]
words are apt and befitting with the word ‘medicine.’ Contribution of M in the project = x days # 6 hrs # 2
Contribution of E in the project = 2x days # 12 hrs # 1
S4 Correct option is (A). Contribution of M : Contribution of E
Total no. of fruits = 5692000 x # 6 # 2 : 2x # 12 # 1
Unripe type of apples = 45% of 15% of 5692000 1: 2
= 45 # 15 # 5692000 = 384210
100 100 S11 Correct option is (C)
Ripe type of apples = 34 # 85 # 5692000 = 1644988 Based on the given sentences option ‘C’ is the correct
100 100
sentence which is in the comparative degree. Option A
Total no. of apples = 384210 + 1644988 = 2029198 and B convey the wrong comparison and D has double
comparative and so they are wrong.
S5 Correct option is (C).
From given data, the following diagram is possible. S12 Correct option is (C)
The underlined word grasping means clutching or holding
something tightly.

S13 Correct option is (B)


Q and R are the son and daughter of M, E is the mother
of P and daughter-in-law of M means Q and E are married
I = I live couples in the family.
AH = Ahmed lives ` P is the grandchild of M.
M = Michael lives
S = Susan lives S14 Correct option is (C)
A = Arun lives In the given set of number, all are perfect squares but 97
Arun lives farther away than Ahmed means more than is not
5 km but closer than Susan means less than 7 km, from 324 is square of 18 & ^18h2 = 324
given alternatives, option (C) only possible. 441 is square of 21 & ^21h2 = 441
64 is square of 8 & ^8 h2 = 64
S6 Correct option is (C). 97 is not the square of any number. Thus the number that
In degrees of comparison Mr. X is taller than Mr. Y is apt. least fits in gives set is 97.
Positive degree - tall
S15 Correct option is (A)
Comparative degree - taller
Superlative degree - tallest Speed of the train (ST)
length of the train (LT) + Distance (D)
=
Time (T)
S7 Correct option is (B).
Felicitate means honour. (ST) = LT + D
T
D = Distance (or) length of the platform = 0
To Get Updated Solved Paper by Whatsapp, Send Your
Branch and BTech year to 9414243489 by whatsapp
Page 460 General Aptitude Chapter 11

Speed of the first train ^ST1h = 120 = 12 m/s Q working hours & (7 - 2) # 12 = 60 hrs
10 R working hours & 7 # 18 = 126 hrs
Speed of the second train ^ST2h = 150 = 10 m/s After 7 days, the ratio of work done by Q and R
15 Q :R
The magnitude of the difference in the speed of the two 60 : 126
trains (m/s) 300 600
= 12 - 10 = 2 20 : 21

S16 Correct option is (C). S21 Correct option is (D).


Read sports = n (R) = 12 + 44 + 7 + 13 = 76
Play sports = n (s) = 44 + 7 + 17 + 15 = 83
n (R + S ) = 44 + 7 = 51
n (R , S ) = n (R) + n (S ) - n (R + S )
= 76 + 83 - 51 = 108

S22 Correct option is (A).


Until the colonial period means pre-colonial origion. Other
options can’t be inferred.

= 70 # 50 = 35 = 35% S23 Correct option is (D).


100 100 100
Time back = 2 1 = 2 hrs 15 min
S17 Correct option is (B). 4
The words ‘was confident that they would reciprocate’
and ‘last week proved him wrong’ lead to statements (iii)
and (iv) as logically valid inferences.

S18 Correct option is (D).


From given data, the following arrangement is possible.
Shiva
Leela
Pavithra
Among four alternatives, option (D) is TRUE.
The actual time shown by the clock
= 10.30 + 2.15 = 12.45
S19 Correct option is (C).
q-a = 1 & 1a = 1 & qa = r S24 Correct option is (C).
r q r
From the given data, the following diagram is possible
r-b = 1 & 1b = 1 & s = rb
s r s
s-c = 1 & 1c = 1 & sc = q
q s q
q = r & (s ) = r & sac = r
a c a

(sac) b = s

sabc = sl
` abc = 1

S20 Correct option is (C).


Q can finish the task = 25 days, 12 hrs/day
= 300 hrs , 1 hr = 1 th
300
R can finish the task = 50 days, 12 hrs/day
cos 45c = DE
= 50 # 12 4
= 600 hrs , 1 hr = 1 th DE = cos 45c # 4 = 2.828 km
600
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 11 General Aptitude Page 461

sin 45c = EN 2x + 2 # 2x = 180 mm


4 6x = 180 mm
EN = sin 45c # 4 = 2.828 km x = 30 mm
CN = NE + CE = 2.828 + 5 Area of the square = 40 # 40 = 1600 mm2
= 7.828 km Area of the rectangle = 30 # 2 # 30
CB = AB - AC = 10 - 2.828 = 1800 mm2
= 7.171 km Total area = 1600 + 1800 = 3400 mm2
(NB) 2 = (NC) 2 + (BC) 2 3400 mm2 < 3638 mm2
= (7.828) 2 + (7.171) 2 Option (B) is correct.
NB = (7.828) 2 + (7.171) 2 = 10.616 km
NM = NB + BN = 10.616 + 10 S26 Correct option is (D)
= 20.61 km The odometer reading increase from starting point to end
point
S25 Correct option is (B). Area of the velocity and time graph per second
Length of the wire = 340 m
1 st sec & triangle = 1 # 1 # 1 = 1
2 2
nd
2 sec & square = 1 # 1 = 1

3 rd sec & square+triangle = 1 # 1 + 1 # 1 # 1 = 1 1


2 2
4 th sec & triangle = 1 # 1 # 2 = 1
2
th
x 2x 5 sec & straight line = 0
Perimeter of rectangle = 2 : 3 + 3 D = 2x
6 sec & triangle = 1 # 1 # 1 = 1
th
2 2
Side of square = 340 - 2x
7 th sec & triangle = 1 # 1 # 1 = 1
2 2
Side of square = 340 - 2x
4 Total Odometer reading at 7 seconds
Total area = Area of square + Area of rectangle = 1 + 1 + 11 + 1 + 0 + 1 + 1 = 5
340 - 2x 2 2x2 2 2 2 2
= : 340 - 2x D + x # 2x = : D +
2

4 2 3 4 9
S27 Correct option is (A)
Combined area of square + rectangle = minimum
Only option ‘A’ can be logically inferred from the
f l (x) = 0
340 - 2x 2 2 2 information provided in the argument.
f (x) = : 4 D + x
9
S28 Correct option is (C)
f l (x) = 4 x2 - 340 - 2x = 0 Manu age = Sravan age + 2 months
9 4
Manu age = Trideep age - 3 months
Another method : Pavan age = Sravan’s age + 1 month
Elimination procedure from alternatives option (C) and From this Trideep age>Manu>Pavan>Sravan
(D) are not possible because area may be maximum. Trideep can occupy the extra spane in the flat.
Option (A)
Side of the square = x = 30 mm S29 Correct option is (B)
Perimeter of the square = 30 + 30 + 30 + 30
= 120 mm
Perimeter of the rectangle = 340 - 120 = 220 mm
2x + 2 # 2x = 220
x = 37
2x = 37 # 2 = 74
Area of square = x2 = (30) 2 = 900
Area of rectangle = x # 2x = 37 # 74 = 2738
Total area = 900 + 2738 = 3638 mm2
Option (B)
Side of the square = x = 40 mm
Perimeter of the square = 340 - 160 = 180 mm
To Get Updated Solved Paper by Whatsapp, Send Your
Branch and BTech year to 9414243489 by whatsapp
Page 462 General Aptitude Chapter 11

A = ;14 , 0E S37 Correct option is (B).


3 Here, the focus of sentence is on Dhoni, not team members.
B = 60, 7@ Because the team phrase can be omitted from the sentence,
it will be was and not were.
C = :5 , 0D
2
S38 Correct option is (B).
D = :0, - 5D
3 PRam (Select) = 1
6
E = 64, 1@
F = 60, 1@ PRamesh (Select) = 1
8
Required area = Area of Tle OAB - Area of Tle CEA
PRam (not select) = 5
6
= b 1 # 14 # 7 l - ;1 # b 14 - 5 l # 1E
2 3 2 3 2 PRamesh (not select) = 7
8
= 15.25 sq. units
Another method: So, P (only one) = P (Ramesh select # Ram not)
Required area = Area of Tle BFE + Area of FEOC + P (Ram select # Ramesh not)
= 1 # 4 # 6 + 1 # ^4 + 2.5h # 1 = # + # 7 = 5 + 7 = 12 = 1
1 5 1
2 2 8 6 6 8 48 48 48 4
= 12 + 3.25 = 15.25 sq. units. S39 Correct option is (A).

S30 Correct option is (A) S40 Correct option is (D).


Straight line equation y = mx + c We obtain the electric consumption per km for different
m = slope =- 0.02 stretched as
set (log x, y ) M = 12 = 0.6
If log x = X , then set ^x, y h 6x = 0.1, y = 0@ 20
y = mX + C N = 25 - 12 = 13 = 0.52
0 =- 0.02 # 0.1 + C 45 - 20 25
C = 0.002 O = 45 - 25 = 20 = 0.66
75 - 45 30
y = mX + C
y =- 0.02 # log x + C P = 57 - 45 = 12 = 0.48
100 - 75 25
@x = 5
y =- 0.02 # log 5 + 0.002 =- 0.030 Hence, for stretch P the electricity consumption per km
is minimum.
S31 Correct option is (C).
S41 Correct option is (B).
S32 Correct option is (A).
S42
log x b 5 l =- 1 Correct option is (A).
7 3 If x >y
b 7 l = ^x 3 h
5 -1 Thenex > ey
Similarly, for x > y > 1 log x is increasing function. Hence,
or x 1/3 = b 7 l ln x > ln y
5
x = b 7 l = 343
3
Hence, S43 Correct option is (B)
5 125
S33 Correct option is (C). S44 Correct option is (C)
^66 4 6h " ^66G6h

= 66 - 6 # 66 + 6 = 1
66 + 6 66 - 6
S34 Correct option is (B).

S35 Correct option is (A). S45 Correct option is (B)

S36 Correct option is (C). S46 Correct option is (C).


This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 11 General Aptitude Page 463

Consider the cube of side 1 unit shown below. 3 + 3 = 3.


2
S48 Correct option is (B).

S49 Correct option is (A).

S50 Correct option is (B).

S51 Correct option is (D)


Now, a set of similar cubes as shown above is joint to
form a cube of side 3 units, we have to determine the ratio
of faces of smaller cubes visible to those which are not
visible . Complete surface area of the cube of side 3 units
determined as
A = 6 # ^3h2 = 54
Again, the area of one face of cube of side 1 unit is
A1 = ^1 h2 = 1
So, the total number of visible faces is
Hence, from Venn diagram above, both conclusions I and
N visible = A = 54 II follow.
A1
Again, total number of smaller cubes required to form the
S52 Correct option is (B).
big cube is
Number of smaller cubes S53 Correct answer is 800.
^3h3
= volume of cube of side 3 unit = = 27 One tiger leap = 8 m
volume of cube of side 1 unit ^1 h3 So, Tiger Speed = 5 leap/min = 40 m/min
So, the total number of faces of smaller cubes is given as One deer leap = 5 m
N total = 6 # (number of smaller cubes) So, Dear Speed = 4 leap/min = 20 m/min
= 6 # 27 = 162 After time t tiger catches the deer. Equating the
Therefore number of invisible faces is distances, we obtain
N invisible = N total - N visible = 162 - 54 = 108 Initial gap = 50 leap of time
Hence, the desired ratio is = 50 # 8 m = 400 m
N visible = 54 = 1 or 1:2 or 400 m + 20t = 40 # t
N invisible 108 2
t = 400 = 20 min
200
S47 Correct answer is 3.
Hence, total distance = 400 + 20 # 20 = 800 m
In the given problem, the numbers appearing in the centre
line is average of the sum of numbers appearing on left
S54 Correct option is (B).
and right to the numbers. This is shown in figure below. We know ^a + b + c h2 = o2 + b2 + c2 + 2 ^ab + bc + ca h
Given a2 + b2 + c2 = 1
So, ^a + b + c h2 = 1 + 2 ^ab + bc + ca h
Since, square is always positive quantity, so
1 + 2 ^ab + bc + ca h $ 0
ab + bc + ca $- 1
2
S55 Correct option is (B).
In the part Q, the is not required.

S56 Correct option is (C)


log tan 1° + log tan 89° = log (tan 1° # tan 89°)
= log (tan 1° # cot 1°)
= log 1 = 0

Hence, the unknown number is given by S57 Correct option is (B)


To Get Updated Solved Paper by Whatsapp, Send Your
Branch and BTech year to 9414243489 by whatsapp
Page 464 General Aptitude Chapter 11

S58 Correct option is (A) 150 women = 34 women + 20 women + 46 (women) +


50 (women)
S59 Correct answer is 1.11 . (car) (Scooter) (Car & Scooter) (nothing)
90% of area of sheet = cross sectional area of cone Total number of women who owns car = 80
0.9 # p (30) 2 = pl (30) Total number of women who owns scooter = 66
(cross sectional area of cone = prl ) Total number of women who do not own a scooter
So, l = 27 cm = 34(Car) + 50 (Nothing) = 84 ...(ii)
Hence, h = (30) 2 - (27) 2 = 13.08 cm
The ratio of radius and height of cone is given by Percent of respondents who do not own a scooter
r = 30 = 1.11 ^men + womenh who do not own scooter
h 27 = # 100
Total respondents
S60 Correct option is (B). = 60 + 84 # 100 = 48%
300
S61 Correct option is (C). S65 Correct answer is 6.
Passage summary gives the conclusion: We draw a tetrahedron structure ABCD as
The low terrian of the Palghat gap has a significant impact
on weather pattern in neighbouring parts of Tamilnadu
and Kerala.

S62 Correct option is (B).


Strategies are now available for eliminating psychiatric
illness.
Now, P is a point inside the tetrahedron.
S63 Correct answer is 850.
Total round trip fare for group of 5 tourist without discount
= 5 # 200 = Rs. 1000
(i) Discount for round trip = 10% of total fare

= 10 # 1000 = Rs. 100


100
(ii)Discount for having a group of 5 tourist
= 5% of total fare The point P is connected to each corners A, B, C, D of the
= 5 # 1000 = Rs. 50 tetrahedron. So, we have the internal planes as
100
Total discount = Discount for round + ABP, APC, BPC, DPC, DPB, DPA
Discount for having a group of 5 tourist. i.e the total number of internal planes is 6.
= 100 + 50 = Rs. 150
S66 Correct option is (A).
Thus, the net round trip fare for group of 5 tourist after
A pronoun (it) is used after a conjunction (because), so
discount is
the complete sentence is:
Net fare = total fare - total discount
India is a post-colonial country because it was a former
= Rs. 1000 - Rs. 150
British colony.
= Rs. 850
S67 Correct option is (B).
S64 Correct answer is 48%.
Who did you say was coming to see us this evening ?
Total respondents = 300 (150 men + 150 women)
This is a question and ‘who’ in that sentence is an
150 men
= 40 men + 30 men + 60 (men) + 20 (men) interrogative pronoun. For that reason we need to invert
subject and verb and write ‘did you say ?’
(car) (Scooter) (Car & Scooter) (Nothing)
Total number of men who owns car = 100 S68 Correct option is (A).
Total number of men who owns scooter = 90 Eradicate- to remove or destroy utterly
Total number of men who do not own a scooter = 60 Distort- to give a false, perverted, or disproportionate
...(i) meaning to; misrepresent
= 40 ^carh + 20 ^nothing h Saturate-to soak, impregnate, or imbue thoroughly or
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 11 General Aptitude Page 465

completely x2 - 2x - 8 = 0
Utilize-to put to use x2 - 4x + 2x - 8 = 0
^x - 4h^x + 2h = 0
S69 Correct option is (B). x =- 2 , 4
For x =- 2
- x3 + x2 - x = -^- 8h + ^4h - ^- 2h
= 8 + 4 + 2 = 14
For x = 4
- x3 + x2 - x -^64h + ^16h - 4 = 52

S74 Correct answer is 140.


Let male students in 2006 be x1 and female students be
y1 . From the given graph we can see that in 2008 ratio of
male to female students is 2.5. So
x1 = 2.5
Average value = 200 # 9 + 100 = 100 y1
19
x1 = 2.5y1
S70 Correct option is (C). Let number of male student in 2009 be x2 and number of
Given expression can be written as female students be y2 . From the graph we can see that in
x = 12 + 12 + 12 + ... 2009, ratio of male to female students is 3. So
x = 12 + x a x = 12 + 12 + ... x2 = 3
2 y2
x = 12 + x
x2 - x - 12 = 0 Given that number of female students is double in 2009, so
x2 - 4x + 3x - 12 = 0 we have y2 = 2y1 . The above ratio now becomes as
x ^x - 4h + 3 ^x - 4h = 0 x2 = 3
^x + 3h^x - 4h = 0 2y1
x =- 3 , 4 x2 = 6y1
x =4 (x can not be negative) % of No. of male students increased in 2009
3 x = x2 - x1 # 100
S71 Correct option is (A). x1
Ethnic cleansing or makeup is the process of using 6y - 2.5y1
3x = 1 100 = 140
2.5y1 #
violent methods to force certain groups of people out of a
particular area or country. We can infer that Kaliningrad
S75 Correct option is (A).
was historically Russian in its ethnic make up.

S72 Correct option is (D).


The number of people with malarial fever (also contracted
from mosquito bites) has increased this year.

S73 Correct option is (D).


x2 - 2x + 3 = 11
So we can have two possible equalities
x2 - 2x + 3 = 11 or x2 - 2x - 8 = 0 ...(i)
2 2
and x - 2x + 3 =- 11 or x - 2x + 14 = 0 ...(ii)
For eq (ii), As shown in Figure above, at 6:00 a.m. initial angle
Discriminant T = b2 - 4ac between minute and hour hand is 180c. As we know that
= 4 - 4 (1) (14) 1 0
hour hand completes 30c in every hour (60 minutes), so
So, roots of equation (ii) will be imaginary, but given x
angle moved by hour hand in 1 minute is 30 60 . Similarly,
is real. Therefore we dont consider this equation.
For eq(i) minute hand complete 360c in every hour, so angle moved
Discriminant T = b2 - 4ac by minute hand in 1 minute is 360 60 . Let us assume that

= 4 - 4 (1) (- 8) 1 0 after x minutes the angle is 60c. Let us assume that after
So, roots of equation (i) will be real. x minutes the angle is 60c.
To Get Updated Solved Paper by Whatsapp, Send Your
Branch and BTech year to 9414243489 by whatsapp
Page 466 General Aptitude Chapter 11

60c = 180c + b 360c l x - b 30c l x Combining these three instructions (B) option is most
60c 60c appropriate.
angle moved angle moved
by hour hand by min hand

60c = 180c + 6x - 0.5x S82 Correct option is (D).


5.5x = 120 (i) Clinching evidence was provided by experimental
x = 120c = 21.8 min measurement of position of star.
6.5
(ii) Our understanding of universe changes, so experi-
Therefore approximately at 6.22 am the angle will close mental evidence are important.
to 60c between the hour hand and minute hand. So, by these (iv) is correct option.
S76 Correct option is (D).
S83 Correct option is (D).
IV underlined part is not correct. The correct statement
Let GDP in 2011-12 be x
for the IV underline part will be ‘lost consciousness’.
GDP in 2012-13 is 1.07x (7% grew)
S77 Correct option is (C). During 2012-13 exchange rate increase from Rs. 50/USD
For a conditional sentence, the rule is as given below. to Rs.60/USD.
If + past perfect tense So, GDIP in comparison to USD is obtained as
Would/could have + past participles. at initial of 2012-13 GDP/USD = x
50
Thus, the complete sentence is
and at final 2012-13 GDP/USD = 1.07x
If she had known how to calibrate the instrument, she 60
could have done the experiment. 1.07x
- x
So, increase and decrease = 60 x 50 # 100%
50
S78 Correct option is (C) = 10.83% = 11%
Coherent – capable of thinking and expressing yourself in
a clear and consistent manner. S84 Correct option is (C).
rambling – spreading out in different directions or Let number of female students in 2011 be x .
distributed irregularly. So, Number of male students in 2011 = x (ratio is 1)
Sticky – covered with an adhesive material. Number of female students in 2012 = x (Given)
So, coherent and rambling are opposite to each other. Number of male students in 2012 = 1.5x (ratio is
1.5)
S79 Correct option is (C). Ratio of male students in 2012 to male students in 2011
2, 5, 10, 17, 26, 37, 50, 64 is
The difference between successive terms is in AP with = 1.5x = 1.5 : 1
x
initial term 3 and the common difference 2. With this
logic 64 is the wrong term and the correct number in place S85 Correct option is (C).
of 64 is 65. Given equation is
^7526h8 - ^Y h8 = ^4364h8
S80 Correct option is (C). or ^Y h8 = ^7526h8 - ^4364h8
Total students in class = 44
Octal subtraction is done in same way as decimal
Total marks scored by class = 21 # 2 + 15 # 3 + 23 # 2
subtraction. The only difference is that while obtaining
= 42 + 45 + 46
= 133 carry we get 8 instead of 10.
^7526h8
Average marks = Total marks = 133 -^4364h8
Total students 44
^3142h8
= 3.02
S86 Hence (D) is correct option
Two and two make four
S81 Correct option is (B).
(i) Dance program is scheduled for 10 a.m.
S87 Hence (C) is correct option
(ii) Participating student should come at 9 a.m. accom-
You can always given me a ring whenever you need.
panied by a parent.
Because a friend is need is a friend indeed
(iii) non-participating student should come at 10 a.m.
with their parents.
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 11 General Aptitude Page 467

S88 Hence (C) is correct option 9 ^93 - 1h


S3 = + 32 = 828
Let the temperature on Monday, Tuesday, Wednesday and 8
Thursday be respectively as TM , TTU , TW , TTH
S93 Hence (D) is correct option
So, from the given data we have Nationalism in India is heterogeneous
TH + TTU + TW = 41 ....(1)
3
TTU + TW + TTH = 43 S94 Hence (B) is correct option
and ....(2) Given, the quadratic equation
3
also, as the temperature on Thursday was 15% higher 3x2 + 2x + P ^P - 1h = 0
than that of Monday It will have the roots with opposite sign if
i.e. TTH = 1.15 TM ....(3) P ^P - 1h < 0
solving eq (1), (2) and (3), we obtain So it can be possible only when
TTH = 46cC P < 0 and P - 1 > 0
or P > 0 and P - 1 < 0
st
S89 Hence (B) is correct option The 1 condition tends to no solution for P .
Dare to commit mistakes Hence, from the second condition, we obtain
0<P<1
S90 Hence (D) is correct option i.e., P is in the range ^0, 1h
They were requested not to quarrel with others.
S95 Hence (A) is correct option
Quarrel has a similar meaning to ‘fall out’
In a leap year, there are 366 days So, 52 weeks will have 52
saturdays and for remaining two days ^366 - 52 # 7 = 2h.
S91 Hence (C) is correct option
We can have the following combinations
Given, the distance travelled by the car in each quarter
intervals as Saturday, Sunday
Sunday, Monday
Distance Time Duration Monday, Tuesday
8 km 1
4 hr Tuesday, Wednesday
6 km 1
hr Wednesday, Thursday
4
Thursday, Friday
16 km 1
hr
4
Friday, Saturday
Therefore, the total time taken = + + 1 + 3 hr
1 1
Out of these seven possibilities, only two consist a saturday.
4 4 4 4
Total distance travelled = 8 + 6 + 16 = 30 km Therefore, the probability of saturday is given as
Hence, average speed = Total distance travelled P =2
Total time taken 7

= 30 = 40 km/hr S96 Option (D) is correct.


3/4 Let 1.001 = x
S92 Hence (D) is correct option So in given data :
It will be easy to check the options for given series. From x1259 = 3.52
the given series. x2062 = 7.85
10 + 84 + 734 + ...... Again x3321 = x1259 + 2062 = x1259 x2062 = 3.52 # 7.85
= 27.64
We get
Sum of 1 term = S1 = 10
Sum of 2 terms = S2 = 10 + 84 = 94
S97 Option (C) is correct.
and sum of 3 terms = S 3 = 10 + 84 + 734 = 828
Checking all the options one by one, we observe that
S98 Option (D) is correct.
only (D) option satisfies as
9 ^9n - 1h
Sn = + n2 S99 Option (B) is correct.
8
9 ^92 - 1h
so, S1 + 22 = 10 S100 Option (B) is correct.
8
9 ^9 - 1h S101 Option (A) is correct.
S2 = + 22 = 94
8
To Get Updated Solved Paper by Whatsapp, Send Your
Branch and BTech year to 9414243489 by whatsapp
Page 468 General Aptitude Chapter 11

S102 Option (A) is correct. S105 Option (S) is correct.


Let no. of notes of Rs.20 be x and no. of notes of Rs. 10 The graphical representation of their arriving time so
be y . that they met is given as below in the figure by shaded
Then from the given data. region.
x + y = 14
20x + 10y = 230
Solving the above two equations we get
x = 9, y = 5
So, the no. of notes of Rs. 10 is 5.

S103 Option (A) is correct.


We will categorize the 8 bags in three groups as :
(i) A1 A2 A 3 , (ii) B1 B2 B 3 , (iii) C1 C2
Weighting will be done as bellow :
1st weighting " A1 A2 A 3 will be on one side of balance
and B1 B2 B 3 on the other. It may have three results as
described in the following cases.
Case 1 : A1 A 2 A 3 = B1 B 2 B 3
This results out that either C1 or C2 will heavier for which
we will have to perform weighting again. So, the area of shaded region is given by
2 nd weighting " C1 is kept on the one side and C2 on the Area of 4PQRS - (Area of TEFQ + Area of TGSH )
other. = 60 # 60 - 2 b 1 # 45 # 45 l
2
if C1 > C2 then C1 is heavier.
C1 < C 2 then C2 is heavier. = 1575
Case 2 : A1 A 2 A 3 > B1 B 2 B 3 So, the required probability = 1575 = 7
3600 16
it means one of the A1 A2 A 3 will be heavier So we will
perform next weighting as:
2 nd weighting " A1 is kept on one side of the balance and S106 Option (A) is correct.
A2 on the other. Let us assume total voters are 100. Thus 40 voter (i.e. 40
if A1 = A2 it means A 3 will be heavier %) promised to vote for P and 60 (rest 60 % ) promised
A1 > A 2 then A1 will be heavier to vote fore Q.
A1 < A 2 then A2 will be heavier Now, 15% changed from P to Q (15 % out of 40)
Case 3 : A1 A 2 A 3 < B1 B 2 B 3 Changed voter from P to Q 15 40 = 6
This time one of the B1 B2 B 3 will be heavier, So again as 100 #
the above case weighting will be done. Now Voter for P 40 - 6 = 34
2 nd weighting " B1 is kept one side and B2 on the other Also, 25% changed form Q to P (out of 60%)
if B1 = B2 Changed voter from Q to P 25 60 = 15
B 3 will be heavier 100 #
B1 > B 2 B1 will be heavier
B1 < B 2 B2 will be heavier Now Voter for P 34 + 15 = 49
So, as described above, in all the three cases weighting is Thus P P got 49 votes and Q got 51 votes, and P lost
done only two times to give out the result so minimum no. by 2 votes, which is given. Therefore 100 voter is true
of weighting required = 2. value.

S104 Option (D) is correct. S107 Option (A) is correct.


Total budget = 4000 + 1200 + 2000 + 1500 + 1800 A gladiator performs in an arena. Commutators use trains.
= 10, 500 Lawyers performs, but do not entertain like a gladiator.
The amount spent on saving = 1500 Similarly, teachers educate. Only dancers performs on a
So, the amount not spent on saving stage.
= 10, 500 - 1500 = 9000
So, percentage of the amount S108 Option (D) is correct.
= 9000 # 100% = 86% Available is appropriate because manipulation of genes
10500 will be done when other treatments are not useful.
This solved paper is better than any other Coaching Solved
Paper. You can compare any question.
Chapter 11 General Aptitude Page 469

S109 Option (B) is correct. T = 1 a x + 6k - 2 = x +6-x -1


Periodicity is almost similar to frequency. Gradualness 2 2 2 4
means something happening with time. Persistency is
= x +1 = x +5
endurance. Rarity is opposite to frequency. 4 4

S110 Option (C) is correct. x + 5 = 17


Now,
The sentence implies that technocrats are counterproductive 4
(negative). Only (C) can bring the same meaning. or x = 17 - 5 = 12
4
S111 Option (B) is correct. x = 12 # 4 = 48
Since fuel consumption/litre is asked and not total fuel
S116 Option (B) is correct.
consumed, only average speed is relevant. Maximum
efficiency comes at 45 km/hr, So least fuel consumer per Circuitous means round about or not direct. Indirect is
litre in lap Q closest in meaning to this circuitous
(A) Cyclic : Recurring in nature
S112 Option (B) is correct. (B) Indirect : Not direct
Option B fits the sentence, as they built up immunities (C) Confusing : lacking clarity of mean-
which helped humans create serums from their blood. ing
(D) Crooked : set at an angle; not
S113 Option (C) is correct. straight
4 + 44 + 444 + .............. 4 (1 + 11 + 111 + .......)
= 4 (9 + 99 + 999 + ............) S117 Option (B) is correct.
9
A worker may by unemployed. Like in same relation a
= 4 [(10 - 1) + (100 - 1) + ........] sleeper may be unaware.
9
= 4 [10 (1 + 10 + 102 + 103) - n] S118 Option (D) is correct.
9
n Here conserve is most appropriate word.
= 4 :10 # 10 - 1 - nD
9 10 - 1
S119 Option (C) is correct.
= 4 610n + 1 - 10 - 9n@ Betrayed means reveal unintentionally that is most
81
appropriate.
S114 Option (D) is correct.
y S120 Option (D) is correct.
f (y) = Number of people who play hockey n (A) = 15
y
-y Number of people who play football n (B) = 17
Now f (- y) = =- f (y) Persons who play both hockey and football n (A + B) = 10
y
Persons who play either hockey or football or both :
or f (q) - f (- q) = 2f (q) = 2
n (A , B) = n (A) + n (B) - n (A + B)
= 15 + 17 - 10 = 22
S115 Option (C) is correct.
Thus people who play neither hockey nor football
Let total no of toffees be x . The following table shows
= 25 - 22 = 3
the all calculations.
S121 Option (D) is correct.
Friend Bowl Status
R = x -4 = 2x + 4 S122 Option (C) is correct.
3 3 Since 7 + 6 = 13 but unit digit is 5 so base may be 8 as
5 is the remainder when 13 is divided by 8. Let us check.
S = 1 :2x + 4D - 3 = 2x + 4 - x + 2 137 8 731 8
4 3 3 6
= x +1-3 = x -2 = x +6 276 8 672 8
6 6 2 Thus here base is 8. Now
435 1623
To Get Updated Solved Paper by Whatsapp, Send Your
Branch and BTech year to 9414243489 by whatsapp
Page 470 General Aptitude Chapter 11

S123 Option (D) is correct. 2, 2, 3, 3, 3, 4, 4, 4.


Let W be the total work. (1) Using 2, 2, 3 we have 4223, 4232, 4322 i.e. . 3! = 3 no
2!
Per day work of 5 skilled workers = W
20
(2) Using 2, 2, 4 we have 4224, 4242, 4422 i.e. . 3! = 3 no
Per day work of one skill worker = W = W 2!
5 # 20 100
(3) Using 2, 3, 3 we have 4233, 4323, 4332 i.e. . 3! = 3
Similarly per day work of 1 semi-skilled workers 2!
W = W no
=
8 # 25 200 (4) Using 2, 3, 4 we have i.e. . 3! = 6 no
Similarly per day work of one semi-skill worker (5) Using 2, 4, 4 we have 4244, 4424, 4442 i.e. . 3! = 3
2!
= W = W no
10 # 30 300
Thus total per day work of 2 skilled, 6 semi-skilled and 5 (6) Using 3, 3, 3 we have 4333 i.e 3! = 1. no.
3!
unskilled workers is
(7) Using 3, 3, 4 we have 4334, 4343, 4433 i.e. . 3! = 3 no
= 2W + 6W + 5W 2!
100 200 300
= 12W + 18W + 10W = W (8) Using 3, 4, 4 we have 4344, 4434, 4443 i.e. . 3! = 3
600 15 2!
no
Therefore time to complete the work is 15 days. (9) Using 4, 4, 4 we have 4444 i.e. 3! = 1. no
3!
Total 4 digit numbers in 2nd case
S124 Option (B) is correct. = 3 + 3 + 3 + 6 + 3 + 3 + 1 + 3 + 1 = 26
As the number must be greater than 3000, it must be start Thus total 4 digit numbers using case (1) and case (2) is
with 3 or 4. Thus we have two case: = 25 + 26 = 51
Case (1) If left most digit is 3 an other three digits are any
of 2, 2, 3, 3, 4, 4, 4, 4. S125 Option (B) is correct.
(1) Using 2, 2, 3 we have 3223, 3232, 3322 i.e. 3! = 3 Let H , G , S and I be ages of Hari, Gita, Saira and Irfan
2!
no. respectively.
(2) Using 2, 2, 4 we have 3224, 3242, 3422 i.e. 3! = 3 no. Now from statement (1) we have H + G > I + S
2! Form statement (2) we get that G - S = 1 or S - G = 1
(3) Using 2, 3, 3 we have 3233, 3323, 3332 i.e. 3! = 3 no. As G can’t be oldest and S can’t be youngest thus either
2!
GS or SG possible.
(4) Using 2, 3, 4 we have 3! = 6 no. From statement (3) we get that there are no twins
(5) Using 2, 4, 4 we have 3244, 3424, 3442 i.e. 3! = 3 no. (A) HSIG : There is I between S and G which is not
2!
possible
(6) Using 3, 3, 4 we have 3334, 3343, 3433 i.e. 3! = 3 no. (B) SGHI : SG order is also here and
2!
S > G > H > I and G + H > S + I which is possible.
(7) Using 3, 4, 4 we have 3344, 3434, 3443 i.e. 3! = 3 no.
2! (C) IGSH : This gives I > G and S > H and adding
these both inequalities we have I + S > H + G which
(8) Using 4, 4, 4 we have 3444 i.e. 3! = 1 no. is not possible.
3!
(D) IHSG : This gives I > H and S > G and adding
Total 4 digit numbers in this case is
these both inequalities we have I + S > H + G which
1 + 3 + 3 + 3 + 6 + 3 + 3 + 3 + 1 = 25
is not possible.
Case 2 : If left most is 4 and other three digits are any of

**********

S-ar putea să vă placă și