Sunteți pe pagina 1din 15

June 1, 2017 15:8 WSPC/S0218-1274 1750077

International Journal of Bifurcation and Chaos, Vol. 27, No. 5 (2017) 1750077 (15 pages)
c World Scientific Publishing Company
DOI: 10.1142/S0218127417500778

Realization of Integrable
Incommensurate-Fractional-Order-Rössler-System
Design Using Operational Transconductance
Amplifiers (OTAs) and Its Experimental Verification

Mohammad Rafiq Dar∗ , Nasir Ali Kant† and Farooq Ahmad Khanday‡
Department of Electronics and Instrumentation Technology,
University of Kashmir, Srinagar 190006,
Jammu and Kashmir, India
∗darmrafiq.ku@gmail.com
Int. J. Bifurcation Chaos 2017.27. Downloaded from www.worldscientific.com

†nsrknt@gmail.com
‡farooqkhanday@kashmiruniversity.ac.in
by CINVESTAV on 08/31/17. For personal use only.

Received December 10, 2016; Revised January 17, 2017

In this paper, electronic implementation of fractional-order Rössler system using operational


transconductance amplifiers (OTAs) is presented which until now was only being investigated
through numerical simulations. The realization offers the benefits of low-voltage implementation,
integrability and electronic tunability. In addition, the proposed circuit is a MOS only design
(as no BJTs have been used) which contains only grounded components and is therefore suit-
able for monolithic VLSI design. The chaotic behavior of the fractional-order Rössler system in
consideration with the incommensurate orders has been demonstrated which finds many appli-
cations in several fields. The theoretical predictions of the proposed implementation have been
verified through experimentation and HSPICE simulator using Austrian Micro System (AMS)
0.35 µm CMOS process and the obtained results have been found in good agreement with the
Matlab simulink theoretical results obtained using FOMCON simulink toolbox. Besides, a secure
message communication system has been considered to demonstrate fully the usefulness of the
chaotic system.

Keywords: Fractional-order Rössler system; chaotic attractor; low-voltage; complementary metal


oxide; transconductance; secure message communication; circuit implementation; experimental
verification.

1. Introduction works have been reported on the electronic real-


In the twenty-first century, among various proposed ization of integer-order chaotic systems [Elwakil &
theories, the surviving ones are theory of relativ- Kennedy, 1999; Radwan et al., 2003, 2004]. In addi-
ity, quantum mechanics and chaos theory. Among tion, from the last decade, apart from integer-order
them, the study of chaos theory has gained pace in systems, the dynamics of fractional-order chaotic
the last few decades. Besides, the theoretical and systems have fascinated researchers to investigate
or mathematical development of chaotic systems, their behavior from deriving mathematics to elec-
a good amount of work is being carried out on tronic implementation. It has been proved in the
the electronic realization of chaotic systems. Several open literature that the fractional-order models of


Author for correspondence

1750077-1
June 1, 2017 15:8 WSPC/S0218-1274 1750077

M. R. Dar et al.

numerous integer-order systems can show better chaotic system amenable for Integrated Circuit (IC)
chaotic behavior [Hartley et al., 1995; Petras, 2008], form is presented. Also, the experimental verifica-
owing to the extensive range of applications from tion of the fractional-order Rössler chaotic system
mechanics [Xu et al., 2015a, 2015b], astrophysics, is presented in the paper. In addition, a simple
quantum mechanics, secure message communica- secure message communication system was designed
tion (i.e. image encryption, steganography, cryptog- to illustrate the usefulness of the system.
raphy etc.), [Huang & Xu, 1999] biology (rhythmic
processes) to chemical and biomedical engineering
[Dar et al., 2016], etc. There are various proposed
2. Fractional Calculus: Basic
fractional-order chaotic systems and some popu- Definitions and Preliminaries
lar fractional-order systems which have been exten- Among the numerous definitions of fractional dif-
sively studied are fractional-order Lorenz system ferentiation and integration, Grünwald–Letnikov
[Grigorenko & Grigorenko, 2003], fractional-order and Riemann–Liouville definition are the most
Chua circuit [Hartley et al., 1995], the fractional- followed ones [Podlubny, 1998; Morales-Delgado
order Lü system [Lu, 2006], fractional-order Rössler et al., 2016; Gómez-Aguilar et al., 2016; Coronel-
system [Li & Chen, 2004], etc. It is pertinent to Escamilla et al., 2016]. The Grünwald–Letnikov def-
Int. J. Bifurcation Chaos 2017.27. Downloaded from www.worldscientific.com

mention that the lowest order for which integer- inition of fractional-order operators is:
order systems have been proven to show chaos is t−a
[ h ]  
equal to 3, however, fractional-order chaotic sys- α 1  j α
by CINVESTAV on 08/31/17. For personal use only.

tems show chaos for orders less than 3 even as low a Dt f (t) = lt α (−1) f (t − jh)
h→0 h j
as 0.3 [Grigorenko & Grigorenko, 2003; Lu, 2006; j=0

Li & Chen, 2004].  


t−a
The existence of chaos in the above men- → int
h
tioned fractional-order systems have been validated
numerically. However, some electronic realization of (1)
fractional-order systems have been presented in the where the binomial coefficients are:
open literature where implementations have been    
α α α(α − 1), . . . , (α − j + 1)
achieved by replacing the integer-order capacitors = 1, =
by fractional-order capacitors [Gao & Yu, 2005; 0 j j!
Lu & Liu, 2007; Ontañón-Garcı́a et al., 2016; Tang for (j ≥ 1). (2)
et al., 2012]. But, due to the nonavailability of
off-the-shelf fractional-order element, the nonlin- The Riemann–Liouville definition is given by an
ear fractional-order equations in these systems have integro-differential manifestation.
been implemented using approximation methods.  n  t
dα f (t) 1 d f (τ )
Among the mentioned fractional-order chaotic α
= dτ
dt Γ(n − α) dt α (t − τ )
(α−n+1)
systems, Rössler system is the only single-scroll
system. As compared to other mentioned systems, (n − 1) ≤ α < n. (3)
it gives better chaotic performance for incommen-
surate order. However, the system has not been From the above definitions, it seems that the frac-
electronically realized till date. In this paper, the tional differentiation/integration is complex in time
fractional-order Rössler system with one integer- domain. However, their Laplace transform represen-
order integrator and two fractional-order integra- tation is straightforward and for Riemann–Liouville
tors has been implemented and it is demonstrated is given by:
 ∞
that chaos exist with the order 1 + α1 + α2 ,
where (0 < α1,2 < 1). The proposed scheme is e−st 0 Dαt f (t)dt
0
low-voltage reconfigurable circuit implementation,
n−1

which employs CMOS operational transconduc-
tance amplifiers (OTAs) and grounded capacitors. = sα L{f (t)} − sk 0 Dtα−k−1 f (t)|t=0 . (4)
As far as the author best knowledge, it is for the first k=0

time that the reconfigurable low-voltage electronic With all initial values set to zero, Riemann–
implementation of the fractional-order Rössler Liouville derivative in its Laplace domain is given

1750077-2
June 1, 2017 15:8 WSPC/S0218-1274 1750077

Integrable Incommensurate-Fractional-Order-Rössler-System Design

by: Besides the above-mentioned condition, another


necessary condition for fractional-order system to
L{0 Dαt f (t)} α
= s F (s). (5)
have chaos is that its chaotic attractor must be anal-
From Eq. (5) the fractional integral operator of ogous to the integer counterpart and all the equilib-
order “α” in frequency domain can be represented rium points in the system surrounded by the scrolls
as must be in the unstable region. This can be mathe-
1 matically represented by:
H(s) = α . (6)
s π
− min{|arg(λi )|} ≥ 0 (8)
2M i
2.1. Condition for fractional-order
where, λi s are the roots.
system to be chaotic
The regions of stability and unstability in s-plane det(diag(λM αi ) − A) = 0. (9)
of the fractional-order system with (0 < α1,2 < 1) In case, the above condition is not fulfilled,
is shown in Fig. 1. In three-dimensional nonlinear one of the equilibrium points turn out to be
dynamical systems, a saddle point is an equilib- asymptotically stable and attracts the neighboring
Int. J. Bifurcation Chaos 2017.27. Downloaded from www.worldscientific.com

rium point. For linearized model, the saddle point trajectories.


has at least one eigenvalue in the stable region and
another one in the unstable region. For the case of
by CINVESTAV on 08/31/17. For personal use only.

only one stable eigenvalue and all other eigenval- 2.2. Stability analysis of chaotic
ues in unstable region, saddle point is called saddle fractional-order system
point of index 1. Moreover, the saddle point is of
Theorem 1. In [Matignon, 1996], it has been proven
index 2 if one eigenvalue is stable and other two are
that an autonomous system given by Eq. (10):
unstable. Furthermore, in chaotic systems, it is a
proven fact that scrolls are generated only around Dαt x(t) = Ax(t), x(0) = x0 (10)
the saddle point of index 2 [Silva, 1993; Cafagna &
Grassi, 2003]. A necessary condition for fractional- where, 0 < α < 1, x ∈ n and A ∈ n×n , α = [α1 ,
order system to be chaotic is that the eigenvalue λ α2 , α3 , . . . , αn ] is;
must be in the unstable region, which means (a) Asymptotically stable iff |arg(λ)| > απ/2 is

 απ  |Im(λ)|  satisfied for all eigenvalues of A.


tan > 
 (b) Stable iff |arg(λ)| ≥ απ/2 is satisfied for all
2 Re(λ)
  . (7) eigenvalues of A with critical eigenvalues satis-
2 |Im(λ)| 
 fying |arg(λ)| = απ/2 having geometric multi-
α > tan−1 
π Re(λ)  plicity of one.
Theorem 2. In [Deng et al., 2007], it has been
Im proven that if we have linear fractional-order sys-
tem given by Eq. (11):
Dαt i x(t) = Ax(t), x(0) = x0 (11)
n

where, 0 < αi < 1, x ∈ n and A ∈ n×n with


io
eg
R

απ 2 αi = ni /di , gcd(ni , di ) = 1, assuming (M ) is the


e
bl

Stable Region
a
St

Unstable Region lowest common multiple (LCM ) of the denomina-


Re tors di s .
Unstable Region
Stable Region
St

−απ 2 The zero solution of system Eq. (11) is glob-


a
bl
e

ally asymptotically stable in the Lyapunov sense


R
eg

if all the roots λ s of the equation ∆(λ) =


io
n

det(diag(λM αi ) − A) = 0 satisfy |arg(λ)| > π/2M .


It is worth to mention here that for commen-
surate orders, Theorem 1 is employed. While for
Fig. 1. Regions of stability of the fractional-order system. incommensurate orders Theorem 2 is employed to

1750077-3
June 1, 2017 15:8 WSPC/S0218-1274 1750077

M. R. Dar et al.

find the stability of the chaotic system [Zhen et al., where x1 , x2 , and x3 are the state variables, and
2011]. a, b, c, are system parameters, and are very crucial
for determining the existence of chaos in dynami-
cal system; determining the equilibrium, and hence
3. Fractional-Order Rössler System saddle points of the system. In addition, the sys-
The Rössler system [Rössler, 1976] and its tem parameters are important in determining the
fractional-order version [Zhang et al., 2009] is a Largest Lyapunov Exponent (LLE) and bifurcation
three-dimensional nonlinear system that can exhibit point as discussed in [Zhang et al., 2009].
chaotic behavior. The attractor of the Rössler sys-
tem belongs to the screw type single-scroll chaotic 3.1. Fractional-order Rössler
attractor family. The fractional-order Rössler sys- system and chaos
tem is given by:
As discussed earlier, for the autonomous fractional-
dα1 x1 order system to be in chaotic regime proper care
= −x2 − x3
dtα1 has be taken in choosing the order of the system.
Therefore, in order to calculate the order we need to
Int. J. Bifurcation Chaos 2017.27. Downloaded from www.worldscientific.com

dα2 x2 find the equilibrium points and eigenvalues. With


= x1 + ax2 (12)
dtα2 c2 > 4ab [Tavazoei & Haeri, 2007] the two equi-
dα3 x3 librium/fixed points E1 , E2 for Rössler system are
by CINVESTAV on 08/31/17. For personal use only.

= b + x3 (x1 − c) calculated using Eq. (8) and are given in Eq. (13)
dtα3
as under:
 √   √   √ 
2
c − c − 4ab 2
−c + c − 4ab 2
c − c − 4ab 



E1 = , , 

2 2a 2a 
 √   √   √ . (13)
2
c + c − 4ab 2
−c − c − 4ab 2
c + c − 4ab 



E2 = , , 

2 2a 2a 

Moreover, the Jacobian matrix of the system


in Eq. (12) evaluated at equilibrium points E1 = using Theorem 2 (for incommensurate system) and
(x∗1 , x∗2 , x∗3 ) is given by Eq. (14): is given in Table 2. From Table 2, it is clear that
  not all the system parameters and orders of Rössler
0 −1 −1 system lead to its chaotic behavior. In the demon-
  strated examples, this has been taken into consid-
=1 a 0 . (14)
eration while selecting the system parameters and
x∗3 0 x∗1 − c incommensurate orders.
The equilibrium points and the correspond-
ing eigenvalues calculated using Eqs. (13) and (14)
respectively for different arbitrary system parame-
4. Hardware Realization of
ters are tabulated in Table 1. Therefore, Table 1 Fractional-Order Rössler System
indicates the existence of chaos in the fractional- The expression of fractional-order Rössler system
order Rössler system for particular set of system given in Eq. (12) can be rewritten as:
parameters.
For all the cases, E1 is a saddle point of index dα1 Vx1
τ̂ = −Vx2 − Vx3
2 and therefore in the presence of chaos, the scroll dtα1
will encircle this saddle point. However, in order to dα2 Vx2
confirm the existence of chaos with specific system τ̂ = Vx1 + a.Vx2 (15)
dtα2
parameters, the condition for order and chaos needs
to be calculated. This condition for some arbitrary dα3 Vx3
τ̂ = b + Vx3 (Vx1 − c).
system parameters of Table 1 has been calculated dtα3

1750077-4
June 1, 2017 15:8 WSPC/S0218-1274 1750077

Integrable Incommensurate-Fractional-Order-Rössler-System Design

Table 1. Equilibrium points, Jacobian matrices and corresponding eigenvalues for various system parameters.

System Eigenvalues (λi ) i = 1, 2


Parameters Equilibrium Points Jacobian Matrix Λ1 = (λ1 , λ2 , λ3 )|E1
(a, b, c) (Ei ) for i = 1, 2 (i ) i = 1, 2 Λ2 = (λ1 , λ2 , λ3 )|E2
0 1
0 −1 −1
B C
1 = @ 1 0.35 0 A Λ1 = (−9.954, 0.17 + 0.984j,
E1 = (0.035, −0.1004, 0.1004) 0.1004 0 −9.965 0.17 − 0.984j)
(0.35, 1, 10) 0 1
E2 = (9.964, −28.471, 28.471) 0 −1 −1 Λ2 = (0.337, −0.011 + 5.428j,
B C −0.011 − 5.428j)
2 = @ 1 0.35 0 A
28.471 0 −0.0356
0 1
0 −1 −1
B C
1 = @ 1 0.35 0 A Λ1 = (−9.991, 0.174 + 0.984j,
E1 = (0.007, −0.02, 0.02) 0.02 0 −9.993 0.174 − 0.984j)
Int. J. Bifurcation Chaos 2017.27. Downloaded from www.worldscientific.com

(0.35, 0.2, 10) 0 1


E2 = (9.993, −28.55, 28.55) 0 −1 −1 Λ2 = (0.338, 0.0025 + 5.432j,
B C 0.0025 − 5.432j)
2 = @ 1 0.35 0 A
28.55 0 −0.007
by CINVESTAV on 08/31/17. For personal use only.

0 1
0 −1 −1
B C
1 = @ 1 0.35 0 A Λ1 = (−4.97, 0.1 + 0.98j,
E1 = (0.01, −0.04, 0.04) 0.040 0 −4.98 0.17 − 0.98j)
(0.35, 0.2, 5)
E2 = (4.98, −14.24, 14.24) 0 1
0 −1 −1 Λ2 = (0.32, 0.004 + 3.9j,
B C 0.004 − 3.9j)
2 = @ 1 0.35 0 A
14.24 0 −0.01
0 1
0 −1 −1
B C
1 = @ 1 0.5 0 A Λ1 = (−4.97, 0.246 + 0.968j,
E1 = (0.02, −0.04, 0.04) 0.04 0 −4.97 0.246 − 0.968j)
(0.5, 0.2, 5) 0 1
E2 = (4.97, −9.95, 9.95) 0 −1 −1 Λ2 = (0.453, 0.013 + 3.307j,
B C 0.013 − 3.307j)
2 = @ 1 0.5 0 A
9.95 0 −0.02
0 1
0 −1 −1
B C
1 = @ 1 0.6 0 A Λ1 = (−5.974, 0.297 + 0.95j,
E1 = (0.02, −0.033, 0.033) 0.03 0 −5.97 0.29 − 0.953j)
(0.6, 0.2, 6) 0 1
E2 = (5.97, −9.96, 9.96) 0 −1 −1 Λ2 = (0.545, 0.017 + 3.306j,
B C 0.017 − 3.306j)
2 = @ 1 0.6 0 A
9.96 0 −0.02
0 1
0 −1 −1
B C
1 = @ 1 0.7 0 A Λ1 = (−9.98, 0.349 + 0.936j,
E1 = (0.014, −0.02, 0.02) 0.02 0 −9.98 0.349 − 0.936j)
(0.7, 0.2, 10) 0 1
E2 = (9.986, −14.26, 14.26) 0 −1 −1 Λ2 = (0.654, 0.015 + 3.903j,
B C 0.015 − 3.903j)
2 = @ 1 0.7 0 A
14.26 0 −0.01

1750077-5
June 1, 2017 15:8 WSPC/S0218-1274 1750077

M. R. Dar et al.

Table 2. Conditions for chaos with arbitrary system parameters and various incommensurate fractional-orders.

System Parameters Incommensurate Order


π
(a, b, c) Condition for Order (α1 , α2 , α3 ) 2M − mini {|arg(λi )|} Status of Chaos

(0.35, 1, 10) α > 0.88 (0.9, 0.9, 0.95) 0.08 > 0 Yes
(0.35, 1, 10) α < 0.88 (0.4, 0.6, 0.8) −0.106 < 0 No
(0.35, 0.2, 5) α > 0.88 (1.0, 0.95, 0.9) 0.0075 > 0 Yes
(0.35, 0.2, 5) α < 0.88 (0.8, 0.7, 0.9) −0.03 < 0 No
(0.5, 0.2, 5) α < 0.84 (0.8, 0.9, 0.95) −0.0127 < 0 No
(0.6, 0.2, 6) α > 0.50 (0.9, 0.8, 0.7) 0.009 > 0 Yes
(0.7, 0.2, 10) α > 0.77 (0.8, 0.85, 0.9) 0.005 > 0 Yes

The block diagram representation of Eq. (15) is by Eq. (17):


shown in Fig. 2. It comprises fractional-order inte-  2   
α − 3α + 2 2 1 8 − 2α2 1
grator, multiplier and summer blocks which are dis- 2
s + 2
s+ 2
α + 3α + 2 τ α + 3α + 2 τ
cussed hereunder: H(s) =  2   2 .
Int. J. Bifurcation Chaos 2017.27. Downloaded from www.worldscientific.com

2 1 8 − 2α 1 α − 3α + 2
s + s+ 2
τ α2 + 3α + 2 τ α2 + 3α + 2
4.1. Realization of circuit building (17)
blocks
by CINVESTAV on 08/31/17. For personal use only.

Or
Various schemes have been used to approximate
G1 G0
the fractional-order lossless integrator [Chen et al., G2 s2 + s+
2016, 2013] to realize the chaotic systems. Accord- τ1 τ1 τ2
H(s) = . (18)
1 1
ing to [Freeborn et al., 2010], the linear trans- s2 + s +
fer function approximation of fractional integrator τ1 τ1 τ2
given by Eq. (6) can be efficiently approximated by From Eq. (18), it is clear that the transfer func-
Eq. (16): tion of the fractional-order lossless integrator can
be implemented by Follow-the-Ladder-Feedback
1 (α2 − 3α + 2)s2 + (8 − 2α2 )s + (α2 + 3α + 2)
= . (FLF) functional block diagram shown in Fig. 3(a),
sα (α2 + 3α + 2)s2 + (8 − 2α2 )s + (α2 − 3α + 2) where unity gain frequency ωu ≡ 1/τ (τ is time con-
(16) stant of integrator) and phase response is equivalent
to −aπ/2. Also from Eq. (18) it can be concluded
From Eqs. (6) and (16) we can write the trans-
that values of the coefficients and time constants
fer function of fractional-order lossless integrator
can be obtained by comparing Eqs. (17) and (18)
which are given in Table 3.
The electronic realization of Fig. 3(a) employ-
ing only OTAs and grounded capacitors is shown
in Fig. 3(b); the employed MOS based OTA [Tsi-
rimokou et al., 2015; Dar et al., 2016] is shown in
Fig. 3(c). The aspect ratio of MOS transistors Mn1 -
Mn2 is K:1 and Mn3 -Mn4 is 1:K, where K is scaling
factor used to increase the linearity of OTA. It is
worth to mention here that the transistors operate
in subthreshold region and hence transconductance
of OTA is:
Io 4K
gm = (19)
nVT (1 + K)2
where, (VT ) is the thermal voltage (26 mv@27◦ C),
(Io ) the bias current, (n) is subthreshold slope fac-
Fig. 2. Block diagram of realized fractional-order Rössler tor of MOS transistors and hence gm = Io /nVT for
system. K = 1.

1750077-6
June 1, 2017 15:8 WSPC/S0218-1274 1750077

Integrable Incommensurate-Fractional-Order-Rössler-System Design

(a)

(b)
Int. J. Bifurcation Chaos 2017.27. Downloaded from www.worldscientific.com
by CINVESTAV on 08/31/17. For personal use only.

(c)

Fig. 3. (a) FLF block diagram to implement fractional-order integrator, (b) block diagram of FLF using OTAs and (c) MOS
structure of employed OTA.

Table 3. Design equation of fractional-order lossless integrator given in Fig. 3.

G2 G1 G0 τ1 τ2
„ « „ «
α2 − 3α + 2 α2 + 3α + 2 α2 + 3α + 2 8 − 2α2
1 τ τ
α2 + 3α + 2 α2 − 3α + 2 8 − 2α2 α2 + 3α + 2

1750077-7
June 1, 2017 15:8 WSPC/S0218-1274 1750077

M. R. Dar et al.

5. Simulation and Experimental


Results
The performance of all the proposed circuits has
been verified through experimentation and HSPICE
simulator using the AMS 0.35 µm CMOS pro-
cess parameters. Furthermore, the Matlab simulink
numerical results are also obtained to verify the
HSPICE and experimental results. For numerical
simulations, the fractional-order integrators accord-
ing to Eq. (12) with the respective state vari-
(a) ables and system parameters have been taken from
FOMCON simulink toolbox [Tepljakov et al., 2011].
Moreover, the order was chosen as (α1 = 1, α2 =
0.95, α3 = 0.9) with approximation order 2 and
system parameters (a, b, c) = (0.35, 0.2, 5). For
Int. J. Bifurcation Chaos 2017.27. Downloaded from www.worldscientific.com

experimentation, IC (LM3080) OTA was employed


to obtain the results, the supply voltage used
for experiment was ±15 V. For simulation sup-
ply voltage of ±1.2 V was used and the scal-
by CINVESTAV on 08/31/17. For personal use only.

ing factor K was kept equal to five. The aspect


ratio of the transistors for OTA structure of
Fig. 3(c) were kept as (10 µm/15 µm) for Mp1 -Mp2 ,
(25 µm/5 µm), for Mn1 -Mn4 , (5 µm/1 µm) for Mn2 -
Mn3 , and (10 µm/10 µm) for Mn5 -Mn6 .
(b) Since the subthreshold MOSFETs are very
Fig. 4. (a) OTA based multiplier [Han & Sinencio, 1998]
susceptible to temperature variations, therefore in
and (b) OTA based adder. order to demonstrate the proper functioning of the
OTA with the temperature variations, the tempera-
ture sweep analysis was performed for temperature
The time constant of the integer-order lossless variations from 0–70◦ C with 10◦ C increment. The
integrators employed in FLF is: obtained results are shown in Fig. 5, which shows
reasonably small variation with temperature. Thus,
Ci nVT 4K
τi = (i = 1, 2). (20)
Ioi (1 + K)2

From Table 3 and Eq. (20) it can be con-


cluded that we can change the order of fractional-
order integrator electronically which gives the extra
degree of freedom to implement such circuits on
chip.
The OTA realizations of multiplier and adder
are shown in Figs. 4(a) and 4(b) respectively. The
input–output equations for multiplier and adder are
given in Eqs. (21) and (22) respectively.
 
gm2
vout = P (vin1 ∗ vin2 ) where P =
gm0 nVT
(21)
gm6 gm7 gm8
vo = v1 + v2 + v3 . (22)
gm9 gm9 gm9 Fig. 5. PVT sensitivity analysis of employed OTA.

1750077-8
June 1, 2017 15:8 WSPC/S0218-1274 1750077

Integrable Incommensurate-Fractional-Order-Rössler-System Design

Fig. 6. Phase response of fractional-order lossless integrators.

the designed system is expected to work well even of 4-channel oscilloscope, as the three waveforms
if the temperature fluctuates by certain amount. need to be time-synchronous for the portrait. How-
Int. J. Bifurcation Chaos 2017.27. Downloaded from www.worldscientific.com

Performance of the fractional-order integrator ever, from the transient responses, it is clear that
of Fig. 3(b) has been verified with the value for the experimental 3D-phase portrait is also in agree-
capacitors C1 = C2 = 100 pF for various orders α = ment with those of Matlab simulink and HSPICE
by CINVESTAV on 08/31/17. For personal use only.

0.7, α = 0.8, α = 0.9 and α = 0.95. The simulated results. The small difference between the dynam-
result is shown in Fig. 6 and the employed values ics of Matlab simulink and HSPICE results is due
for bias currents and scaling factors are tabulated in to the sensitivity of system to the initial condition;
Table 4. From Fig. 6 it is clear that the order of the nonidealities of OTA, overall circuit, process param-
fractional-order integrator and the order of whole eters variation. In addition, nevertheless due to an
chaotic system can be electronically controlled by approximated fractional-order integrator, which has
the bias currents. ripples in the phase behavior and is well suited
The overall performance of the proposed only for few decades of frequency response as only
fractional-order Rössler system was evaluated for an second-order approximation is used to reduce cir-
overall order of 2.85 with incommensurate orders of cuit complexity, this can be avoided by using higher-
fractional-order lossless integrators as (α1 = 1, α2 = order approximation but the cost to be paid will be
0.95, α3 = 0.9) having system parameters (a, b, c) = higher complexity. The total power dissipation of
(0.35, 0.2, 5) for which bias currents for multiplier the standalone fractional-order Rössler system was
and adder blocks were accordingly adjusted. The 93.08 µW for simulated circuit.
Matlab simulink, experimental and HSPICE tran- In order to demonstrate the usefulness of
sient results of Vx1 , Vx2 , Vx3 are shown in Figs. 7(a)– designed chaotic system, a simple secure message
7(c) respectively and the corresponding projection communication system shown in Fig. 10, has been
results of Vx1 –Vx2 are shown in Figs. 8(a)–8(c) considered. The chaotic signal is added to the mes-
respectively. In addition, the 3D-phase portraits sage signal and, at the receiver, the mask, i.e.
from Matlab simulink and HSPICE are shown in chaotic signal is removed. However, the problem
Figs. 9(a) and 9(b) respectively. It is pertinent to with this scheme is that the receiver must be highly
mention here that the experimental 3D-phase por- synchronized to admit the small perturbation in
traits were not obtained due to the nonavailability the chaotic signal due to the addition of the mes-
sage. Further, the power level of message signal
must be much less than that of the chaotic sig-
Table 4. Bias currents and scaling factors for various orders nal to effectively bury it [Alvarez et al., 1999].
of fractional-order lossless integrator. With all those aspects in consideration, we masked
Order (α) Io1 (nA) Io2 (nA) Io (nA) G0 G1 G2 one of the states of the Rössler system with mes-
sage signal to make a crypto system, i.e. secure
0.7 9.30 0.33 19.1 11.77 1 0.08 message communication system. The modulated,
0.8 8.11 0.21 19.1 21 1 0.04 transmitted and the received signal results obtained
0.9 7.04 0.10 19.1 50 1 0.02
0.95 6.55 0.05 19.1 109.57 1 0.009
from Matlab simulink, experiment and HSPICE are
shown in Figs. 11(a)–11(c) respectively. Therefore,

1750077-9
June 1, 2017 15:8 WSPC/S0218-1274 1750077

M. R. Dar et al.
Int. J. Bifurcation Chaos 2017.27. Downloaded from www.worldscientific.com
by CINVESTAV on 08/31/17. For personal use only.

(a) (b)

(c)
Fig. 7. Vx1 , Vx2 , Vx3 , transient response: (a) Matlab, (b) experiment and (c) HSPICE.

1750077-10
June 1, 2017 15:8 WSPC/S0218-1274 1750077

Integrable Incommensurate-Fractional-Order-Rössler-System Design


Int. J. Bifurcation Chaos 2017.27. Downloaded from www.worldscientific.com
by CINVESTAV on 08/31/17. For personal use only.

(a) (b)

(c)
Fig. 8. Vx1 –Vx2 projection: (a) Matlab, (b) experiment and (c) HSPICE.

1750077-11
June 1, 2017 15:8 WSPC/S0218-1274 1750077

M. R. Dar et al.

(a) (b)
Fig. 9. 3D-phase portraits: (a) Matlab and (b) HSPICE.
Int. J. Bifurcation Chaos 2017.27. Downloaded from www.worldscientific.com

Transsmitter Receiver
Syynchronized
Fraactional-orrder fractional-orrder
by CINVESTAV on 08/31/17. For personal use only.

R össler chaootic Röössler chaootic


generator generatorr
+ Chann
nel + Meessage Signal

Message Sign
nal

Fig. 10. Block diagram of chaotic secure message communication system.

(a) (b)
Fig. 11. Responses of transmitted, modulated and received signals: (a) Matlab, (b) experiment and (c) HSPICE.

1750077-12
June 1, 2017 15:8 WSPC/S0218-1274 1750077

Integrable Incommensurate-Fractional-Order-Rössler-System Design


Int. J. Bifurcation Chaos 2017.27. Downloaded from www.worldscientific.com
by CINVESTAV on 08/31/17. For personal use only.

(c)
Fig. 11. (Continued)

the received signals in the three cases are in good shown in Figs. 12(a)–12(c) respectively. Thus, the
agreement with the transmitted signals. In order to system has security embedded in the selection of the
verify the security of the system, the parameter “c” parameters and order of states. Hence, not taking
and order of system were slightly changed in the one or all parameters and or fractional-order of the
receiver system and the obtained received signals receiver exactly the same as those of the transmitter
from Matlab simulink, experiment and HSPICE are will lead to an unauthorized receiver with the wrong

(a) (b)
Fig. 12. Transmitted and received signals when parameter c and order are varied: (a) Matlab, (b) experiment and (c) HSPICE.

1750077-13
June 1, 2017 15:8 WSPC/S0218-1274 1750077

M. R. Dar et al.

(c)
Int. J. Bifurcation Chaos 2017.27. Downloaded from www.worldscientific.com

Fig. 12. (Continued)


by CINVESTAV on 08/31/17. For personal use only.

reception of the signal. The application example of Acknowledgment


secure message communication system validates the
This work was supported by University Grants
proper functioning of the designed Rössler system
Commission (UGC), Government of India, under
and further motivates to explore other useful appli-
its Special Assistance Programme (SAP) Grant No.
cation areas of the system.
F. 3-29/2012(SAP-II).

6. Conclusion
References
The paper presents a low-voltage electronic realiza-
tion of single-scroll fractional-order Rössler chaotic Alvarez, G., Montoya, F., Pastor, G. & Romera, M.
system. In addition to the feature of electronic tun- [1999] “Chaotic cryptosystems,” Int. J. Bifurcation
and Chaos 19, 332–338.
ability for the order of the system, the design pro-
Cafagna, D. & Grassi, G. [2003] “New 3D-scroll attrac-
vides the tunability feature for the adjustment of tors in hyperchaotic Chua’s circuit forming a ring,”
system parameters necessary to generate the chaos. Int. J. Bifurcation and Chaos 13, 2889–2903.
To the author’s best knowledge, such low-voltage Chen, D., Wu, C., Iu, H. & Ma, X. [2013] “Circuit
reconfigurable fractional-order Rössler system is simulation for synchronization of a fractional-order
introduced first time in the open literature. The and integer-order chaotic system,” Nonlin. Dyn. 73,
effectiveness of the proposed circuit has been 1671–1686.
demonstrated through HSPICE simulations and Chen, L., Pan, W., Wu, R. et al. [2016] “Generation and
Matlab numerical simulation. Besides, experimen- circuit implementation of fractional-order multi-scroll
tal results have also been incorporated to validate attractors,” Chaos Solit. Fract. 85, 22–31.
the theoretical predictions. In addition, a simple Coronel-Escamilla, A., Gómez-Aguilar, J. F., López-
secure message communication system has been López, M. G., Alvarado-Martı́nez, V. M. & Guerrero-
Ramirez, G. V. [2016] “Triple pendulum model
considered to demonstrate the usefulness of real-
involving fractional derivatives with different kernels,”
ized chaotic system. Further, in future, the on-chip Chaos Solit. Fract. 91, 248–261.
design of the novel chaotic system will be attempted Dar, M. R., Kant, N. A., Khanday, F. A. & Psychali-
with some new fractional-order integration methods nos, C. [2016] “Fractional-order filter design for ultra-
in high frequency domain and their use in modern low frequency applications,” Proc. IEEE Int. Conf.
communication and other technological aspects will Recent Trends in Elect. Inf. Comm. Tech., Vol. 1,
be explored. pp. 1727–1730.

1750077-14
June 1, 2017 15:8 WSPC/S0218-1274 1750077

Integrable Incommensurate-Fractional-Order-Rössler-System Design

Deng, W., Li, C. & Lu, J. [2007] “Stability analysis of hybrid dissipative dynamical system,” Int. J. Bifur-
linear fractional differential system with multiple time cation and Chaos 26, 1650018-1–12.
delays,” Nonlin. Dyn. 48, 409–416. Petras, I. [2008] “A note on the fractional-order Chua’s
Elwakil, A. S. & Kennedy, M. P. [1999] “Chaotic oscil- system,” Chaos Solit. Fract. 38, 140–147.
lator configuration using a frequency dependent neg- Podlubny, I. [1998] Fractional Differential Equations,
ative resistor,” J. Circ. Syst. Comp. 9, 229–242. First edition (Academic Press, San Diego, USA).
Freeborn, T. J., Maundy, B. & Elwakil, A. S. [2010] Radwan, A. G., Soliman, A. M. & Sedeek, A. L. [2003]
“Field programmable analogue array implementation “MOS realization of the double-scroll-like chaotic
of fractional step filters,” IET Circ. Dev. Syst. 4, 514– equation,” IEEE Trans. Circuits Syst.-I : Fund. Th.
524. Appl. 50, 285–288.
Gao, X. & Yu, J. B. [2005] “Chaos and chaotic control Radwan, A. G., Soliman, A. M. & El-Sedeek, A. [2004]
in a fractional-order electronic oscillator,” Chin. Phys. “MOS realization of the modified Lorenz chaotic sys-
14, 908–913. tem,” Chaos Solit. Fract. 21, 553–561.
Gómez-Aguilar, J. F., Torres, L., Yépez-Martı́nez, H. Rössler, O. E. [1976] “An equation for continuous chaos,”
et al. [2016] “Fractional Liénard type model of a Phys. Lett. A 57, 397–398.
pipeline within the fractional derivative without sin- Silva, C. P. [1993] “Shil’nikov theorem — A Tutorial,”
gular kernel,” Adv. Diff. Eqs. 1–13, 173. IEEE Trans. Circuits Syst.-I 40, 675–682.
Int. J. Bifurcation Chaos 2017.27. Downloaded from www.worldscientific.com

Grigorenko, I. & Grigorenko, E. [2003] “Chaotic dynam- Tang, Z., Zhang, C. & Yu, S. [2012] “Design and
ics of the fractional Lorenz system,” Phys. Rev. Lett. circuit implementation of fractional-order multiwing
91, 101–104. chaotic attractors,” Int. J. Bifurcation and Chaos 22,
by CINVESTAV on 08/31/17. For personal use only.

Han, G. & Sinencio, E. S. [1998] “CMOS transcon- 1250269-1–10.


ductance multipliers: A tutorial,” IEEE Trans. Tavazoei, M. S. & Haeri, M. [2007] “Unreliability of
Circuits Syst.-II : Anal. Digit. Sign. Process. 45, frequency-domain approximation in recognizing chaos
1550–1563. in fractional-order systems,” IET Sign. Process. 1,
Hartley, T. T., Lorenzo, C. F. & Qammer, H. K. [1995] 171–181.
“Chaos in a fractional Chua’s system,” IEEE Trans. Tepljakov, A., Petlenkov, E. & Belikov, J. [2011] “FOM-
Circ. Syst. Th. Appl. 42, 485–490. CON: A MATLAB toolbox for fractional-order sys-
Huang, X. & Xu, J. [1999] “Realization of the chaotic tem identification and control,” Int. J. Microelectron.
secure communication based on interval synchroniza- Comput. Sci. 2, 51–62.
tion,” J. Xi’an Jiatong Univ. 33, 56–58. Tsirimokou, G., Psychalinos, C. & Elwakil, A. S. [2015]
Li, C. & Chen, G. [2004] “Chaos and hyperchaos in the “Emulation of a constant phase element using oper-
fractional-order Rössler equations,” Physica A 341, ational transconductance amplifiers,” Anal. Integr.
55–61. Circ. Sign. Process. 85, 413–423.
Lu, J. G. [2006] “Chaotic dynamics of the fractional- Xu, B., Chen, D., Zhang, H. & Wang, F. [2015a] “The
order Lü system and its synchronization,” Phys. Lett. modeling of the fractional-order shafting system for
A 354, 305–311. a water jet mixed-flow pump during the startup pro-
Lu, J.-J. & Liu, C.-X. [2007] “Realization of fractional- cess,” Commun. Nonlin. Sci. Numer. Simul. 29, 12–
order Liu chaotic system by circuit,” Chin. Phys. 16, 24.
1586–1590. Xu, B., Chen, D., Zhang, H. & Wang, F. [2015b] “Model-
Matignon, D. [1996] “Stability results for fractional dif- ing and stability analysis of a fractional-order Francis
ferential equations with applications to control pro- hydro-turbine governing system,” Chaos Solit. Fract.
cessing,” Proc. IEEE-SMC 2, 963–968. 75, 50–61.
Morales-Delgado, V. F., Gómez-Aguilar, J. F., Yépez- Zhang, W., Zhou, S., Li, H. & Zhu, H. [2009] “Chaos in a
Martı́nez, H. et al. [2016] “Laplace homotopy analysis fractional-order Rössler system,” Chaos Solit. Fract.
method for solving linear partial differential equations 42, 1684–1691.
using a fractional derivative with and without kernel Zhen, W., Xia, H. & Guodong, S. [2011] “Analysis of
singular,” Adv. Diff. Eqs., 1–17. nonlinear dynamics and chaos in a fractional-order
Ontañón-Garcı́a, L. J., Campos-Cantón, E. & Femat, R. financial system with time delay,” Comput. Math.
[2016] “Analog electronic implementation of a class of Appl. 62, 1531–1539.

1750077-15

S-ar putea să vă placă și