Sunteți pe pagina 1din 32

U

N UNIVERSIDAD NACIONAL EXPERIMENTAL


E POLITÉCNICA “ANTONIO JOSÉ DE SUCRE”
X VICE-RECTORADO “LUÍS CABALLERO MEJÍAS”
P NÚCLEO CHARALLAVE
O
C00RDINACÍON DE ING. MECATRÓNICA CHARALLAVE, 28 JUNIO 2016
ASIGNATURA: DISEÑO LÓGICO/SECCIÓN: 01 TIEMPO ESTIMADO: 08 HORAS
PROFESOR: ANDRÉS HERRERA

Al finalizar este Trabajo de Laboratorio, Usted deberá haber adquirido las


destrezas intelectuales u motoras para:

1. Describir correctamente las características técnicas extraidas de los Datashee


de los Circuitos Integrados Combinacionales, elaborado con tecnología MSI,
tales como: 74LS148, 74LS42, 74LS151, 7483, 7485.

2. Explicar correctamente el funcionamiento de un Codificador, Decodificador, Generador


de Paridad, Multiplexor, Demultiplexor y un Comparador.

3. Implementar teóricamente los circuitos lógicos de Codificadores Decodificadores


Generadores de Paridad, Multiplexores, Demultiplexores y Comparadores, mediante
los Métodos de Minimización de funciones de Karnaugh y de Quine Mc Cluskey,
usando las condiciones Don´t Care.

4. Simular el funcionamiento de Circuitos Combinacionales (MSI) mediante el uso de


software para Diseño Electrónico.

5. Elaborar montajes experimentales de Circuitos Combinacionales (MSI) para comprobar


su funcionamiento en el Laboratorio.

CIRCUITOS INTEGRADOS: EQUIPOS DE LABORATORIO: MISCELÁNEOS:


1.Protoboard 1. Soldador tipo Cautín.
SN74LS148, SN74LS42, 2. Osciloscopio. 2. 1 metro de Estaño 60/40.
SN74LS151, SN74lS83, 3. Generador de A/F 3. Pasta de Soldadura.
SN74LS85. SN74LS00, 4. Fuentes de Alimentación
4. Juego de Destornilladores
SN74LS02, SN74LS04, 5. Pinzas para Electrónica.
miniaturas.
SN74LS08, SN74LS32, 6. Juego de Caimanes.
7. Kits de Resistencias de ½ ó 5. Cables de conexión
SN74LS27. (4) LM555 unifilar ó cable telefónico.
¼ Watts.
6. Minidips Swiches.
.
Diodos Leds: 16 (rojos, verdes, amarillos, azules), 4 cada color. Displays: 2 Ánodo
Común y 2 Cátodo Común. Teclados Miniaturas: 1 Teclado Hexadecimal

TRABAJO DE LABORATORIO Nº02: DISEÑO DE SISTEMAS LOGICOS COMBINACIONALES EN


MEDIA ESCALA DE INTEGRACIÓN (MSI). Andrès Herrera- UNEXPO, Junio de 2016.
Página 1
Se denomina Sistema Combinacional o Lógica Combinacional a todo Sistema
Digital en el que sus salidas son función exclusiva del valor de sus entradas en un
momento dado, sin que intervengan en ningún caso estados anteriores de las entradas
o de las salidas. Las funciones (OR, AND, NAND, XOR) son Booleanas, donde cada
función se puede representar en una tabla de la verdad. Por tanto, carecen de memoria
y de retroalimentación

En Electrónica Digital la lógica combinacional está formada por ecuaciones


simples a partir de las operaciones básicas del Algebra de Boole. Un circuito
combinacional es aquel que está formado por funciones lógicas elementales que tiene
un número de entradas y otro número de salidas, y los valores de éstas dependen
exclusivamente del estado que adopten las entradas y de su constitución interna. Los
Circuitos Combinacionales de Media Escala de Integración (MSI) poseen entre 10 y 100
compuertas, esto equivale a un total de Transistores entre 100 a 1000 transistores
integrados en una pastilla.

Los circuitos combinacionales MSI se clasifican según la función que desempeñan


en el interior de los Sistemas Digitales en dos grupos: Circuitos de Comunicación y
Circuitos Aritméticos.

Los Circuitos de Comunicación, son aquellos que sirven tanto para transmitir
información por una línea como para codificar, decodificador o modificar la estructura
de dicha información. Los mas importantes son: (1) Los Codificadores, existen dos
clases, Codificadores sin Prioridad y Codificadores con Prioridad. (2) Los
Decodificadores, estos también se clasifican en dos tipos, Decodificadores no
Excitadores y Decidificadores excitadores ( en Ánodo Común y Cátodo Común ), (3)
Convertidores de Códigos, (4) Multiplexores y (5) Demultiplexores.

Los Circuitos Aritméticos, son aquellos que realizan una serie de operaciones
aritméticas con los datos binarios que procesan. Se clasifican en Comparadores,
Sumadores y Restadores.

Cualquier información que se desee tratar, procesar o almacenar mediante


Sistemas digitales, deberá ser traducida o Codificada en un tipo de lenguaje apropiado.
La forma correcta de hacerlo es convertir cualquier número, letra, signo, instrucción u
operación en un conjunto de señales eléctricas digitales, que será diferente en cada
caso. Cada uno de estos datos estará constituido por una serie de unos (1) y ceros (0)
que indicarán niveles altos o bajos de tensión respectivamente. Por ejemplo, el número
9 en decimal, se puede representar por 1001, el cual es su equivalente en el sistema
binario. De la misma forma, a la hora de interpretar un resultado a la salida de un
circuito digital, es necesario traducir o Decodificar el resultado transformando los ceros
y los unos en datos que sean inteligibles.

TRABAJO DE LABORATORIO Nº02: DISEÑO DE SISTEMAS LOGICOS COMBINACIONALES EN


MEDIA ESCALA DE INTEGRACIÓN (MSI). Andrès Herrera- UNEXPO, Junio de 2016.
Página 2
La Codificación y la Decodificación serán siempre operaciones necesarias en
sistemas digitales que traten información, o en procesos industriales donde sea
necesario suministrar datos o presentar resultados. En algunos sistemas cibernéticos o
de control es posible prescindir de este tipo de operaciones, siendo suficiente la
aplicación de señales digitales mediante traductores y aplicar las salidas del circuito
sobre elementos de potencia, tales como lámparas, motores, etc.

Un Código es, en general, un conjunto de unidades de información relacionadas


de forma sistemática y biunívoca con otro conjunto de signos y símbolos según unas
determinadas reglas de traducción previamente fijadas.

Los códigos mas comunes utilizados son: El Binario Natural, el Decimal Codificado
en Binario ( BCD-Natural, BCD-Exc 3 y BCD-Aiken ), los códigos Progresivos, los códigos
Detectores y Correctores de Error y los códigos Alfanuméricos.

Los códigos BCD son los mas utilizados para representar información numérica.
Para codificar un número decimal en BCD, se representan por separado cada una de las
cifras del número. Cada cifra se representa mediante 4 bits. En BCD Natural, se utilizan
las diez primeras combinaciones en orden creciente, en BCD Exceso 3, no se utilizan las
tres primeras, ni las tres últimas y en BCD Aiken, se emplean las cinco primeras y las
cinco últimas. La Tabla siguiente muestra la Equivalencia entre los diferentes Códigos
BCD

SISTEMA BCD BCD BCD CÓDIGOS PROGRESIVOS: La SISTEMA GRAY SISTEMA GRAY
DECIMAL Natural Exc 3 Aiken característica fundamental es que DECIMAL DECIMAL
0 0000 0011 0000 una combinación difiere de la 0 0000 8 1100
1 0001 0100 0001 anterior y de la siguiente 1 0001 9 1101
2 0010 0101 0010 exclusivamente en un solo bit. Se 2 0011 10 1111
3 0011 0110 0011 3 0010 11 1110
emplean en procesos industriales
4 0100 0111 0100 4 0110 12 1010
para transformar magnitudes físicas
5 0101 1000 1011 5 0111 13 1011
analógicas en digitales. Los mas
6 0110 1001 1100 6 0101 14 1001
utilizados son los de Gray. La
7 0111 1010 1101 7 0100 15 1000
diferencia entre unos y otros reside
8 1000 1011 1110
en el número de bits de cada
9 1001 1100 1111
combinación.

CÓDIGOS DETECTORES Y CORRECTORES DE ERROR: Existen códigos más complejos que


Detectan y en algunos casos Corrigen, el error en la información cuando ésta es transmitida a
través de una red. El Error se detecta o corrige si se produce en un solo bit de la combinación. La
posibilidad de que se origine en dos bits a la vez es muy remota. El número minimo de bits por
combinación es de cinco.

Los códigos detectores más comunes son los de Paridad o 2/5 y 2/7 o biquinario. Los dos
últimos están formados por combinaciones de 5 y 7 bits respectivamente, siendo dos el
número de unos lógicos en cada combinación en ambos casos.

TRABAJO DE LABORATORIO Nº02: DISEÑO DE SISTEMAS LOGICOS COMBINACIONALES EN


MEDIA ESCALA DE INTEGRACIÓN (MSI). Andrès Herrera- UNEXPO, Junio de 2016.
Página 3
Los Códigos de Paridad se forman añadiendo un bit mas a los de la familia BCD. Pueden ser de
Paridad Par o de Paridad Impar. En el primer caso el número de unos, incluido el de paridad,
debe ser par y en el segundo impar.

La siguiente tabla muestra el Código de Paridad Impar formado a partir del BCD Exc 3

SISTEMA GRAY BIT DE El Bit de Paridad se genera mediante un circuito


DECIMAL PARIDAD
combinacional muy sencillo, llamado Generador de Paridad
0 0011 1
que se construye con Compuertas OR-Exclusive. La detección
1 0100 0
2 0101 1 se realiza comprobando que el número de “unos” en cada
3 0110 1 combinación es siempre par o impar, según el caso.
4 0111 0
5 1000 0 Los Códigos Correctores proporcionan el lugar que ocupa el
6 1001 1 bit erróneo. Mediante el circuito adecuado se puede corregir
7 1010 1 automáticamente el fallo detectado en la información
8 1011 0 recibida. Se utilizan en procesos industriales.
9 1100 1

El código corrector más utilizado es el HAMMING. En el cual cada combinación


está formada por siete bits y para su construcción se parte de la familia BCD. La Tabla
siguiente muestra el Código Hamming formado a partir del BCD Natural.

BCD B7 B6 B5 B4 B3 B2 B1
Natural
0 0000 0 0 0 0 0 0 0
1 0001 0 0 0 0 1 1 1
2 0010 0 0 1 1 0 0 1
3 0011 0 0 1 1 1 1 0
4 0100 0 1 0 1 0 1 0
5 0101 0 1 0 1 1 0 1
6 0110 0 1 1 0 0 1 1
7 0111 0 1 1 0 1 0 0
8 1000 1 0 0 1 0 1 1
9 1001 1 0 0 1 1 0 0

Los siete bits están relacionados mediante las siguientes ecuaciones:

C1 = B1 + B3 + B5 + B7
C2 = B2 + B3 + B6 + B7
C3 = B4 + B5 + B6 + B7

El número decimal equivalente a la combinación binaria C3 C2 C1 indicará el bit


con error. Las columnas B7, B6, B5 y B3 corresponden al BCD Natural. Las columnas B1, B2

TRABAJO DE LABORATORIO Nº02: DISEÑO DE SISTEMAS LOGICOS COMBINACIONALES EN


MEDIA ESCALA DE INTEGRACIÓN (MSI). Andrès Herrera- UNEXPO, Junio de 2016.
Página 4
y B4, se construyen de manera que en cada combinación: B1 B3 B5 B7 , B2 B3 B6 B7
y B4 B5 B6 B7, el números de “unos” sea par. Cuando no existe error, el valor de las
funciones C1, C2 y C3 será cero.

Para comprender la forma de operar, supongamos que al transmitir el número


tres cuyo valor codificado es 0011110 se produce un error y la combinación recibida es
la 0011010. El valor de C1, C2 y C3 será:

C1 = 0 + 0 + 1 + 0 = 1
C2 = 1 + 0 + 0 + 0=1
C3 = 1 + 1 + 0 + 0 =0

La combinación C3 C2 C1 será en este caso 011, que equivale al número tres


decimal. El bit equivocado es el tercero por la derecha.

CIRCUITOS CODIFICADORES

Un Codificador, es un circuito combinacional formado por 2n entradas y n –


salidas, cuya función es tal, que cuando una sola sola entrada adopta un determinado
valor lógico “ 0 “ ó “ 1 “, según las propiedades del circuito, las salidas representan en
binario el número de orden de la entrada que adopta el valor activo.

En otras palabras, los Codificadores nos permiten “compactar” la información,


generando un código de salida a partir de la información de entrada.

Supongamos por ejemplo, que estamos


diseñando un Sistema Digital que permita controlar
BOTONES
una Cadena Músical, la cual está constituida por un CD, CODIFICADOR DE 2
2

Reproductor ( ó Tape), Radio y un Ipod. El sistema CD ENTRADAS y 2 SALIDAS


deberá activar el dispositivo musical según el botón
- Eo
que haya pulsado el usuario. TAPE SO
-E1
Consideremos que tenemos 4 botones en la - E2 S1
RADIO -EoE3
cadena, de manera que cuando no están pulsados,
generan un ’0’ y cuando están pulsados se genera un
IPOD
’1’ (Botones digitales). Los podríamos conectar
directamente a nuestro circuito de control de la
cadena de música, tal como se muestra en la figura.

El circuito de control deberá contener un Codificador de 22 Entradas ( Eo , E1, E2 y E3 ),


en las cuales estarán conectados los dispositivos musicales y contará con dos ( 2 ) Salidas ( So y
S1 ) para controlar cual de los dispositivos musicales estará activo, ya que tendremos 22
= 4 combinaciones posibles.

TRABAJO DE LABORATORIO Nº02: DISEÑO DE SISTEMAS LOGICOS COMBINACIONALES EN


MEDIA ESCALA DE INTEGRACIÓN (MSI). Andrès Herrera- UNEXPO, Junio de 2016.
Página 5
Bien estaremos escuchando el CD, el A la salida del codificador obtendremos el número
del botón pulsado. La tabla de verdad será así:
reproductor, el radio o bien el Ipod, pero no
puede haber más de un botón pulsado. CADENA
Tal y como hemos hecho las ENTRADAS SALIDAS
MUSICAL
conexiones al codificador, el CD tiene E3 E2 E1 EO S1 SO PULSADOR
asociado el número 0, el Reproductor el 1, el 0 0 0 1 0 0 CD
Radio el 2 y el Ipod el 3 (Este número 0 0 1 0 0 1 TAPE
depende de la entrada del codificador a la 0 1 0 0 1 0 RADIO
que lo hayamos conectado). 1 0 0 0 1 1 IPOD

El circuito de control de la cadena ahora sólo tendrá 2 bits de entrada para determinar el
Pulsador que ha sido activado. Antes necesitábamos 4 entradas. El codificador que hemos usado
tiene 4 entradas y 2 salidas, por lo que se llama codificador de 4 a 2. Existen codificadores de
mayor número de entradas, por ejemplo, 23 = 8 Entradas y 3 Salidas ( Decodificador de
8 a 3 ).
Veamos ahora como obtenemos las ecuaciones para el diseño de nuestro Codificador
de 4 a 2. Las ecuaciones las obtenemos siguiendo el mismo método de diseño, primero
obtenemos la tabla de verdad completa y luego aplicamos el método de Karnaugh. Con ello
obtendremos las ecuaciones más simplificadas para las salidas S1 y So. Al hacer la tabla de
verdad, hay que tener muy en cuenta que muchas de las entradas NO SE PUEDEN PRODUCIR.

En las entradas de un decodificador, una y sólo una de las


entradas sólo deberá estar activa en cada momento. En este sentido,
utilizaremos esto para simplificar las ecuaciones. Se ha utilizado una X
E3 E2 E1 EO S1 SO
para indicar que esa salida nunca se producirá.
0 0 0 0 X X
0 0 0 1 0 0
Las salidas So y S1 siempre valen X, excepto para las cuatro
0 0 1 0 0 1
filas asignadas a los dispositivos musicales a controlar.
0 0 1 1 X X
0 1 0 0 1 0
0 1 0 1 X X
MAPAS DE KARNAUGH PARA S0. MAPAS DE KARNAUGH PARA S1.
0 1 1 0 X X
E1E0 E1E0 0 1 1 1 X X
00 01 11 10 00 01 11 10
E3E2 E3E2 1 0 0 0 1 1
00 0 1 00 0 0 1 0 0 1 X X
01 0 1
1 0 1 0 X X
01
1 0 1 1 X X
11 11
1 1 0 0 X X
10 1 10 1
1 1 0 1 X X
La función de salida S0 será: La función de salida S1 será: 1 1 1 0 X X
S0 = E1E0E2E3 + E1E0E2E3 1 1 1 1 X X
S1 = E1E0E2E3 + E1E0E2E3

S0 = E0E2 (E1 + E3 ) S1 = E1E0 (E2 + E3 )

TRABAJO DE LABORATORIO Nº02: DISEÑO DE SISTEMAS LOGICOS COMBINACIONALES EN


MEDIA ESCALA DE INTEGRACIÓN (MSI). Andrès Herrera- UNEXPO, Junio de 2016.
Página 6
Si utilizamos las Los Mapas de Karnaugh para las salidas So y S1 usando Don´t
Sentencias “NO
Care serán:
IMPORTA “ ó DON´T
CARE, El diseño se S0= E1 + E3
simplifica enormemente.
S1= E2 + E3
En este caso, las casillas
que tienen el valor ’X’
podemos asignarles el
valor que más nos
convenga ( “1” ó “0” ),
según trabajemos con
Minterms ó Maxterms,
de forma que
obtengamos la expresión
más simplificada del
circuito.

Se deja al estudiante la implementación de los circuitos Codificadores para


ambos casos.

CIRCUITOS DECODIFICADORES
Un Decodificador o descodificador es un circuito combinacional, cuya función es inversa
a la del Codificador, esto es, convierte un código binario de entrada (natural, BCD, etc.) de N bits
de entrada y M líneas de salida (N puede ser cualquier entero y M es un entero menor o igual a
2N ), tales que cada línea de salida será activada para una sola de las combinaciones posibles de
entrada. Estos circuitos, normalmente, se suelen encontrar como decodificador /
demultiplexor. Esto es debido a que un demultiplexor puede comportarse como un
decodificador.
Si por ejemplo tenemos un decodificador de 2 entradas con 22 = 4 salidas, su
funcionamiento sería el que se indica en la siguiente tabla, donde se ha considerado que las
salidas se activen con un " 1 " lógico:
TABLA DE VERDAD PARA EL
DECODIFICADOR DE 2 A 4 LÍNEAS
ENTRADAS SALIDAS
A1 A0 D3 D2 D1 D0
0 0 0 0 0 1
0 1 0 0 1 0
1 0 0 1 0 0
1 1 1 0 0 0

Un tipo de decodificador muy


empleado es el de siete segmentos. Este
circuito decodifica la información de
entrada en BCD a un código de siete
segmentos adecuado para que se muestre
en un Visualizador de siete segmentos.

TRABAJO DE LABORATORIO Nº02: DISEÑO DE SISTEMAS LOGICOS COMBINACIONALES EN


MEDIA ESCALA DE INTEGRACIÓN (MSI). Andrès Herrera- UNEXPO, Junio de 2016.
Página 7
Aplicaciones del Decodificador: La función principal de un Decodificador, es la de direccionar
espacios de memoria. Un decodificador de N entradas puede direccionar 2N espacios de
memoria. Por ejemplo, para poder direccionar 1kb de memoria necesitaría 10 bits, ya que la
cantidad de salidas seria 210, igual a 1024. De esta manera: Con 20 bits tengo 220 que es 1Mb y
Con 30 bits tengo 230 que es 1Gb.

En un decodificador de 2 a 4 (se tienen 2 pines o patitas de entrada y 4 pines o patitas de


salida). En la entrada se pone el código en binario (00, 01, 10, 11), que hará que se active sólo
una salida de las cuatro posibles.
Si observamos la figura, se puede ver que en la entrada E y en
todas las salidas Q, hay una pequeña esfera o bolita. Esta esfera
indica que la entrada (en el caso de E) y las salidas, son activas en
bajo.

Con esto se quiere decir que cuando se


pone A0 = 0 y A1 = 0 y estamos escogiendo la
salida Q0, ésta tendrá un nivel de Voltaje bajo,
mientras que todas las otras salidas (Q1, Q2 y
Q3) estarán en nivel alto. De igual manera
cuando la entrada E está en nivel bajo (activo
en bajo), el decodificador está habilitado. Si
está en nivel alto, el decodificador está
inhabilitado y ninguna entrada en A0 y A1
tendrá efecto. Ver la tabla de verdad siguiente:

Se deja al estudiante el diseño del circuito de este Decodificador.

IMPLEMENTACIÓN DE FUNCIONES LÓGICAS CON DECODIFICADORES.

Una de las aplicaciones de los Decodificadores, es la posibilidad de ejecución de una


ecuación booleana de funcionamiento correspondiente a una función lógica. Supongamos por
ejemplo, la Tabla de Verdad de una función lógica F, como la mostrada:

La función lógica representada en la tabla es:

F=CBA+CBA +CBA + CBA Nº ENTRADAS SALIDA


Decimal C B A F
Para implementar dicha función utilizando un 0 0 0 0 0
Decodificador, seguiremos el proceso siguiente: 1 0 0 1 1
2 0 1 0 0
i) Emplearemos un decodificador del mismo o mayor 3 0 1 1 1
números de líneas de entradas que de variables. 4 1 0 0 1
5 1 0 1 0
En nuestro caso, se usará un decodificador de 4 a 10 6 1 1 0 0
líneas con las salidas activas en el nivel bajo, 7 1 1 1 1
conectando a tierra la entrada de mayor peso.

TRABAJO DE LABORATORIO Nº02: DISEÑO DE SISTEMAS LOGICOS COMBINACIONALES EN


MEDIA ESCALA DE INTEGRACIÓN (MSI). Andrès Herrera- UNEXPO, Junio de 2016.
Página 8
ii) Buscamos cada una de las salidas del decodificador que se corresponden con las
combinaciones de las variables de entrada que hacen “1” la salida de la tabla de verdad de
la función F. En nuestro caso: 001 = S1, 011 = S3, 100 = S4 y 111 = S7.

iii) Para conseguir la suma de los términos de la función F conectaremos todas las salidas del
decodificador ya seleccionadas a una puerta lógica cuyo tipo dependerá del tipo de
decodificador empleado. Esta puerta será:

a) Compuerta OR para Decodificadores con salidas activas en Nivel Alto, ya que la función
deberá ser activa siempre que se haga “1”, uno ó varios de los términos que constituyen
la función.

b) Compuerta NAND para Decodificadores con salidas activas en el Nivel Bajo, ya que, al
encontrarse negado cada termino activo de la función por el decodificador, la salida se
deberá activar solo cuando uno ó varios términos de la función valgan “0”.

En nuestro caso, por partir de un Si por el contrario, en la entrada aparece


decodificador activo en el nivel bajo, una combinación de las que hacen “0” la función
emplearemos una NAND. La figura siguiente F, por ejemplo el 5 (101), en la salida S5 del
muestra el circuito final de la implementación. decodificador aparecerá un “0”, pero todas las
entradas de la NAND estarán en “1”, por lo que en
Como puede apreciarse, si a la entrada la salida del circuito habrá un “0”.
aparece un valor que activa la función, por
ejemplo, el 3 en decimal (011), en la salida S3
SO
del decodificador se obtendrá un “0”( por ser un
S1
decodificador con salidas activas en nivel bajo). S2
Sin embargo, cuando se introduce un “0” en la A
S3 F
B
entrada de una NAND, aparecerá un “1”, SN74LS42 S4
activando la salida del circuito. C S5
2 S6 ½( SN74LS20)
D
iv) En el caso de que una o varias de las S7
combinaciones de la Tabla de Verdad que S8
S9
hacen “1” la salida de la función F no
tuviera correspondencia con las salidas
del decodificador, se añadirás
En nuestro caso, el circuito implementado
compuertas que representarán las quedaría de la forma mostrada a continuación.
combinaciones correspondientes. Las
salidas de estas compuertas serían
llevadas, junto a la del circuito SO
F
S1
implementado a una compuerta OR final.
S2
A S3
Otra forma de implementar un circuito con B ½( SN74LS21)
S
decodificadores es empleando el mismo SN74LS42 S 4
C 5
decodificador y una compuerta AND, la S
diferencia, en este caso, es que se deben tomar D 6
S7
las salidas del decodificador que hacen “0” la S8
función F. S9

TRABAJO DE LABORATORIO Nº02: DISEÑO DE SISTEMAS LOGICOS COMBINACIONALES EN


MEDIA ESCALA DE INTEGRACIÓN (MSI). Andrès Herrera- UNEXPO, Junio de 2016.
Página 9
CIRCUITOS MULTIPLEXORES
Control
Los Multiplexores son circuitos
combinacionales con varias entradas y una única
salida de datos, están dotados de entradas de control
capaces de seleccionar una, y sólo una, de las
entradas de datos para permitir su transmisión desde
la entrada seleccionada hacia dicha salida. La función
de Multiplexar consiste en enviar a voluntad por un
solo canal de salida alguna de las informaciones
presentes en varias líneas de entrada, esto és, el
multiplexor actúa como un conmutador electrónico.
Estan formados por N - líneas de entradas de
información, una salida y n – entradas de control.
Están relacionas de la forma: N = 2n.

En el campo de la electrónica el multiplexor


se utiliza como dispositivo que puede recibir varias
entradas y transmitirlas por un medio de transmisión
compartido. Para ello lo que hace es dividir el medio
de transmisión en múltiples canales, para que varios
nodos puedan comunicarse al mismo tiempo. Una
señal que está multiplexada debe demultiplexarse
en el otro extremo. MULTIPLEXOR DE CUATRO ENTRADAS

Según la forma en que se realice esta división del medio de transmisión, existen varias clases
de multiplexación: Multiplexación por división de frecuencia, Multiplexación por división de
tiempo, Multiplexación por división de código Y Multiplexación por división de longitud de
onda.

Estos circuitos combinacionales poseen líneas de entrada de datos, una línea de salida y
n entradas de selección. Las entradas de selección indican cuál de estas líneas de entrada de
datos es la que proporciona el valor a la línea de salida. Cada combinación de las entradas de
selección corresponde a una entrada de datos, y la salida final del multiplexor corresponderá al
valor de dicha entrada seleccionada. Para identificar la entrada de selección más significativa,
por convenio esta siempre es la que está más arriba (de mostrarse de forma vertical) o más a la
izquierda (en horizontal), independientemente de su etiqueta identificatoria, a no ser que se
especifique lo contrario. También se pueden construir multiplexores con mayor número de
entradas utilizando multiplexores de menos entradas, utilizando la composición de
multiplexores.

En electrónica digital, es usado para el control de un flujo de información que equivale a


un conmutador. En su forma más básica se compone de dos entradas de datos (A y B), una
salida de datos y una entrada de control. Cuando la entrada de control se pone a 0 lógico, la
señal de datos A es conectada a la salida; cuando la entrada de control se pone a 1 lógico, la
señal de datos B es la que se conecta a la salida.

El multiplexor es una aplicación particular de los decodificadores, tal que existe


una entrada de habilitación (EN) por cada puerta AND y al final se hace un OR entre
todas las salidas de las puertas AND.
TRABAJO DE LABORATORIO Nº02: DISEÑO DE SISTEMAS LOGICOS COMBINACIONALES EN
MEDIA ESCALA DE INTEGRACIÓN (MSI). Andrès Herrera- UNEXPO, Junio de 2016.
Página 10
APLICACIONES DE UN MULTIPLEXOR

Un Multiplexor tiene diversas De acuerdo con la Tabla de Verdad, la salida S


aplicaciones, tales como: Selector de del Multiplexor será:
entradas, Serializador, el cual convierte
datos desde el formato paralelo al S =A B C D0 + A B C D1 + A B C D2 +
formato serie, Transmisión
multiplexada, se utilizan las mismas A B C D3 + A B C D4 + A B C D5 +
líneas de conexión, se transmiten
diferentes datos de distintas
A B C D6 + A B C D7
procedencias y Generación de funciones
lógicas, utilizando inversores y Entradas
D0
conectando a 0 o 1 las entradas según de Salida
Control D1
interese, se consigue diseñar.
A B C S D2
Por ejemplo, la figura siguiente D3 MULTIPLEXOR
0 0 0 D0
muestra simbólicamente un Multiplexor
0 0 1 D1 D4 S
de 8 entradas de información, 3 de SALIDA
0 1 0 D2 D5
control y una de salida.
0 1 1 D3 D6
Se deja al estudiante la 1 0 0 D4 D7
D3
implementación del circuito del 1 0 1 D5
D3
Multiplexor y su simulación en 1 1 0 D6 D4
Proteus, para entender su 1 1 1 D7 D4 A B C
funcionamiento. D5 SEÑALES DE CONTROL
D5
D6
Por ejemplo, el Multiplexor 74LS157, contiene cuatro multiplexores
D6 con sus dos
D
entradas de datos y su salida cada uno. Tiene una entrada de inhibición
7 (STROBE G) activa a
D
nivel bajo (0V) y una entrada de selección (SELECT), comunes a los cuatro
7 multiplexores.

Cuando STROBE está a nivel bajo, si la entrada SELECT está a nivel bajo, en la salida
aparece el valor del dato A; y si la SELECT está a nivel alto aparece el dato B. La figura
siguiente muestra la tabla de funcionamiento de uno de los multiplexores.

TRABAJO DE LABORATORIO Nº02: DISEÑO DE SISTEMAS LOGICOS COMBINACIONALES EN


MEDIA ESCALA DE INTEGRACIÓN (MSI). Andrès Herrera- UNEXPO, Junio de 2016.
Página 11
CIRCUITOS DEMULTIPLEXORES

Un Demultiplexor es un circuito
combinacional que tiene una entrada de
información de datos ( d ) y n - entradas
de control que sirven para seleccionar
una de las N= 2n salidas, por la que ha de
salir el dato que presente en la entrada.
Esto se consigue aplicando a las entradas
de control la combinación binaria
correspondiente a la salida que se desea
seleccionar. Por ejemplo, si queremos
que la información que tenemos en la
entrada d, salga por la salida S4, en la
entrada de control se ha de poner, de
acuerdo con el peso de la mísma, el valor
100, que es el 4 en binario. CIRCUITO LÓGICO DE UN DEMULTIPLEXOR DE 1 a 4
LINEAS.
Un circuito típico comercial como el 74LS42 se puede utilizar tanto como
decodificador como Demultiplexor. En este caso funciona demultiplexor, si utilizamos el
terminal A3 como entrada de datos y los A0, A1 y A2 como entradas de control,
obteniéndose así un demultiplexor de ocho salidas ( 0 a 7).

La Tabla de Verdad siguiente corresponde a un Demultiplexor de cuatro líneas de salida.

ENTRADAS SALIDAS
A B S0 S1 S2 S3
0 0 d 0 0 0
0 1 0 d 0 0
1 0 0 0 d 0
1 1 0 0 0 d

Siendo sus salidas respectivamente: distintas señales integrantes de la misma,


encaminándolas a las salidas correspondientes. La
S0 = A B d S2 = A B d señal compleja puede ser tanto analógica como
digital y estar multiplexada en cualquiera de las
S1 = A B d S3 = A B d
distintas formas posibles para cada una de ellas. El
demultiplexor, es un circuito combinacional que
En el campo de las telecomunicaciones el
aunque la función básica es la que hemos
demultiplexor es un dispositivo que puede recibir
explicado, puede utilizarse en muchos casos como
a través de un medio de transmisión compartido
decodificador y adopta cualquiera de las funciones
una señal compleja multiplexada y separar las
que un decodificador realiza.

TRABAJO DE LABORATORIO Nº02: DISEÑO DE SISTEMAS LOGICOS COMBINACIONALES EN


MEDIA ESCALA DE INTEGRACIÓN (MSI). Andrès Herrera- UNEXPO, Junio de 2016.
Página 12
Una de las funciones que realiza el decodificador hexadecimal como demultiplexor, es la
función de conectar, a sendos contadores, C0 a C15, que reciben los impulsos de una entrada
común a todos. Cada uno posee una entrada de inhibición que según el estado en que se
encuentra (0,1), permite o no que se realice el contaje de los impulsos. Cada entrada de
inhibición se conecta a una salida del demultiplexor.

Un decodificador se convierte en un demultiplexor añadiéndole una señal más a su


circuitería interna. Si se aplica esta señal, la salida será el complemento de dicha señal, ya que la
salida es 0 si todas las entradas son 1, y aparecerá únicamente en la línea seleccionada.

Se puede aplicar a un demultiplexor una señal de habilitación o "enable", conectándose


en cascada el decodificador con el circuito compuesto de una puerta AND y dos puertas NOT
cuyas entradas son la señal de habilitación y el dato que queremos transmitir. Si la entrada de
habilitación es 0, la salida será el complemento del dato, es decir, que el dato aparecerá en la
línea con el código deseado. Si la entrada de "enable" es 1, la salida será 0, se inhiben los datos
en cualquier línea y todas las entradas permanecen en 1.

Veamos, de otra manera, en qué consiste la función de un circuito demultiplexor. Estos


son circuitos que realizan una función contraria a la de los multiplexores, es decir, tienen una
única entrada de datos que, mediante unas entradas de control, se pone en comunicación con
una de entre varias salidas de datos. La salida concreta seleccionada depende de la combinación
de valores lógicos presentada en las entradas de control.

De la definición ya se desprende que cualquier decodificador que excite sólo una salida
entre varias, y esté provisto de entrada de inhibición o "enable", puede utilizarse como
demultiplexor, ya que las entradas del código se pueden emplear como entradas de control y la
señal de inhibición como entrada de datos.

Por el contrario, los decodificadores del tipo BCD a 7 segmentos que dan varias de sus
salidas para cada combinación de entrada, no pueden ser utilizados como demultiplexores.

En la práctica, no existen circuitos


integrados demultiplexores, sino que se
fabrican circuitos que se utilizan como
decodificadores/demultiplexores, pero que en
realidad son decodificadores con entrada de
inhibición ("Enable" o "Strobe").

En la figura se muestra la construcción


mediante puertas lógicas de un
decodificador/demultiplexor de 2 a 4 líneas.

TRABAJO DE LABORATORIO Nº02: DISEÑO DE SISTEMAS LOGICOS COMBINACIONALES EN


MEDIA ESCALA DE INTEGRACIÓN (MSI). Andrès Herrera- UNEXPO, Junio de 2016.
Página 13
IMPLEMENTACIÓN DE FUNCIONES LÓGICAS CON MULTIPLEXORES

La circuitería interna que posee un Multiplexor permite la implementación de funciones


lógicas mediante su adecuado conexionado externo. Existen dos métodos para utilizar los
multiplexores en la implementación de funciones lógicas: (i) Cuando se utilizan Multiplexores
que poseen igual número de entradas de control que de variables de la función a implementar
y (ii) Cuando se utilizan Multiplexores con un número de entradas de control inferior en una
unidad al de variables de la función a implementar.

CASO 1: Uso de Multiplexores que poseen igual número de entradas de control


que de variables de la función a implementar.

Supongamos que se desea implementar la función lógica siguiente:

F=ABCD+ABCD+ABCD+ABCD+ABCD+ABCD+ABCD+ABCD +ABCD
1 (H)
La función contiene cuatro variables A, B, C y D que combinadas
dan lugar a 16 posibilidades. Si empleamos un Multiplexor de cuatro D0
entradas de control, éste dispondrá de 16 canales de entrada, es decir, D1
uno para cada posible combinación de las variables de la función. D2
Como la función está expresada en forma de Minterms, significa que D3
cada termino que la constituye corresponde a aquellas combinaciones D4
de las variables de entrada que hacen “1” dicha función. Así tenemos D5
que: D6
D7
ABCD : 0001, ABCD : 0100, ABCD : 0110, ABCD : 0101, ABCD: 1001 D8 F
D9
ABCD : 0011, ABCD : 1110, ABCD : 0111, ABCD : 1100 D10
D11
Si aplicamos las variables de la función a las entradas de control y D12
conectamos a “1” los canales de entrada que se corresponden con las D13
combinaciones que intervienen en la función, y poniendo a “0” el D14
resto de los canales, tendremos la función implementada. Ver figura. D15

CASO 2: Uso de Multiplexores con un número de entradas de D C B A


control inferior en una unidad al de variables de la función a
implementar.

Es posible implementar funciones lógicas de n – variables con multiplexores de n -


1 entradas de control, esto evidentemente produce un consiguiente ahorro económico

Si deseamos implementar la función lógica del ejemplo anterior utilizando un Multiplexor


que posea sólo tres entradas de control, comenzaremos por realizar una Tabla como la
mostrada, en la cual se representan con un “1” las combinaciones de las variables de entrada
que intervienen en la función.

TRABAJO DE LABORATORIO Nº02: DISEÑO DE SISTEMAS LOGICOS COMBINACIONALES EN


MEDIA ESCALA DE INTEGRACIÓN (MSI). Andrès Herrera- UNEXPO, Junio de 2016.
Página 14
En dicha se agrupan por columnas todas las posibles combinaciones de tres de las variables
de entrada, dejando en las filas las posibilidades de la variable que resta.

BCD
000 001 010 011 100 101 110 111
A
0 1 1 1 1 1 1
1 1 1 1
D0 D1 D2 D3 D4 D5 D6 D7

De la tabla se deduce que la función F se hace activa en los casos siguientes:


Independientemente del valor de la variable A, si se produce alguna de las siguientes
combinaciones de las variables BC y D.

B C D : 001, B C D: 100, B C D: 110

1) Si la variable A = 0, y se produce alguna de las combinaciones siguientes de las


variables BC y D:

B C D : 011, B C D : 101, B C D : 111

De la tabla también se deduce que la función F no se activa en los casos siguientes:


Independientemente del valor de la variable A, cuando las variables BC y D tienen las
combinaciones siguientes:

B C D : 000, B C D : 010,

2) Si la variable A = 1 y se produce alguna de las combinaciones siguientes de las


variables BC y D:
La figura siguiente muestra el circuito
B C D : 011, B C D : 101, B C D : 111 implementado.
+5V “1”
Por lo tanto, la implementación del circuito se
consigue aplicando las variables BC y D a las entradas
D0
de control del multiplexor y conectando las entradas de D1
los canales de la forma siguiente: D2
D3
D4
Los canales D0 y D2 a Tierra ( “ 0 V “ ), A D5
D6
D
Los canales D1, D4 y D6 a + 5V ( “1” ) Variables
7
B
Los canales D3, D5 y D7 a través de un inversor a la C
D A B C
variable A, ya que su valor es siempre contrario del de
dicha variable.
ENTRADAS DE CONTROL

TRABAJO DE LABORATORIO Nº02: DISEÑO DE SISTEMAS LOGICOS COMBINACIONALES EN


MEDIA ESCALA DE INTEGRACIÓN (MSI). Andrès Herrera- UNEXPO, Junio de 2016.
Página 15
CIRCUITOS COMPARADORES

Un Comparador permite comparar dos entradas binarias (A y B de n bits) para indicar la


relación de igualdad o desigualdad entre ellas por medio de "tres banderas lógicas" que
corresponden a las relaciones A = B, A > B y A < B. La Puerta OR-Exclusive es una comparadora.

Cada una de estas banderas se activara solo cuando la relación a la que corresponde sea
verdadera, es decir, su salida será 1 y las otras dos producirán una salida igual a cero.

El proceso de diseño de un comparador se realiza igual que en los casos anteriores. La Tabla
de Verdad mostrada corresponde a un circuito comparador completo de dos palabras de un bit
cada una. El camino a seguir para diseñar otros comparadores es válido para otros con un
numero de bits mayor por palabra.

ENTRADAS SALIDAS
A B
A B S0 S1 S2
0 0 0 0 1
0 1 0 1 0
1 0 1 0 0 S0
1 1 0 0 1
S1
S0, salida que compara A > B = A B
S2
S1, salida que compara A < B = A B

S2, salida que compara A = B = A + B CIRCUITO COMPARADOR DE


DOS PALABRAS DE 1 BIT

A continuación Usted encontrará un conjunto de actividades previas al Trabajo


Experimental de Laboratorio, las cuales deberá realizar obligatoriamente y entregarlas al
Profesor para su corrección. La ejecución de estas actividades es individual y deberán quedar
plasmada en su Cuaderno de Laboratorio

0. Busque el DATASHEE de cada uno de los Circuitos Integrados y Componentes Electrónicos


abajo mencionados. Estudie y Compare sus características técnicas, el funcionamiento, usos y
sus aplicaciones en Electronica. a) Los Displays Ánodo Común y Cátodo Común. b) Los Circuitos
Integrados siguientes: SN74LS148, SN74LS42, SN74LS151, SN74lS83, SN74LS85.
SN74LS00, SN74LS02, SN74LS04, SN74LS08, SN74LS32, SN74LS27. c) El
Timmer LM555 y d) Teclado Hexadecimal.

TRABAJO DE LABORATORIO Nº02: DISEÑO DE SISTEMAS LOGICOS COMBINACIONALES EN


MEDIA ESCALA DE INTEGRACIÓN (MSI). Andrès Herrera- UNEXPO, Junio de 2016.
Página 16
1. Dadas las tablas de verdad mostradas. Utilizando las condiciones DON´T CARE Encuentre la
función de salida en cada caso e implemente el circuito lógico correspondiente. Justifique su
respuesta.

TABLA 1 TABLA 2 TABLA 3


CD 00 01 11 10 CD 00 01 11 10 CD 00 01 11 10
AB AB AB
00 1 X 0 X 00 1 X 0 X 00 X X 1 X
01 1 1 X 1 01 X X X 1 01 X 0 1 1
11 1 1 1 1 11 1 1 1 1 11 0 0 0 0
10 1 1 X 1 10 1 1 X 1 10 X 0 1 1

TABLA 4 TABLA 5 TABLA 6


CD 00 01 11 10 CD 00 01 11 10 CD 00 01 11 10
AB AB AB
00 0 X 1 X 00 0 1 1 1 00 X 1 1 1
01 0 0 X 0 01 0 X 1 X 01 X 0 0 1
11 0 X 1 X 11 X 1 1 1 11 X X 1 1
10 X 1 1 1 10 1 1 1 1 10 X X 0 1

2. Un dispositivo de control que realiza la función: F = X Z + W Y’, se podrá reemplazar este


dispositivo por otro dispositivo que realice la función:

G = ( W + X )( Y’ + Z )( W’ + X + Y’ )( W + Y + Z )

Expandir la función F = XZ + WY’ en todos sus Minitérminos o Maxitérminos correspondientes según


sea el caso, por medio de un árbol siguiendo las siguientes reglas:
i) Expandir un árbol para cada término de la ecuación.
ii) Colocar dos ramas a partir del término inicial e ir sumando o multiplicando los términos
faltantes, en una rama, una variable y en la otra la variable negada. Continuando la expansión
para cada rama hasta completar todas las ramas con todas las variables de la función. Proceso
inverso de la Minimización, según los teoremas y postulados del Algebra de Boole. A este
proceso se le llama Reingenieria Inversa.
Compruebe si la función F, después de la expansión se puede reemplazar por la función G dada. Diseñe
el Circuito lógico de cada dispositivo y obtenga su respectiva Tabla de Verdad.
Guíese por el ejemplo siguiente para la expansión.

TRABAJO DE LABORATORIO Nº02: DISEÑO DE SISTEMAS LOGICOS COMBINACIONALES EN


MEDIA ESCALA DE INTEGRACIÓN (MSI). Andrès Herrera- UNEXPO, Junio de 2016.
Página 17
3. Diseñar y Simular en Proteus, Un Circuito Controlador de Alarma que funciona de
acuerdo a las condiciones siguientes:
i) Si las señales A y B están en 1 de las 8:00 a las 11:00 am, la alarma debe de sonar.
ii) De las 11:00 am a las 3:00 pm, cualquiera de las dos entradas debe activarla.
iii) De las 3:00 pm. a las 11:00 pm, la alarma debe de activarse cuando cualquiera de las
dos entradas sea cero.
iv) Finalmente de las 11:00 pm. a las 8:00 am. la alarma debe activarse.

4. Diseñar un circuito que detecte en una estación receptora digital cuando se ha


recibido un digito de código BCD. Simule su funcionamiento en Proteus.

5. Diseñar un Circuito Detector de Código BCD- Exc 3. Simule su funcionamiento en


Proteus.

6. Diseñar un Conversor Código Hexadecimal a Código Gray. Simule su


funcionamiento en Proteus.

7. Diseñar un circuito Conversor de Código Aiken a BCD Natural. Simule su


funcionamiento en Proteus.

8. Diseñar un circuito que permita sumar tres números binarios de cuatro bits. Simule
su funcionamiento en Proteus.

9. Diseñar un circuito que permita restar dos números binarios de cuatro bits,
mediante el convenio Complemento a uno. Simule su funcionamiento en Proteus.

10. Diseñar un circuito que permita restar dos números binarios de cuatro bits,
mediante el convenio Complemento a dos. Simule su funcionamiento en Proteus.

11. Diseñar un Codificador de 8 a 3 lineas. a) Establezca su Tabla de Verdad y Obtenga


la función minimizada, sin utilizar las condiciones Don´t Care. b) Obtenga la función
minimizada utilizando las condiciones Don´t Care. Simule su funcionamiento en
Proteus.

TRABAJO DE LABORATORIO Nº02: DISEÑO DE SISTEMAS LOGICOS COMBINACIONALES EN


MEDIA ESCALA DE INTEGRACIÓN (MSI). Andrès Herrera- UNEXPO, Junio de 2016.
Página 18
EL PROCESO DE DISEÑO DE CIRCUITOS COMBINACIONALES EN MSI
En Ingeniería se entiende por diseñar el proceso por el cual se obtiene el objeto
pedido a partir de unas especificaciones iniciales. Cuando diseñamos circuitos
combinaciones, estamos haciendo lo mismo. Partimos de unas especificaciones iniciales
y obtenemos un esquema, o plano, que indica qué puertas básicas u otros elementos
hay que utilizar así como la interconexión que hay entre ellos.

PASOS A SEGUIR EN EL DISEÑO DE CIRCUITOS COMBINACIONALES.


1. Estudio de las especificaciones iniciales.
Para entender realmente qué es lo que hay que diseñar. Este punto puede
parecer una trivialidad, sobre todo en el entorno académico donde las
especificaciones son muy claras. Sin embargo, en la realidad, es muy difícil llegar
a comprender o entender qué es lo que hay que diseñar.
2. Obtención de las tablas de verdad y expresiones booleanas necesarias.
En el entorno académico este suele ser el punto de partida. Nos describen qué
función es la que se quiere implementer y lo hacemos.
3. Simplificación de las funciones booleanas.
¡¡¡Este punto es importantísimo!!! No basta con implementar una función y ya
está. ¡¡Somos ingenieros!!. Hay que implementar la mejor función, de manera
que obtengamos el mejor diseño posible, reduciendo el número de puertas
lógicas empleadas, el número de circuitos integrados o minimizando el retraso
entre la entrada y la salida.
4. Implementación de las funciones booleanas utilizando puertas lógcas ó
circuitos integrados con tecnología MSI.
Aquí podemos tener restricciones, como veremos. Puede ser que por
especificaciones del diseño sólo se dispongan de puertas tipo NAND. Según las
consideraciones de diseño, puede ser que sólo podamos utilizar puertas lógicas
con el mínimo número de entradas o también utilizar circuitos integrados MSI ó
VLSI. En estos casos, según sea el utilizado, habrá que tomar la función más
simplificada y modificarla para adaptarla a este tipo de puertas o de circuitos
integrados. El resultado de esto es la obtención de un esquema o plano del
circuito.
5. Simulación.
Una vez culminada la implementación y minimización de la función booleana de
salida y obtenido el circuito lógico que la ejecuta, lo más recomendable es
realizar la Simulación del Circuito Lógico, para asi verificar que se ajusta a las
especificaciones y consideraciones del diseño, previamente establecidas. Se
pueden utilizar cualquiera de los Programas Computacionales existentes en el
mercado para el diseño y la simulación electrónica, tales como Proteus, Eagle,
Liveware, PCB World, Electronic Wokbench, Autocad, etc.
6. Construcción.
El último paso es llevar ese plano o circuito a la realidad, construyendo
físicamente el diseño. Esto se estudia en el laboratorio de esta asignatura,
utilizando tecnología TTL ó CMOS, según sean las características técnicas
consideradas en el diseño.

TRABAJO DE LABORATORIO Nº02: DISEÑO DE SISTEMAS LOGICOS COMBINACIONALES EN


MEDIA ESCALA DE INTEGRACIÓN (MSI). Andrès Herrera- UNEXPO, Junio de 2016.
Página 19
PROCEDIMIENTO Nº 01. SISTEMA DE CONTROL DE UNA MAQUINA
TRITURADORA

ACTIVIDAD 1.1: Se desea diseñar un circuito de control de una S4


maquina trituradora. En esta maquina existen cuatro sensores
de llenado S1, S2, S3 y S4 que determinan el nivel de los S3
elementos a triturar como se muestran en la figura.
P S2
Cuando la maquina se encuentra totalmente llena,
tienen que entrar en funcionamiento tres trituradores ( M1, M2 y S1
M3 ), cuando contiene ¾ de su capacidad, funcionan los tres
trituradores, pero se enciende una lámpara azul indicando que
M1
el triturador no esta completamente lleno. Cuando esta medio
lleno, solo tienen que funcionar dos de ellos, pero se enciende M2
una alarma indicando que sólo dos trituradores están en M3
funcionamiento y por lo tanto hay que estar pendiente del
contenido del triturador.
Cuando posee 1/4 de su contenido, solamente funciona un triturador, pero se enciende
una lámpara roja y la alarma, indicando que se deben tomar las previsiones necesarias para el
llenado del triturador. Mientras que cuando no se detecta ningún elemento a triturar, ninguno
de los motores funciona, pero se activa la alarma indicando que el triturador no posee ningún
elemento en el tanque.
Dicha maquina tiene un mecanismo de emergencia a través de un conmutador P de
trituración, de tal forma que cuando esté activado la maquina opera según su contenido,
mientras que si esta desactivado, la maquina ha de pararse independientemente de su
contenido. Se deja libertad al diseñador , para imponer la forma de la señal P y las condiciones
de aparición de esta señal . Diseñe el circuito lógico que permita generar e inyectar la función
de emergencia P al circuito de control. a) Diseñe mediante el método de los Mapas de Karnaugh
el circuito lógico de control que permite visualizar el funcionamiento del sistema ajustado a las
condiciones impuestas, obteniendo su tabla de verdad y los diagramas de tiempo de las
funciones y variables intervinientes. b) Realizar la Simulación en Proteus del circuito lógico de
control mediante cualquier programa de simulación electrónica. c) Montar en Protoboard el
circuito diseñado y verifique su funcionamiento. Este montaje debe ser evaluado por el
profesor.

PROCEDIMIENTO Nº 02. CIRCUITO VISUALIZADOR DE TECLADO CON


PRIORIDAD

ACTIVIDAD 2.1: Se dispone de un teclado hexadecimal y dos Displays ánodo común. Se desea
diseñar un Circuito Lógico que permita visualizar en los displays dos caracteres alfanuméricos
pulsados en el teclado. Esto és, al pulsar dos teclas cualesquiera ambos caracteres deben
visualizarse en los displays. El circuito diseñado debe darle prioridad a la primera tecla pulsada.
Obtenga su respectiva Tabla de Verdad y la función booleana minimizada. Dibuje y Simule el
Cicuito Lógico en Proteus. Monte el circuito en el Protoboard y compruebe su
funcionamiento. Este montaje debe ser evaluado por el profesor.

TRABAJO DE LABORATORIO Nº02: DISEÑO DE SISTEMAS LOGICOS COMBINACIONALES EN


MEDIA ESCALA DE INTEGRACIÓN (MSI). Andrès Herrera- UNEXPO, Junio de 2016.
Página 20
PROCEDIMIENTO Nº 03. SISTEMA DE CONTROL DEL TOLDO DE UNA
TERRAZA.
ACTIVIDAD 3.1: Se desea realizar un circuito de control para el toldo de una terraza de una
vivienda. El toldo tiene la función tanto de dar sombra como de proteger del viento y de la
lluvia. Así que es un toldo resistente al viento y a la lluvia, manteniendo la terraza seca en los
días de lluvia. El circuito de control posee las entradas siguientes: S: Indica si hay sol, L: Indica si
llueve, V: Indica si hay mucho viento y F: Indica si hace frío en el interior de la casa. Según los
valores de estas entradas se bajará o subirá el toldo. Esto se realizará mediante la señal de salida
BT (Bajar Toldo). Si BT = 1, indica que el toldo debe estar extendido (ó bajado) y si BT = 0, indica
que el toldo debe estar recogido ( ó subido). Ver figura.
El circuito que acciona el toldo debe funcionar según las siguientes condiciones:
i) Independientemente del resto de señales de entrada, siempre que llueva se debe de
extender el toldo para evitar que se moje la terraza. No se considerará posible que
simultáneamente llueva y haga sol.
ii) Si hace viento se debe extender el toldo para evitar que el viento moleste. Sin embargo, hay
una excepción: aún cuando haya viento, si el día está soleado y hace frío en la casa, se
recogerá el toldo para que el sol caliente la casa.
iii) Por último, si no hace viento ni llueve, sólo se bajará el toldo en los días de sol y cuando
haga calor en el interior, para evitar que se caliente mucho la casa.

a) Diseñar mediante el método de Quine Mc Cluskey el circuito lógico de control para el


funcionamiento del toldo ajustado a las condiciones establecidas, destacando el circuito
que genera la señal que controla el toldo (BT) a partir de las señales S, L, V y F.
b) Obtenga las Tablas de Verdad correspondientes de las funciones de salida y el diagrama
de tiempo para todas las señales, tanto de entrada como de salidas.
c) Realizar la Simulación del circuito lógico de control mediante cualquier programa de
simulación electrónica. Monte el circuito lógico diseñado en protoboard.
d) Dibujar el diagrama de bloques para una variante del circuito en el que mediante un
interruptor seleccionemos que el control del toldo sea manual o automático. De modo
que: i) Cuando el control sea automático, funcionará como se ha descrito hasta ahora.
ii) Cuando el control sea manual, podremos hacer que el toldo suba o baje por medio de
dos pulsadores. Un pulsador dará la orden de recoger el toldo y el otro de bajarlo. En
este modo manual, el circuito deberá mantener la última orden pulsada después de
soltar los pulsadores. Si se pulsan ambos pulsadores a la vez el comportamiento no será
predecible. Acople este diseño al circuito lógico y pruebe su funcionamiento. Este
montaje debe ser evaluado por el profesor.
TRABAJO DE LABORATORIO Nº02: DISEÑO DE SISTEMAS LOGICOS COMBINACIONALES EN
MEDIA ESCALA DE INTEGRACIÓN (MSI). Andrès Herrera- UNEXPO, Junio de 2016.
Página 21
PROCEDIMIENTO Nº 04. CIRCUITO DETECTOR DE NÚMEROS
PRIMOS EN BCD-XS-3
ACTIVIDAD 4.1: Se quiere realizar un circuito que reciba un número BCD-XS3 de 4 bits y permita
visualizar en un Display ánodo común un '1' si el número recibido es primo, y mostrar un '0' si
el número no es primo. Se considerará el número 1 como número primo. El cero no es un
número primo. En ningún caso el circuito recibirá números que no estén codificados en BCD-
XS3.
a) Realizar la tabla de verdad de la señal de salida.
b) Obtener la expresión reducida en suma de productos, y producto de sumas
c) Dibujar el circuito lógico de estas expresiones booleanas y simule en Proteus el circuito
obtenido.
d) Realice el montaje de este circuito en el Protoboard y verifique su funcionamiento. Este
montaje debe ser evaluado por el profesor.

PROCEDIMIENTO Nº 05. CIRCUITO COMPARADOR DE NUMEROS


BINARIOS

ACTIVIDAD 5.1: Realizar un circuito que recibe dos números binarios puros (sin signo):
A[a1 , ao], B[b1 , bo] e indica por la salida S, si A es mayor que B. El circuito solicitado deberá
cumplir con las especificaciones siguientes:
a) La salida S =1, cuando A > B, en otro
caso S = 0. Los bits más significativos son
a1 y b1. Usted podrá asignarles valores a
su gusto.
b) Debe obtenerse la función mínima
mediante mapas de Karnaugh, pero Comp1 Comp2
debido a que el circuito tiene 8 entradas
y resulta difícil de hacer el mapa de
Karnaugh con tantas variables, el circuito
se implementará en dos módulos. La
implementación se muestra en la figura.
c) En primer lugar se deberá realizar un circuito que compare los dos bits menos
significativos de A y B, y muestre un '1', si el número ( a1 , ao ) es mayor que el número
( b1 , b0 ). Esta salida (N) será la entrada del segundo comparador.
d) Después de haber hecho el primer comparador (de 4 entradas) se realizará el segundo
comparador (de 5 entradas). Este comparador recibe los dos bits más significativos de A
y B, y la señal N que indica si ( a1 , a0 ) > ( b1 , b0 ).
El segundo comparador es muy similar al primero, únicamente cuando ( a3 , a2 ) = ( b3 , b2 )
será necesario utilizar la señal N. Para el mapa de Karnaugh del segundo comparador se
recomienda tomar la señal N como la quinta variable
e) Obtenga las tablas de verdad para cada comparador y el circuito lógico que permite
realizar la comparación de ambos números. Este montaje debe ser evaluado por el
profesor.

TRABAJO DE LABORATORIO Nº02: DISEÑO DE SISTEMAS LOGICOS COMBINACIONALES EN


MEDIA ESCALA DE INTEGRACIÓN (MSI). Andrès Herrera- UNEXPO, Junio de 2016.
Página 22
PROCEDIMIENTO Nº 06.CIRCUITO CONVERTIDOR DE BINARIO A BCD
ACTIVIDAD 6.1: Realizar un circuito que a partir de un número binario puro de 4 bits obtenga el
número BCD equivalente. El circuito se debe realizar mediante bloques combinacionales y no
mediante mapas de Karnaugh o sólo puertas lógicas. El resultado será un número BCD que
representa dos cifras decimales (8 bits en total). Para realizar el circuito se pueden usar los
siguientes bloques combinacionales: decodificadores, codificadores, comparadores,
multiplexores, demultiplexores y sumadores de cualquier número de bits. Así como puertas
lógicas. Cualquier otro bloque se deberá realizar en función de los anteriores o en puertas
lógicas. Para cada bloque utilizado se debe especificar claramente qué bloque es, las señales de
entrada y salida, y el ancho de bus. Simular en Proteus el funcionamiento del circuito.

PROCEDIMIENTO Nº 07. CIRCUITO DE CONTROL DE UNA VALVULA DE


TUBERÍAS

ACTIVIDAD 7.1: Supongamos que existe un nodo de tuberías de


agua, donde convergen cuatro canales de entradas y cuatro de
salidas. La tubería A aporta una rapidez media de 5 litros por
minuto, la B aporta 15 litros/minuto, la C aporta 25 litros/minuto y
la D aporta 30 litros/minuto. Cuatro sensores, uno por tubería de
entrada, nos indican por qué tubería está circulando el agua. Las
tuberías de salida son SA, SB, SC y SD y pueden recoger 5, 10, 20 y
40 litros por minuto respectivamente. Cada tubería de salida está
regulada por una válvula que únicamente tiene dos estados:
Cerrada (“0“ lógico) o Abierta (“1” lógico). Teniendo en cuenta que
sólo puede circular agua en dos tuberías de entrada
simultáneamente, activar las válvulas de las tuberías de salida
necesarias para que salga tanto caudal de agua como entra.
ACTIVIDAD 7.2: Obtenga la tabla de verdad y la función de salida optimizada que permite
controlar adecuadamente el funcionamiento de cada una de las valvulas. i) Utilizando
compuertas lógicas de dos entradas. ii) Usando decodificadores 3 @8 lineas. Simular en Proteus
este funcionamiento. Montar en Protoboard y verificar su funcionamiento. Este montaje debe
ser evaluado por el profesor.

PROCEDIMIENTO Nº 08. CIRCUITO DE CONTROL DE UNA BÁSCULA


ACTIVIDAD 8.1: Una báscula utiliza dos sensores. Estos sensores
son idénticos y están pesando la misma pieza, aunque su medida
puede diferir. Las dos medidas se entregan a un sistema digital
codificadas cada una con 2 bits (A1 A0) para el sensor 1 y B1B0
para el sensor 2).
Para visualizar la medida se dispone de dos displays de 7
segmentos. En uno de ellos se visualizará la diferencia entre los
sensores y en el otro la menor de las medidas en el caso de que
no coincidan las lecturas de los dos sensores.
Diseñar el circuito lógico que permite controlar el funcionamiento de la Bascula, utilizando
bloques combinacionales estándares (multiplexores, decodificadores, sumadores,
comparadores…). Simular en Proteus y montar el circuito en Protoboard. Este montaje debe
ser evaluado por el profesor.

TRABAJO DE LABORATORIO Nº02: DISEÑO DE SISTEMAS LOGICOS COMBINACIONALES EN


MEDIA ESCALA DE INTEGRACIÓN (MSI). Andrès Herrera- UNEXPO, Junio de 2016.
Página 23
PROCEDIMIENTO Nº 09: PROGRAMAR UN ROBOT PARA QUE RECORRA
Y SALGA DE UN LABERINTO

ACTIVIDAD 9.1: Un robot de juguete está diseñado


para ser capaz de seguir una trayectoria,
(previamente programada por medio de controles
que el robot tiene en la espalda), avanzando cuadro
por cuadro en un área de 5x6 cuadros. El robot
puede realizar una de las cuatro acciones
siguientes:

a) Girar sobre su eje vertical 90º a la derecha y


luego avanzar al centro del siguiente cuadro si su
pequeño cerebro recibe la señal binaria 01.
b) Girar 90º a la izquierda y luego avanzar al centro
del siguiente cuadro si su diminuto cerebro percibe
la señal binaria 10.
c) Avanzar al frente un cuadro si su limitado cerebro
recibe la señal 00.
d) Hacer alto si su cerebro recibe la señal 11.

ACTIVIDAD 9.2: Determinar teóricamente las


funciones booleanas del par de estímulos binarios
que recibe el minicerebro del robot durante este
recorrido y minimizarlas mediante mapas de
Karnaugh. Hacer uso de las condiciones
irrelevantes. Diseñar teóricamente el circuito lógico
de control que permita al Robot hacer el recorrido
por el laberinto hasta llegar a la salida. I
A 0
Los controles en la espalda del robot están
00
B 0
localizados en tres áreas. En el área I se indicará el
cuadro inicial mediante los controles de dos C 0
posiciones A, B, C, D, E como se ve en la figura 4. D 0

E 0
Si el control A se presiona del lado derecho, el peso
de la variable A se contabilizará para determinar el
número asignado al cuadro inicial (lo mismo
ocurrirá para el resto de las variables).

En el área II se programa la trayectoria por medio


de treinta controles de tres posiciones cada uno: I-
F-D (a lo largo de una ranura).

En el área III similar al área I se indicará el último cuadro de la trayectoria; en este cuadro el
cerebro del robot recibirá la señal 11.

ACTIVIDAD 9.3: Montar en Protoboard el circuito lógico de control del robot para que recorra el
laberinto de la figura mostrada. Este montaje debe ser evaluado por el profesor.

TRABAJO DE LABORATORIO Nº02: DISEÑO DE SISTEMAS LOGICOS COMBINACIONALES EN


MEDIA ESCALA DE INTEGRACIÓN (MSI). Andrès Herrera- UNEXPO, Junio de 2016.
Página 24
MONTAJES ADICIONALES EXTRACATEDRAS ( Estos montajes deben ser evaluados por
el Profesor )

ACTIVIDAD DE DESAFIO Nº 9.4: Realizar el circuito lógico de control del robot para
que entre por la salida del laberinto y salga por la entrada del laberinto.

ACTIVIDAD DE DESAFIO Nº9.5: Diseñe un Laberinto de su preferencia, imponga su


lógica de control y condiciones de funcionamiento utilizando las Condiciones Don´t
Care y realice el circuito lógico que permita al robot recorrer el Laberinto propuesto
por Usted.

PROCEDIMIENTO Nº 10: CIRCUITO DE CONTROL DE UN SEMAFORO DE


CUATRO LUCES( VERDE, AMARILLA, ROJA Y AZUL)
ACTIVIDAD 10.1: Se desea realizar un circuito lógico de
A B C N
control para un semáforo, el cual se encuentra en la
intersección de una avenida principal y una transversal O E
con cruce vehícular y peatonal. El semáforo puede estar S
verde, amarillo, rojo o azul y funcionará de acuerdo a las
siguientes condiciones: D D
a) Cuando ocurre el cruce peatonal, el peatón proveniente E
de cualquier lugar de la intersección deberá activar un F F
interruptor manualmente, encendiendo una luz azul, que
indicará a los choferes que deben detenerse porque se
interrumpirá el tráfico en todos los canales de circulación.
En este momento, mientras la luz azul esté activada, el A B C
sistema colocará al semáforo en amarillo intermitente en
todos los canales, para indicar que hay cruce de peatones.
b)El semáforo EO estará en rojo siempre que hayan vehículos circulando por los carriles A,B y C.
c) El semáforo EO estará en rojo siempre que hayan vehículos circulando por los carriles A y B o C.
d) El semáforo NS estará en rojo siempre que hayan vehículos circulando por los carriles D y E.
e) El semáforo NS estará en rojo mientras el carril E este ocupado y D no lo esté.
f) El semáforo EO estará en rojo mientras el carril B este ocupado y A no lo esté.
g) El semáforo NS estará en azul, mientras hayan peatones cruzando la via.
h) El semáforo EO estará en azul, mientras hayan peatones cruzando la via.
i) Los semáforos NS y EO estarán en azul, mientras hayan peatones cruzando la via.
J) El semáforo NS siempre estará en verde, mientras los carriles D,E y F no estén ocupados.
K) El semáforo EO siempre estará en verde, mientras los carriles A, B y C no estén ocupados.
L) Cuando haga su aparición una ambulancia por cualquiera de los carriles, el semáforo deberá
ponerse en rojo y en azul intermitentes para evitar que hayan vehículos y peatones circulando
en la via y se activará una alarma para indicar que existe una emergencia. El momento de
aparición de la ambulancia es aleatorio y esta función ( FAMB) vendrá dada en el orden de
aparición se los digitos de su fecha de nacimiento ( dia, mes y año, p.ej: 301258), seguidos de los
digitos de su Cedula de Identidad.
ACTIVIDAD 10.2: Diseñar el circuito lógico de control para el funcionamiento adecuado del
semáforo. a) Utilizando compuertas lógicas. b) Utilizando circuitos combinacionales, tales como:
codificadores, decodificadores, multiplexores, demultiplexores, comparadores, etc. Simule el
circuito diseñado en Proteus. Monte el circuito en Protoboard y verifique su funcionamiento.
Este montaje debe ser evaluado por el profesor.

TRABAJO DE LABORATORIO Nº02: DISEÑO DE SISTEMAS LOGICOS COMBINACIONALES EN


MEDIA ESCALA DE INTEGRACIÓN (MSI). Andrès Herrera- UNEXPO, Junio de 2016.
Página 25
ACTIVIDADES DE DESAFIO II .

ACTIVIDAD DE DESAFIO II.1. Diseñar un circuito que permita convertir el Código


Hexadecimal a Visualizador 7 Segmentos. Establezca su Tabla de Verdad. Dibuje el
circuito en Proteus y simule su funcionamiento. Monte el circuito en el Protoboard y
compruebe su funcionamiento.

ACTIVIDAD DE DESAFIO II.2: Realice el montaje de un circuito sumador de tres


números binarios de cuatro bits cada uno, diseñado en A.P. Nº 7.

ACTIVIDAD DE DESAFIO II.3. Realice el montaje de un circuito que permita restar dos
números binarios de cuatro bits, mediante el convenio: (a) Complemento a uno y (b)
Complemento a dos, diseñados en A.P Nº 8 y 9.

ACTIVIDAD DE DESAFIO II.4: Diseñar un circuito que permita sumar y restar dos
números de cuatro bits cada uno mediante los convenios complementos a uno ó a
dos. y visualizar el resultado de la operación en un Display de 7 segmentos. Simular su
funcionamiento en Proteus.

ACTIVIDAD DE DESAFIO II.5. Diseñar un circuito que permita multiplicar dos números
binarios de cuatro bits cada uno y visualizar el resultado de la operación en un Display
de 7 segmentos. Simular su funcionamiento en Proteus.
ACTIVIDAD ADICIONAL: OSCILADOR DE ONDAS CUADRADAS, BASADO EN EL TIMMER
LM555
Baje de la red el Datashee del Timmer LM555 e Investigue sus características técnicas, usos y
aplicaciones en Electrónica. Este circuito permite generar una señal de onda cuadrada, cuya
simetría, amplitud y frecuencia están dadas por las ecuaciones dadas. Monte el circuito y
observe su forma de onda en un Osciloscopio. Use este circuito para generar las señales de
control automático del semáforo. La amplitud de la señal de salida no puede exceder los 5V.
+VCC RA, RB y C : Valores condicionados al diseño.

RA C1= 0.01F

8 TALTO = 0.693 ( RA + RB ) C
7 4
TBAJO = 0.693 RBC
RB NE
2
CICLO DE TRABAJO:
6 3 0
1555 5 D = ( RA + RB )/ ( RA +2RB ) X 100%
C
FRECUENCIA DE OSCILACIÓN:
C1
 = 1.44/( RA +2RB )C

TRABAJO DE LABORATORIO Nº02: DISEÑO DE SISTEMAS LOGICOS COMBINACIONALES EN


MEDIA ESCALA DE INTEGRACIÓN (MSI). Andrès Herrera- UNEXPO, Junio de 2016.
Página 26
PROCEDIMIENTO Nº 12: IMPLEMENTACIÓN DE FUNCIONES LÓGICAS MEDIANTE
DECODIFICADORES Y MULTIPLEXORES

EJEMPLO: DISEÑO DE UN CODIFICADOR DE CUATRO A DOS LÍNEAS EN BINARIO


NATURAL CON PRIORIDAD A LA ENTRADA DE MENOR PESO.

Un codificador sin prioridad, es un circuito donde no pueden activarse


simultáneamente más de una entrada, porque si se activan aparecen códigos erróneos
en las salidas. La tabla siguiente resume las condiciones de funcionamiento de un
codificador sin prioridad de 8 @ 3 líneas y con entrada de inhibición.

Recordemos que los


codificadores de prioridad responden, ENTRADAS SALIDAS
en el caso de que se active más de una I E0 E1 E2 E3 E4 E5 E6 E7 A1 A2 A3
1 X X X X X X X X 0 0 0
entrada, como si sólo se hubiese
0 1 0 0 0 0 0 0 0 0 0 0
activado la de mayor prioridad de ellas, 0 0 1 0 0 0 0 0 0 0 0 1
en el problema planteado, será la de 0 0 0 1 0 0 0 0 0 0 1 0
0 0 0 0 1 0 0 0 0 0 1 1
menos peso significativo. Por lo tanto, 0 0 0 0 0 1 0 0 0 1 0 0
su tabla de verdad será la siguiente: 0 0 0 0 0 0 1 0 0 1 0 1
0 0 0 0 0 0 0 1 0 1 1 0
ENTRADAS SALIDAS 0 0 0 0 0 0 0 0 1 1 1 1
A3 A2 A1 A0 S1 S0
X X X 1 0 0 A1A0 00 01 11 10
X X 1 0 0 1 A3A2
X 1 0 0 1 0 00
1 0 0 0 1 1 01 1
11
A1A0 00 01 11 10 10 1
A3A2 FUNCIÓN S1
00 1
01 A1 A2 A0
A 3•
11
10 1 S0
FUNCIÓN S0

S0 = A0 A1A3A2 + A1A0A3A2 = A0A2 ( A1 + A3 )


S1
S1 = A0 A1A3A2 + A1A0A3A2 = A1A0 (A3 + A2 )

TRABAJO DE LABORATORIO Nº02: DISEÑO DE SISTEMAS LOGICOS COMBINACIONALES EN


MEDIA ESCALA DE INTEGRACIÓN (MSI). Andrès Herrera- UNEXPO, Junio de 2016.
Página 27
ACTIVIDAD 12.1: DISEÑO DE UN CODIFICADOR DE OCHO A TRES LÍNEAS CON SALIDA
EN BINARIO NATURAL CON PRIORIDAD A LA ENTRADA DE MAYOR PESO.

Considerando la tabla de verdad mostrada, realice el diseño de un codificador de 8 @


3 lineas con salida en binario natural y con prioridad a la entrada de mayor peso. Simule el
circuito en Proteus. Monte el circuito en el protoboard y pruebe su funcionamiento. Este
montaje debe ser evaluado por el profesor.

ENTRADAS SALIDAS
A7 A6 A5 A4 A3 A2 A1 A0 S2 S1 S0
0 0 0 0 0 0 0 1 0 0 0
0 0 0 0 0 0 1 X 0 0 1
0 0 0 0 0 1 X X 0 1 0
0 0 0 0 1 X X X 0 1 1
0 0 0 1 X X X X 1 0 0
0 0 1 X X X X X 1 0 1
0 1 X X X X X X 1 1 0
1 X X X X X X X 1 1 1

ACTIVIDAD 12.2: IMPLEMENTAR UN CODIFICADOR DE 16 @ 4 LINEAS, CON


PRIORIDAD A LA ENTRADA DE MAYOR PESO Y CON SALIDAS ACTIVAS EN NIVEL ALTO

Utilizando codificadores comerciales de 8 @ 3 lineas con salidas en binario natural y


con prioridad a la entrada de mayor peso, tipo 74148, utilizando las compuertas básicas
necesarias implementar un codificador de 16 @ 4 lineas, con prioridad a la entrada de mayor
peso y con salidas activas en nivel alto. Simule el circuito en Proteus. Monte el circuito en el
protoboard y pruebe su funcionamiento. Este montaje debe ser evaluado por el profesor.

ACTIVIDAD 12.3: UTILIZANDO EL DECODIFICADOR COMERCIAL SN74LS42, IMPLEMENTE EL


CIRCUITO QUE PERMITA EJECUTAR LA FUNCIÓN LÓGICA: F = A B + AD + BCD + ACD + BCD

ACTIVIDAD 12.4: UTILIZANDO EL DECODIFICADOR SN74LS42, IMPLEMENTE UN CIRCUITO


QUE EJECUTE LA FUNCIÓN LÓGICA SIGUIENTE: F = X Y + X Z V + XYV + Z V + Y V

ACTIVIDAD 12.5: UTILIZANDO DOS DECODIFICADORES DE 3 @ 8 LINEAS DEL TIPO SN74LS138


Y COMPUERTAS LÓGICAS BÁSICAS, IMPLEMENTE UN DECODIFICADOR DE 4 @ 16 LINEAS.

ACTIVIDAD 12.6: IMPLEMENTAR UN VISUALIZADOR NUMÉRICO DE CUATRO DIGITOS,


REALIZADO CON DISPLAYS 7 SEGMENTOS EN CÁTODO COMÚN Y DECODIFICADORES DE BCD A
7 SEGMENTOS DEL TIPO SN74LS48. EL VISUALIZADOR DEBERÁ CUMPLIR CON LAS NORMAS
SIGUIENTES:

a) Si el número decimal a representar es menor de 1000, no deberán encederse los ceros


no significativos de la izquierda.
b) El cero decimal se representará por un solo 0 en la posición derecha.
ANDRÉS HERRERA.
UNEXPO, JulIO 2016

TRABAJO DE LABORATORIO Nº02: DISEÑO DE SISTEMAS LOGICOS COMBINACIONALES EN


MEDIA ESCALA DE INTEGRACIÓN (MSI). Andrès Herrera- UNEXPO, Junio de 2016.
Página 28
ANEXOS
TABLA DE FUNCIONAMIENTO DEL DECODIFICADOR 7448

ENTRADAS EN BCD
LT RBI BI / RBO FUNCIONAMIENTO
A3 A2 A1 A0
Terminal utilizado Modo Lamp Test. Todas las
0 X X X X X como salida con 1 salidas del decodificador a 1
Terminal utilizado Modo Blanking Input. Todas las
X X X X X X como entrada con 0 salidas del decodificador a 0.
Modo Normal. Realiza la
Terminal utilizado
1 1 NÚMERO EN BCD como salida con 1
decodificación del número BCD
de las entradas.
Modo omisión Cero. Decodifica el
Terminal utilizado cero BCD presente en la entrada,
1 0 0 0 0 0 como salida con 0 pero lo omite poniendo a 0 todas
las salidas.

CIRCUITO INTEGRADO 555

El temporizador IC LM555 es un circuito


integrado (chip) que se utiliza en la generación
de temporizadores, pulsos y oscilaciones.

El 555 puede ser utilizado para


proporcionar retardos de tiempo, como un
oscilador, y como un circuito integrado flip flop.
Sus derivados proporcionan hasta cuatro
circuitos de sincronización en un solo paquete.

Fue introducido en 1971 por Signetics, el


555 sigue siendo de uso generalizado debido a su
facilidad de uso, precio bajo y la estabilidad.

Muchas empresas los fabrican en versión


de transistores bipolares y también en CMOS de
baja potencia.

A partir de 2003, se estimaba que mil


millones de unidades se fabricaban cada año.
Este circuito suele ser utilizado para trabajos
sencillos como trabajos escolares, debido a su
bajo costo y facilidad de trabajar con él.
CONFIGURACIÓN ELECTRÓNICA DEL LM555

TRABAJO DE LABORATORIO Nº02: DISEÑO DE SISTEMAS LOGICOS COMBINACIONALES EN


MEDIA ESCALA DE INTEGRACIÓN (MSI). Andrès Herrera- UNEXPO, Junio de 2016.
Página 29
DESCRIPCIÓN DE LOS PINES DE CONEXIONES

 GND (normalmente la 1): es el polo negativo de la alimentación, generalmente tierra


(masa)
 Disparo (normalmente la 2): Es donde se establece el inicio del tiempo de retardo si el
555 es configurado como monoestable. Este proceso de disparo ocurre cuando esta
patilla tiene menos de 1/3 del voltaje de alimentación. Este pulso debe ser de corta
duración, pues si se mantiene bajo por mucho tiempo la salida se quedará en alto hasta
que la entrada de disparo pase a alto otra vez.
 Salida (normalmente la 3): Aquí veremos el resultado de la operación del temporizador,
ya sea que esté conectado como monoestable, estable u otro. Cuando la salida es alta,
el voltaje será el voltaje de alimentación (Vcc) menos 1.7 V. Esta salida se puede obligar
a estar en casi 0 voltios con la ayuda de la patilla de reinicio (normalmente la 4).
 Reinicio (normalmente la 4): Si se pone a un nivel por debajo de 0.7 Voltios, pone la
patilla de salida a nivel bajo. Si por algún motivo esta patilla no se utiliza hay que
conectarla a alimentación para evitar que el temporizador se reinicie.
 Control de voltaje (normalmente la 5): Cuando el temporizador se utiliza en el modo de
controlador de voltaje, el voltaje en esta patilla puede variar casi desde Vcc (en la
práctica como Vcc -1.7 V) hasta casi 0 V (aprox. 2 V menos). Así es posible modificar los
tiempos. Puede también configurarse para, por ejemplo, generar pulsos en rampa.
 Umbral (normalmente la 6): Es una entrada a un comparador interno que se utiliza para
poner la salida a nivel bajo.
 Descarga (normalmente la 7): Utilizado para descargar con efectividad el condensador
externo utilizado por el temporizador para su funcionamiento.
 Voltaje de alimentación (VCC) (normalmente la 8): es la patilla donde se conecta el
voltaje de alimentación que va de 4.5 V hasta 16 V.

MODOS DE OPERACIÓN.

1. MULTIVIBRADOR ASTABLE

Este tipo de funcionamiento se caracteriza por una salida


continua de forma de onda cuadrada (o rectangular), con
una frecuencia especifica. El resistor R1 está conectado a
la tensión designada como VCC y al pin de descarga (pin
7); el resistor R2 se encuentra conectado entre el pin de
descarga (pin 7), el pin de disparo (pin 2); el pin 6 y el pin
2 comparten el mismo nodo. Asimismo el condensador se
carga a través de R1 y R2, y se descarga solo a través de
R2.

La señal de salida tiene un nivel alto por un tiempo t1 y un nivel bajo por un tiempo t2,
esto debido a que el pin 7 presenta una baja impedancia a GND durante los pulsos bajos
del ciclo de trabajo.

TRABAJO DE LABORATORIO Nº02: DISEÑO DE SISTEMAS LOGICOS COMBINACIONALES EN


MEDIA ESCALA DE INTEGRACIÓN (MSI). Andrès Herrera- UNEXPO, Junio de 2016.
Página 30
El ciclo de trabajo presenta los estados alto y bajo, la duración de los tiempos en cada
uno de los estados depende de los valores de R1, R2 (expresados en ohmios) y C (en
faradios), con base en las fórmulas siguientes:

La frecuencia de oscilación (f) está dada por la fórmula:

el período está dado por:

Hay que recordar que el período es el tiempo que dura la señal hasta que ésta se vuelve
a repetir (Tb - Ta).

El ciclo de trabajo es :

Para realizar un ciclo de trabajo igual al 50% se necesita colocar el resistor R1 entre la
fuente de alimentación y la patilla 7; desde la patilla 7 hacia el condensador se coloca un
diodo con el ánodo apuntando hacia el condensador, después de esto se coloca un
diodo con el cátodo del lado del condensador seguido del resistor R2 y este en paralelo
con el primer diodo, además de esto los valores de los resistores R1 y R2 tienen que ser
de la misma magnitud.

2. MULTIVIBRADOR MONOESTABLE
En este caso el circuito entrega un solo pulso de un
ancho establecido por el diseñador. La fórmula para
calcular el tiempo de duración (tiempo en el que la salida
está en nivel alto) es:

En este caso, es necesario que la señal de disparo sea


de nivel bajo y de muy corta duración para iniciar la
señal de salida.

ESPECIFICACIONES: Estas especificaciones aplican solo al NE555, en otras versiones


pueden variar dependiendo del fabricante o ámbito en que se utilice.

Voltaje de entrada (VCC) 4.5 a 15 V


Corriente de entrada (VCC = +5 V) 3 a 6 mA
Corriente de entrada (VCC = +15 V) 10 a 15 mA
Corriente de salida (maximum) 200 mA
Máxima disipación de potencia 600 mW
Consumo de potencia (minimum operating) 30 mW@5V, 225 mW@15V
Temperatura de operación 0°C hasta 70 °C
TRABAJO DE LABORATORIO Nº02: DISEÑO DE SISTEMAS LOGICOS COMBINACIONALES EN
MEDIA ESCALA DE INTEGRACIÓN (MSI). Andrès Herrera- UNEXPO, Junio de 2016.
Página 31
TRABAJO DE LABORATORIO Nº02: DISEÑO DE SISTEMAS LOGICOS COMBINACIONALES EN
MEDIA ESCALA DE INTEGRACIÓN (MSI). Andrès Herrera- UNEXPO, Junio de 2016.
Página 32

S-ar putea să vă placă și