Sunteți pe pagina 1din 3

>Laboratorio de Sistemas Digitales< 1

FLIP-FLOPS (Multivibradores biestables) VHDL


Laboratorio de Sistemas Digitales, Departamento de Electrónica, Escuela Politécnica Nacional

Bryan Coque, Pablo Maldonado


Bryan.comque@epn.edu.ec, pablo.maldonado@epn.edu.ec

I. INFORME
A. Hacer el análisis de los resultados obtenidos en esta
práctica. Comente las modificaciones hechas a su circuito y las
causas que las motivaron.

Contador ripple-clock ascendente módulo 12: En este


circuito el cambio realizado fue total debido a que el diseño
realizado no cumplía con las especificaciones del preparatorio,
luego de realizar el correcto diseño se procedió a armar y se
obtuvieron los resultados esperados tanto en el diseño como en
el preparatorio.

Contador Modulo 419 (código VHDL): Para este circuito


se debió utilizar flip-flop JK únicamente para lo cual se debió
obtener un conocimiento de manejo de funciones en VHDL,
este es el cambio q se realizó en el código para el
funcionamiento correcto del contador.

Código VHDL para compuertas lógicas: Para este diseño


de código se debió utilizar la tabla de verdad de cada uno de los
integrados a utilizar, así como también el conocimiento de Anexo 1
programación en VHDL, para este código no se realizó ningún C. Utilizando flip – flops tipo J -K, diseñar un contador
tipo de cambio debido a que era sencillo gracias al asíncrono 123, con control ascendente – descendente y control
conocimiento de programación. de arranque y detención. Presente su diseño simulado en
paquete computacional Proteus y Logisin además crear el
código en vhdl como también las pruebas (solo realizarlo con
B. Realizar contadores de tipo Ripple-Clock ascendente y flip-flops).
descendente módulo 31, armarlos en los simuladores Proteus y
Logisim además crear el código en VHDL y la simulación en Anexo 2
gtkwave (utilizar solo flip-flops J-K).

II. CONCLUSIONES

Se aprendió el uso y aplicación de contadores tipo ripple


Clock, así como también la forma de implementarlos en el
lenguaje de programación VHDL en base a flip flop JK, además
se aprendió a realizar su respectivo diseño en papel y la manera
de implementarlo en proteos.
Se obtuvo conocimientos de la forma de utilización de
funciones en VHDL, para poder aprovechar los programas
antes creados dentro de otros programas.
>Laboratorio de Sistemas Digitales< 2

III. RECOMENDACIONES [3]


http://www.itq.edu.mx/carreras/IngElectronica/archivos_conte
Tener en consideración que para llevar a cabo la practica lo nido/Apuntes%20de%20materias/Apuntes_VHDL_2016.pdf
que se debe tener cien por ciento bien diseñado es el flip flip
jk debido a que en base a ese se lleva a cabo el desarrollo de [4] https://es.slideshare.net/LuiiRivas/vhdl-27983666
cada uno de los literales de la practica, además que el tener
este circuito bien diseñado en VHDL nos permitirá con mayor
facilidad el conprendimiento de los circuitos que se deben
imprementar.

IV. REFERENCIAS

[1]Novillo. (2008). Sistemas digitales. En Novillo, Sistemas


digitales (págs. 192-234). Quito.

[2] http://hflorezf-es.blogspot.com/2011/09/flip-flops.html

“ANEXO 1”

Contador ascendente:
>Laboratorio de Sistemas Digitales< 3

Contador descendente:

Anexo 2

S-ar putea să vă placă și