Documente Academic
Documente Profesional
Documente Cultură
Tarea VHDL
Nombre:
Código:
14190110
Escuela:
Ingeniería electronica
2018
PROBLEMAS VHDL
Problema 1.
Utilizando quartus II
codigo
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity sumres is
port( A,B : in std_logic_vector (3 downto 0);
ci : in std_logic;
EN : in std_logic;
S : out std_logic_vector (3 downto 0);
Co : out std_logic);
end sumres;
process (EN,A,B)
begin
if (EN= ‘1’) then S<=A+B;
elsif (EN= ‘0’) then S=<A-B;
end if;
end process;
end solucion;
CODIGO
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity comparador is
port( A : in std_logic_vector (3 downto 0);
B : in std_logic_vector(3 downto 0);
IGU : out std_logic;
MAY : out std_logic;
MEN : out std_logic;
end comparador;
Problema 3
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
ENTITY EJERCICIO3 IS
PORT(
END EJERCICIO3;
BEGIN
END INTERIOR;
COMPILANDO EL CODIGO
CREANDO EL DIAGRAMA DE TIEMPO
CODIGO
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
ENTITY EJERCICIO4 IS
PORT(
X1,X2,X3,X4 : IN STD_LOGIC;
END EJERCICIO4;
BEGIN
F2<= (X1 AND X2 AND NX3 AND NX4)OR(NX1 AND NX2 AND X3 AND X4)OR
(X1 AND NX2 AND NX3 AND X4) OR(NX1 AND X2 AND X3 AND NX4);
END INTERIOR;
CODIGO
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
ENTITY EJERCICIO5 IS
PORT(
X1,X2,X3,X4 : IN STD_LOGIC;
F : OUT STD_LOGIC);
END EJERCICIO5;
END INTERIOR;
COMPILANDO EL CODIGO
CREANDO EL DIAGRAMA DEL TIEMPO Y EXTRAYENDO NUESTRAS FUNCIONES
CODIGO
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
ENTITY EJERCICIO7 IS
PORT(
X1,X2,X3,X4 : IN STD_LOGIC;
F : OUT STD_LOGIC);
END EJERCICIO7;
END INTERIOR;
COMPILANDO EL CODIGO
CREANDO EL DIAGRAMA DEL TIEMPO Y EXTRAYENDO ENTRADAS Y SALIDAS
CODIGO
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
ENTITY EJERCICIO8 IS
PORT(
X1,X2,X3,X4 : IN STD_LOGIC;
F : OUT STD_LOGIC);
END EJERCICIO8;
BEGIN
NX2 <= NOT X2;
END INTERIOR;
COMPILANDO EL CODIGO
CREANDO EL DIAGRAMA DE TIEMPO Y EXTRAYENDO NUESTRAS ENTRADAS Y SALIDAS
CODIGO
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE work.fulladd_package.ALL;
ENTITY EJERCICIO9 IS
END comp;
BEGIN
stage0: fulladd PORT MAP ('1',X(0),NOT Y(0), S(0), C(1));
stage1: fulladd PORT MAP (C(1), X(1), NOT Y(1), S(1), C(2));
stage2: fulladd PORT MAP (C(2), X(2), NOT Y(2), S(2), C(3));
stage3: fulladd PORT MAP (C(3), X(3), NOT Y(3), S(3), C(4));
N<= S(3);
END INTERIOR;
COMPILANDO EL CODIGO