Sunteți pe pagina 1din 80

Ingeniería Mecánica Electrónica

INGENIERÍA MECÁNICA

APUNTES: INSTRUMENTACIÓN Y CONTROL

http://www.directindustry.es

Anselmo Ramírez González


Ing. Ind. en Electrónica
I.T. de San Luis Potosí
Semestre Ago/Dic ´17
anselmo.ramirez@itcelaya.edu.mx

Ing. Anselmo Ramírez González 1


Ingeniería Mecánica Electrónica

INSTRUMENTACIÓN Y CONTROL
MEF-1015, 3-2-5
I.- Objetivos de la Instrumentación BIBLIOGRAFÍA:
1.1. Terminología de la Instrumentación
Campo, Rango, Exactitud, Precisión, Repetibilidad, Histéresis, 1.- Dispositivos Electrónicos
Supresión del Cero, Resolución Floyd; Pearson
1.2. Variables 2.- Principios de Elec trónica
Variable de control, Variable controlada, Variable incontrolada Malvino; Mc Graw Hill
(wild). 3.- ELECTRÓNICA Teoria de Circuitos y
1.3. Elementos dispositivos electrónicos
Elementos primarios, Elementos secundarios, Elementos terciarios Boylestad & Nashelsky; Pearson
o de control final. 4.- Fundamentos de Circuitos Eléctricos
1.4. Instrumentos de medición y errores Alexander Y Sadicku; Mc Graw Hill
Clasificación de Instrumentos de Medición. 5.- Electrónica Industrial Moderna
Errores de paralaje, Errores de escala (exactitud). Errores de Maloney T; Prentice Hall
proceso (montaje), Errores de calibración. 6.- Electrónica de Potencia
1.5. Simbología Rashid Muhammadd H; Pearson
1.5.1. Simbología ISA. 7.- Amplificadores Operacionales y C.I. Lineales
1.5.2. Letras de identificación. Couhln & Driscoll, Prentice Hall
1.5.3. Simbología de señalización. 8. Solamon S., Sensors Handbook, Mc Graw Hill, New
1.5.4. Códigos colores. York, 1998.
II.- Sensores 9. Pallás Areny Ramón, Sensores y Acondicionamiento
Sensores y/o transmisores de posición binarios de Señal, 3a Edición, Editorial Alfa Omega, 2001.
Final de carrera, Mecánicos, Electricos, Fotoelectricos. 10. Control Valve Handbook Four Edition, Fisher Control
Ultrasonicos, Industivos y Capacitivos International LLC 2005.
Sensores y/o transmisores analógicos 11. Murrill Paul W., Fundamentals of Process Control
Sensores de posición proporcionales. Theoty, Second Edition, ISA, 1991.
Sensores de velocidad y aceleración 12. Ogata, K. Ingeniería de Control Moderno: Editorial
Sensores de fuerza, par y deformación. Prentice Hall., 1993.
Sistemas de medición de coordenadas y sistemas de visión.
13. IEEE Transactions in Instruments and Measurement
III.- Introducción a la Teoría de Control
3.1. Aplicaciones de los sistemas de control INTERNET
3.2. Sistemas en lazo abierto y lazo cerrado
3.3. Modos de control.
https://www.mathworks.com
3.4. On – Off (abierto – cerrado). http://www.pearsoneducacion.net/
3.5. On – Off con banda de histéresis. http://www.mcgraw-hill.com.mx/
3.6. Proporcional.
3.7. Proporcional + integral. http://www.alfaomega.com.mx/home.php
3.8. Proporcional+derivativo. http://www.ab.com/
3.9. Proporcional + integral +derivativo. http://w1.siemens.com/entry/cc/en/
3.10. Sintonización de controladores.
3.11. Calibración en campo (ajuste). http://www.electronicsworkbench.com/
3.12. Calibración en taller. http://www.mitsubishi-automation.com/
http://www.omega.com/
IV.- Aplicaciones de Control http://www.fairchildsemi.com
Controladores de Temperatura, Presión, Flujo, Nivel, Simulink
http://www.ti.com
V.- Elementos Finales de Control http://www.ieee.org/portal/site
5.1. Generalidades http://www.electronicsworkbench.com/
5.2. Tipos http://www.sc.ehu.es/sbweb/electronica/elec_basica
5.2.1. Válvulas lineales y rotativos /default.htm
5.2.2. Válvulas de apertura rápida.
5.2.3. Válvulas isoporcentuales.
http://www.sc.ehu.es/sbweb/electronica/elec_basica
5.2.4. Válvulas de solenoide. /default.htm
5.3. Actuadores (servomotores) http://www.youtube.com/watch?v=LWfCqpJzJYM
5.3.1. Mecánicos. &feature=related
5.3.2. Neumáticos www.svtii.com
5.3.3. Hidráulicos.
www.sensorsmag.com
5.3.4. Eléctricos.

Ing. Anselmo Ramírez González anselmo.ramirez@itcelaya.edu.mx

Ing. Anselmo Ramírez González 2


Ingeniería Mecánica Electrónica

Tabla 3. Prefijos SI.

FACTOR PREFIJO SÍMBOLO FACTOR PREFIJO SÍMBOLO

1024 yotta Y 10-1 deci d

1021 zetta Z 10-2 centi c

1018 exa E 10-3 milli, m


mili

1015 peta P 10-6 micro m

1012 tera T 10-9 nano n

109 giga G 10-12 pico p

106 mega M 10-15 femto f

103 kilo k 10-18 atto a

102 hecto h 10-21 zepto z

101 deka, d 10-24 yocto y


deca

Ing. Anselmo Ramírez González 3


Ingeniería Mecánica Electrónica

RESISTENCIA ELÉCTRICA.-Oposición al flujo de electrones

R→ Resistencia en Ohms (Ω)


l l→ Longitud en m
RK A→
K→
Área transversal en m2
Constante de proporcionalidad
A

Resistencia Serie: Resistencia Paralela:


R1 R2

10 0ohm 10 0ohm R3 R4
1k ohm 1k ohm

RT

RT

1 ( R3 )( R4 )
RT  R1  R2 RT    500
1 1 R3  R4
 200 
R3 R4

Para n resistencias:
1
RT  R1  R2  .....  Rn RT 
1 1 1
  ...... 
R3 R4 Rn

Resistencia Mixta:

R1 R2

1k ohm 47 0ohm

R1 R3
R3 R4
1k ohm 1.5 kohm
1.5 kohm 3.3 kohm
R2 R4

47 0ohm 3.3 kohm

RT = (R1 + R2) // (R3 + R4) RT = (R1 // R2) + (R3 // R4)

Ing. Anselmo Ramírez González 4


Ingeniería Mecánica Electrónica

CAPACITOR.- Elemento eléctrico que sirve para almacenar cargas eléctricas. Se construye de dos placas conductoras
separadas por un aislante.
dq
i Sw Sw
dt di
V L
dv dt
iC C
dt C

N 2 A
C L
1 2
1 2
s
V1
V L

1uF

A
C
d

Los números complejos.- En los sistemas eléctricos nos representan a las Impedancias Z, la cual es una oposición al
flujo de corriente. La Z está compuesta por resistencia ( R ) como la parte real y la reactancia ( X ) como la parte
imaginaria.

1
Reactancia Capacitiva XC 
2fC
Impedancia Z  R  jX  r

Reactancia Inductiva X L  2fL

j Imaginarios
Z
Operaciones complejas: XL X r

) θ
Sean Z1 y Z2 dos números complejos Reales
R
R
θ
Z1  R1  jX 1  r11 r1  R12  X 12 XC

 X1 
Z 2  R2  jX 2  r2  2 1  tg 1  
 R1 
Impedancia Inductiva Z  R  jX L  r

Z1  Z 2  ( R1  R2 )  j ( X 1  X 2 )
Impedancia Capacitiva Z  R  jX C  r  

Z1  Z 2  (r1  r2 )(1   2 )

r1
Z1  Z 2  (1   2 )
r2
Ing. Anselmo Ramírez González 5
Ingeniería Mecánica Electrónica

TRANSFORMACIÓN T- Ó ESTRELLA-DELTA

CIRCUITO T Ó ESTRELLA CIRCUITO  Ó DELTA

R1R 2  R 2 R3  R3 R1 ZAZC
RA  R1 
R2 ZA  ZB  ZC
R1R 2  R 2 R3  R3 R1 ZBZC
RB  R1 
R1 ZA  ZB  ZC
R1R 2  R 2 R3  R3 R1 ZAZB
RC  R1 
R3 ZA  ZB  ZC

Ley de Ohm.- El físico Alemán George S. Ohm, y en 1826, estableció que la tensión o voltaje v a lo largo de un resistor
es directamente proporcional a la corriente i que fluye por el mismo. v = iR

Haciendo uso de un circuito con su simbología y de una tabla, comprenderemos mejor este
enunciado de la ley de Ohm.

Voltaje
I Voltaje Resistencia Corriente V
V1 (Volts,V) (Ohms, Ω) (Amperes,A)
0 10 0
R1 1 10 0.1
2 10 0.2
5 10 0.5
10 10 1.0
15 10 1.5
La corriente I, es indicada
20 10 2.0
con una flecha

Corriente
Ing. Anselmo Ramírez González 6 I
Ingeniería Mecánica Electrónica

23x104 ±10 Ω, 23 kΩ; rojo, rojo, café, oro, 220 ± 5, 220Ω

Ing. Anselmo Ramírez González 7


Ingeniería Mecánica Electrónica

Divisor de Voltaje y Corriente.- Son dos arreglos muy usados en el análisis de circuitos.
Divisor de voltaje.- Consiste en dividir, seccionar ó hacer parciales de un voltaje principal. Observando el
circuito de las Fig. 1.10 y sus correspondientes ecuaciones, podremos ver de manera clara el concepto
“divisor de voltaje”·

V = V 1 + V2 + V3
R1 R1 +
V1 4.000 V V1
VR1
1kohm V1   4V 1kohm -

R1  R2  R3
V
R2 V +
V2 R2 4.000 V
VR2
12V
1kohm
V2   4V - V2
R1  R2  R3 12V
1kohm

R3
1kohm V3
VR3 R3 +

V3   4V 1kohm
4.000 V V3
R1  R2  R3
-

12  4  4  4  12

Fig. 1.10 a) Circuito divisor de voltaje, b) Modelo matemático, c) Comprobación

Observando el circuito de las Fig. 1.11 y sus correspondientes ecuaciones, podremos ver de manera clara el
concepto “divisor de corriente”·

I1 I2 IR 2
I1  
I
R1  R2
1A
R1 R2
1kohm 470ohm
IR1
I2  
R1  R2

Fig. 1.11 Divisor de corriente y modelo matemático

Ing. Anselmo Ramírez González 8


Ingeniería Mecánica Electrónica

Linealidad y Superposición
Un circuito lineal es aquel cuya salida está linealmente relacionada (o es directamente proporcional) a su
entrada.
El principio de superposición establece que la tensión (o corriente) a través de un elemento en un circuito lineal
es la suma algebraica de las tensiones (o corrientes) a través de ese elemento debido a que cada fuente
independiente actúa sola.
Pasos para aplicar el principio de superposición:
1. Anule todas las fuentes independientes excepto una. Encuentre la salida (tensión o corriente) debido a esa
fuente activa, utilizando el análisis nodal o de malla.
2. Repita el paso uno para cada una de las fuentes independientes.
3. Encuentre la contribución total, sumando algebraicamente todas las corrientes de las fuentes independientes.

Determine y Calcule la corriente eléctrica en cada resistencia


R1 R2

1k ohm 47 0ohm

R3
1.5 kohm

9V
V2
V1 12 V

R1 R2 R1 R2

1k ohm 47 0ohm 1k ohm 47 0ohm

R3 R3
1.5 kohm 1.5 kohm

9V
V2
V1 12 V

I1 I2 I1´ I2´

I3 I3´

Se calcula la corriente principal y usando divisor de corriente:

V V V V
I1    I 2´   
RT 1 R1  R3 // R2  RT 2 R2  R3 // R1 

I 1 R2 I 2´ R1
I3   I 3´  
R3  R2 R3  R1

I 1 R3 I 2´ R3
I 2  I1  I 3   I 1  I 2´  I 3´  
R3  R2 R3  R1

IR1 = I1 - I1´ IR2 = I2 - I2´ IR3 = I3 + I3´

Ing. Anselmo Ramírez González 9


Ingeniería Mecánica Electrónica

Leyes de Kirchhoff.- En 1847, el físico alemán Gustav R. Kirchhoff, enuncio sus dos leyes y que a la fecha
siguen vigentes y de mucha utilidad en el análisis de circuitos eléctricos tanto de corriente directa como para los
de corriente alterna. Ellas son:

Ley de corriente de Kirchhoff (LCK) establece que la suma algebraica de las corrientes que entran a un nodo
(o a una frontera cerrada) es cero. La suma de las corrientes que entran a un nodo es igual a la suma de las
N
corrientes que salen de este mismo. i
n 1
n 0 amper

Ley de tensión de Kirchhoff (LTK) establece que la suma algebraica de todas las tensiones alrededor de una
M
trayectoria cerrada (o lazo) es cero. v
m 1
m 0 volts
1º Asignar literales para distinguir mallas
2º Indicar corrientes en todas las mallas y en sentido de las manecillas del reloj
3º Iniciar la ∑V=0, de la malla izquierda, comenzar en extremo superior izquierdo
4º Ordenar ecuación obtenida y sustituir valores conocidos. Llamarla ecuación 1
5º Iniciar la ∑V=0, de la malla derecha, comenzar en extremo superior izquierdo
6º Ordenar ecuación obtenida y sustituir valores conocidos. Llamarla ecuación 2
7º Resolver ecuaciones simultaneas
8º Especificar corrientes para cada resistencia
9º Determinar y calcular Voltaje y Potencia para c/R

V V
R1 R2
B A B A B
A
1k ohm 47 0ohm C
R3
V1 12 V
1.5 kohm

9V
V2
I I
VAB = - V VAB = +V
“Caida” “Subida”
I1 I2 “Caida” “Subida”
A R B A R B

I I
VAB = - IR VAB = + IR
F E D

Malla ABEFA: Malla BCDEB:

ΣV= 0 volts ΣV= 0 volts


 R11 R12   I1  V1 
    V 
-I1R1 - I1R3 + I2R3 + V1 = 0 volts -I2R2 - V2 - I2R3 + I1R3 = 0 volts
R
(R1 + R3)I1- R3I2 = V1 R3I1 - (R2 + R3)I2 = V2  21 22   I 2   2

I R1  I1 
R R12 R  R3  R3 I R2  I 2 
 R  11  1 
R21 R22 R3  ( R2  R3 ) I R 3  I1  I 2 
VR1  I1 R1 
VR 2  I 2 R2 
V1  R3 R1  R3 V1 VR 3  I1  I 2 R3 
V2  ( R2  R3 ) R3 V2 PR1  VR1 I1
I1   I2   PR 2  VR 2 I 2
R R
PR 3  VR 3 I R 3

Ing. Anselmo Ramírez González 10


Ingeniería Mecánica Electrónica

dq
La corriente eléctrica es la razón de cambio de la carga en el tiempo, y se mide en amperes (A). i
dt
Una corriente directa (cd) es aquella que permanece constante respecto al tiempo.

Una corriente alterna (ca) es la que varía senoidalmente respecto al tiempo.

La tensión (o diferencia de potencial) es la energía que se requiere para mover una carga unitaria a través de un elemento, y
dw
se mide en volts (V). v
dq
La potencia es la variación con respecto al tiempo de la entrega o absorción de energía, medida en watts (W).
dw
p
dt
Tipos de Circuitos y Elementos de Circuitos.- Un circuito es la interconexión de diferentes dispositivos para lograr un fin.
Existen diferentes tipos de circuitos, entre ellos, están los circuitos eléctricos, electrónicos, neumáticos, hidráulicos, mixtos.

Los elementos principales de los circuitos eléctricos son: fuentes de voltaje, fuentes de corriente,
resistencias eléctricas, capacitores, bobinas, interruptores, voltímetros, amperímetros, wattmetros,
conductores y aislantes. Pero también hay sensores, transductores, transformadores, filtros, adaptadores
de impedancia, y actualmente todos los semiconductores de forma individual o integrada.
A continuación se muestra el símbolo y unidad de algunos dispositivos eléctricos-electrónicos.

NOMBRE SIMBOLO REPRESENTACION UNIDAD


Resistencia R Ohms (Ω)
Capacitor
C Faradio (F)
Inductancia L Henrio (H)
Fuente de CD
Batería Eléctrica V Volts (V)
Fuente de CA
v Volts (V)

Interruptor Sw
Características del
Amplificador 2 OPAM Fabricante (Ancho de
operacional 3 U1 banda, ganancia, Zin)
1

Características del
Transistor bipolar Q1 fabricante (Ganancia
NPN β, Corriente)

Ing. Anselmo Ramírez González 11


Ingeniería Mecánica Electrónica

Tablilla Proto-board GL-12

8 Renglones 128 columnas

Tablilla Proto Board GL-12

Ing. Anselmo Ramírez González 12


Ingeniería Mecánica Electrónica

VALOR MEDIO Y EFICAZ DE SEÑALES PERIODICAS

T T
1 1 2
  y(t )dt
T 0
YAVG Yrms  y (t )dt
T0

Voltaje Senoidal
1

0.8
v(t)
0.6

0.4

0.2

-0.2

-0.4

-0.6

-0.8

-1
0 2 4 6 8 10 12 14
Tiempo

T
Vm T
Send  m  Cos 0  m  1  1  0
1 V V
 
2
V AVG  V Send  
2 0 2 2
m
T 0

2 2
1
T
Vm2 Vm2  Sen2  Vm
T 0 0 Sen d  2  2  4  0  2
Yrms  Vm Sen d      Vrms 
2 2 2

2

Vm2 Vm
Vrms    0.707Vm
2 2

Ing. Anselmo Ramírez González 13


Ingeniería Mecánica Electrónica

1.- SEMICONDUCTORES
Constante de resistividad:
Cobre, Cu 1.72x10-8
Silicio, Si 2300
Azufre, S 1x1015

l
RK
A

http://www.ptable.com/

Ing. Anselmo Ramírez González 14


Ingeniería Mecánica Electrónica

1s22s2p63s2p6d104s2p6d10f145s2p6d106s1 1s22s2p63s2p2

1s22s2p63s2p4

Ing. Anselmo Ramírez González 15


Ingeniería Mecánica Electrónica

Estructura del silicio y germanio

Estructura atómica del Si y del Ge

Banda Prohibida

Capa 1

Bandas de energía, átomo de Si excitado


1s22s2p63s2p2 1s22s2p63s2p6d104s2p2

Enlace
Pares Electrón-Hueco

Corriente de electrones en Si
Trivalentes: Boro (B), Indio (In), Galio (Ga). Región de empobrecimiento.
intrínseco, generados térmicamente.
Pentavalentes: Arsenico (As), Fosforo (P),
Bismuto (Bi), Abtimonio (Sb).

Ing. Anselmo Ramírez González 16


Ingeniería Mecánica Electrónica

POLARIZACIÓN DEL DIODO SEMICONDUCTOR

Ing. Anselmo Ramírez González 17


Ingeniería Mecánica Electrónica

Ing. Anselmo Ramírez González 18


Ingeniería Mecánica Electrónica

1.1 Rectificador de media onda:


D1

V1
R1
33 .94V 1000 H z 0 D eg
1k ohm

V1

VR1

Rectificador de media onda

De 0 – π : De π-2π:

D → Polarizado directo, conduce; D → Polarizado inverso, No conduce;


Existe Corriente en R1 No existe Corriente en R1
VR1 ≠ 0 volts VR1 = 0 volts

Exprese el voltaje promedio y voltaje eficaz para la señal rectificada en media onda vR1:

  2
 1   
V AVG 
1
Vm  Send  Vm  Send   Vm  Send   
Vm
Cos 0   Vm  1  1  Vm 0.318Vm
2  0   2  0  2 2 

 
1  2 2
 Vm2   Vm2  Sen2  Vm2   Vm2
  m    m           
2 2 2 2 2
V V Sen d V Sen d  Sen d  2
2  2  0  2 4  0 2  2  0
rms
 0  4

Vm2 Vm Vm
Vrms     0.5Vm
4 4 2

Ing. Anselmo Ramírez González 19


Ingeniería Mecánica Electrónica

Rectificador de onda completa:

T1 D1
V1

R1
17 9.61V 60H z 0D eg D2
1k ohm

V1

VR1

Rectificador de onda Completa

De 0–π: De π-2π:

D1 → Polarizado directo, conduce; D2 → Polarizado directo, conduce;

D2 → Polarizado inverso, No conduce; D1 → Polarizado inverso, No conduce;


Existe Corriente en R1 a través de D1 Existe Corriente en R1 a través de D2
VR1 ≠ 0 volts VR1 ≠ 0 volts

Exprese el voltaje promedio y voltaje eficaz para la señal rectificada en onda completa vR1:

  2
 2 


 m  Send    Cos 0    1  1 
1 Vm Vm 2Vm
 m m 

V AVG  V Send  V Send   V 0.636Vm
2  0   2  0    

1  2
 2
 2Vm2   Vm2  Sen2   Vm2    Vm2
2  0
 Vm Sen d  Vm  Sen d     Sen d     4     2   2
2 2 2 2 2
V
 2  0   2
rms
 0 0

Vm2 Vm
Vrms    0.707Vm
2 2

Ing. Anselmo Ramírez González 20


Ingeniería Mecánica Electrónica

Rectificador de media onda con filtro capacitivo:

Ing. Anselmo Ramírez González 21


Ingeniería Mecánica Electrónica

Cuando: C=1 µF Cuando: C=3.3 µF

Cuando: C=4.7 µF Cuando: C=10 µF

 1   1 
Vr ( pp)   V p ( rect )   33  16.66volts
 L 
fR C  60 * 3300 * 0.00001 

 1 
VCD  1  V p ( rect )
 2 fRL C 

Factor de Rizo :
V
r  r ( pp)
VCD

Ing. Anselmo Ramírez González 22


Ingeniería Mecánica Electrónica

Rectificador de onda completa con derivación central:

De 0–π:

D1 → Polarizado directo, conduce;


D2 → Polarizado inverso, No conduce;
Existe Corriente en R1 a través de D1
VR1 ≠ 0 volts

De π - 2 π:

D2 → Polarizado directo, conduce;


D1 → Polarizado inverso, No conduce;
Existe Corriente en R1 a través de D2
VR1 ≠ 0 volts
Rectificador de onda completa con derivación central y con filtro capacitivo:

Cuando: C=1 µF

Cuando: C=1 µF

Ing. Anselmo Ramírez González 23


Ingeniería Mecánica Electrónica

Cuando: C=3.3 µF Cuando: C=4.7 µF

Cuando: C=10 µF Cuando: C=47 µF

Ing. Anselmo Ramírez González 24


Ingeniería Mecánica Electrónica

Rectificador de onda completa Tipo Puente:


De 0–π:

D2 y D3→ Polarizados directo, conducen;


D1 y D4 → Polarizados inverso, No conducen;
Existe Corriente en R1 a través de D2 y D3
VR1 ≠ 0 volts

D1 D2

D3 D4

De π - 2 π:

D1 y D4→ Polarizados directo, conducen;


D2 y D3 → Polarizados inverso, No conducen;
Existe Corriente en R1 a través de D1 y D4
VR1 ≠ 0 volts Rectificador de onda completa Tipo Puente con Filtro:

Cuando: C=1 µF

Cuando: C=4.7 µF Cuando: C=47 µF

Ing. Anselmo Ramírez González 25


Ingeniería Mecánica Electrónica

DIODO ZENER.- Es un dispositivo semiconductor de silicio con unión PN diseñado para operar en la
región de ruptura inversa.
Ánodo Cátodo

Ing. Anselmo Ramírez González 26


Ingeniería Mecánica Electrónica

REGULADORES.- Son dispositivos que mantienen un voltaje de salida constante, pese a los cambios: en el voltaje de
entrada, a la corriente en la carga, o a cambios en la temperatura. La siguiente figura muestra reguladores de la serie 7800 y
de tres terminales (Floyd), Normalmente son dispositivos de tres terminales, una de entrada, una de referencia y una de
salida. Ver anexo

A basic power supply with a variable output


voltage (from 1.25 V to 6.5 V).

Ing. Anselmo Ramírez González 27


Ingeniería Mecánica Electrónica

BJT BIPOLAR JUNCTION TRANSISTOR

I E  IC  I B
Alfa( DC )
Corrientes del
I C   DC I E transistor
Beta (  DC )
I C   DC I B

Ic (mA)

60 Saturación

50
Q3 IB=400 A
40
Vi= 1Vpp IB=300 A
Q2
30
Q1 IB=200 A
20

0 Corte
VCE (Volts)
2 4 6 10
Fig. 5-5 Variación en la IC y en el VCE como resultado de cambios en la IB

Ing. Anselmo Ramírez González 28


Ingeniería Mecánica Electrónica

Ic (mA)

A 400 A
40
ICQ
Q 300 A
30

B 200 A
20

VCE (volts)
0 2 4 6
6
VCEQ

Ing. Anselmo Ramírez González 29


Ingeniería Mecánica Electrónica

IC IBQ

Saturación

ICQ
a.- BJT, Conducido a Saturación

VCE
Saturación
IC

VCEQ
IBQ

ICQ
b.- BJT, Conducido a Corte
VCE
Corte

Corte
IC
IBQ VCEQ
Saturación

ICQ

c.- BJT, Conducido a Corte y a Saturación


VCE
Corte

Saturación
Corte
VCEQ
Ing. Anselmo Ramírez González 30
Ingeniería Mecánica Electrónica

POLARIZACIONES del transistor bipolar

VCC  R2 
VB   VCC
 R1  R2  VCC

R1 RC RC VC  VCC  I C RC
IC
3

1
VC  VCC  I C RC
5
IB
V B  V BE
V E  V B  V BE
4 V E  0V
2

R2 VE RB
RE IE  VCC  V BE
IE RE 0 IC 
RC
0
0 IC  I E
I E  IC
VB
IB 
 CD R E Por Realimentación del
VC  V BE
Mediante Divisor de Voltaje Colector IB 
RB

VB  I E RE  VBE
VCC VCC V B  V E  V BE

VC  VCC  I C RC
RC VC  VCC  I C RC
RC
VE  VB  VBE
8
RB V E  V EE  I E R E
10
RB 7
VCC  VBE
IE  0
 V EE  V BE
RE  B
R IE 
9
CD RE
RE
RE IC  I E
0

IC  I E VB
0 IB 
VEE RB
Con Realimentación del VCC  VB De Emisor Común
Emisor IB 
RB

Ing. Anselmo Ramírez González 31


Ingeniería Mecánica Electrónica

VCC

V B  V BE
RC

VC  VCC  I C RC
RB

V E  0V
11

V  V BB 
I C   CD  CC 
0  RB 
I E  IC
VCC  V BE
IB 
RB
De Base

Ing. Anselmo Ramírez González 32


Ingeniería Mecánica Electrónica

VCC
12V Amplificador en Emisor Común

R1 R3 Usando el Software Multisim, diagrame el


100kohm 4.7kohm
circuito de la Fig. 1.

Con el osciloscopio observar y medir :


CH1 → Señal de entrada V1
C1 Q1 Ch2 → Señal de salida VC
BJT_NPN_VIRTUAL

1uF Cambiar el valor de R4 = 120 Ω y volver a


observar y medir
V1
100mV 1000Hz 0Deg R4
R2
22kohm
470ohm Cambiar el valor de R4 = 2.2 KΩ y volver a
observar y medir

C2
R5 100uF
330ohm

Fig. 1

VCC
12V

Amplificador con 2N2222A


R1 R3
100kohm 4.7kohm

Usando Multisim y el transistor BJT


2N2222A, implemente Fig. 2
C1 Q3
2N2222A Mismos pasos que ejercicio 1

1uF
También aumente y disminuya la frecuencia de
la fuente de entrada en ambos casos
V1
100mV 1000Hz 0Deg R4
R2 470ohm
22kohm

C2
R5 100uF
330ohm

Fig. 2

Ing. Anselmo Ramírez González 33


Ingeniería Mecánica Electrónica

Amplificador de voltaje con BJT 2N2222A, en emisor común

Sí: V1 = 0V = GND; C1, C2, C3 estarían


abiertos y nos queda el circuito siguiente
VCC  VR1  VR 2
 R2 
VCC
 22000 
VB  VR 2   VCC   12  2.1639volts
 R1  R2  122000 
12V

VCC

VB  VR 2  VBE  VRE  VBE  I E RE


VB  VBE 2.1639  0.7 IC
R1 RC IE    1.8299mA
100k
RE 800
IC 4.7k
2
ICmáx=2.18 mA
C
VCC  VRC  VCE  VRE
I1B B VCC  I C RC  VCE  I E RE
Q
ya que I C  I E  1.8299mA VCE
3 E VCC  I C RC  VCE  I C RE VCEmáx=12V

R2 IE RE1 VCC  I C ( RC  RE )  VCE


22k 470

0
4
VCE  VCC  I C ( RC  RE ) VCE Máx  VCC  12V
RE2 VCE  1.9364V
330
0
VCC  VCE VCC
IC   1.8229mA I C Máx   2.1818mA
RC  RE RC  RE
Circuito de DC
VB
IB   36.076A
CD RE
Ing. Anselmo Ramírez González 34
Ingeniería Mecánica Electrónica

Sí: VCC = 0V = GND; C1, C2, C3 estarían 2

cerrados y nos queda el circuito siguiente C


B
1

V1
E
3

100mV
R1 RE1
1kHz 100k R2
0Deg 470 RC RL
22k 4.7k 4.7k
0 0 0 0
0 0

Circuito de AC

Ing. Anselmo Ramírez González 35


Ingeniería Mecánica Electrónica

AMPLIFICADOR OPERACIONAL
El amplificador operacional es una unidad electrónica que se comporta como una fuente de voltaje controlada por
voltaje.

Un amplificador operacional es un elemento de circuito activo que se diseña para efectuar operaciones matemáticas
de suma, sustracción, multiplicación, división, diferenciación e integración.

Características Ideales de un Amplificador Operacional:

Zi → α Ω Impedancia de entrada infinita


Zo → 0 Ω Impedancia de salida cero
Δv → α Ganancia de voltaje infinita
w → α Hz Ancho de banda infinito

Terminal
Inversora IN- (2)
-
Salida

OUT (6)
IN+ (3) +
Terminal No
Inversora UA741

VCC
VCC

+
VCC 12 V
-

GND.
+
12 V
VEE
-
-VEE
-VEE
GND
GND.

Ing. Anselmo Ramírez González 36


Ingeniería Mecánica Electrónica

Distribución de instrumentos para práctica con amplificadores operacionales:

Recuerda usar alambre telefónico en todas las puntas de los instrumentos


1. Seleccionar VCC= 12 V y VEE = 12 V, use el multímetro, También libere corriente. Desenergice la fuente de poder.
2. Inserte el circuito integrado. Polarice al uA741, pin 7 a VCC y pin 4 a - VEE (ver figura)
3. Unir con un conductor la terminal inversora con la salida. (el pin 2 con el pin 6)
4. Energice Generador y Osciloscopio, seleccione v1 = 20 mVp, 1 KHz, Puede hacerlo en el pin 3 de uA741 (ver Figura)
5. Conectar el canal 1 (amarillo), en paralelo con la entrada v1 (ver figura)
6. Conectar el canal 2 (azul), en paralelo con la salida, pin 6 y tierra. (ver figura)
7. Energice fuente de poder. (Observe si no hay “caída de voltaje” en la caratula de la fuente)
8. Energice al generador y “libere” v1 .
9. Energice al osciloscopio.
10. Observe formas de entrada y salida
11. Comprobar que v2=v1.
VCC
VCC

+ Configuración
VCC Seguidor
12 V
-

UA741

+ Alambres telefónicos
12 V
VEE
-
-VEE
-VEE
GND. GND.
+ + +
Gen. Osc Osc
Señal Ch1 Ch2
Puntas de Generador
- - -

Puntas del Osciloscopio


Ing. Anselmo Ramírez González 37
Ingeniería Mecánica Electrónica

Configuración seguidor.- Implementar la configuración seguidor y demostrar que el voltaje de salida es igual a la
señal de entrada.

v3  v1

Seguidor

v  v  v1 v2  v1

i2
i1

v2
v1

R1
v2   v1
R2

Inversor

v1  v v  v2 R2
i1  i2 ;  ; v  v  0V ; v2   v1
R1 R2 R1

Ing. Anselmo Ramírez González 38


Ingeniería Mecánica Electrónica

i2

i1

v2

v1

 R 
v2  1  2 v1
 R2 1
No Inversor

v2  v v  0  R 
i1  i2 ;  ; v  v  v1 ; v2  1  2 v1
R2 R1  R1 

i1 i3
v1
i2
v2

v3

v3  (v1  v2 )

Sumador

v1  v v2  v v  v3
i1  i2  i3 ;   ; v  v  0V ; R1  R2  R3 ; v3  v1  v2 
R1 R1 R3

Ing. Anselmo Ramírez González 39


Ingeniería Mecánica Electrónica

i3
i1
v1

v2 v3
i2

i4

v3  v2  v1
Restador

v1  v v  v3 v1  v3
i1  i3 ;  ; R1  R2  R3  R4 v 
R1 R3 2
v2  v v  0 v2
i2  i4 ;  ; v  v  v v3  v2  v1
R3 R4 2

i1 i3
v1
i2
v2
i3
v5
v3
i4
v4

i6

v5  v3  v4   v1  v2 

Sumador-Restador

Ing. Anselmo Ramírez González 40


Ingeniería Mecánica Electrónica

i2

i1

v2

1
RC 
v2   v1 d t  K

Integrador

v2  v v  0 Z1 1
i1  i2 ;  ; v  v  0V ; v2   v1 ; Z1  R ; Z2 
R2 R1 Z2 SC
d 1 1
S  RC 
S x;  xdt ; v2   v1dt  K
dt

v2

dv1
v2   RC
dt
Diferenciador

Ing. Anselmo Ramírez González 41


Ingeniería Mecánica Electrónica

4.4 Aplicaciones del Timer NE555

Dd

Ing. Anselmo Ramírez González 42


Ingeniería Mecánica Electrónica

Ing. Anselmo Ramírez González 43


Ingeniería Mecánica Electrónica

POTENCIA ELÉCTRICA.- Es la manifestación de energía, en un elemento.


i(t)
dW dW dq
p(t )     v(t )  i (t )
dt dq dt
v(t) Cto.
 Pasivo

La potencia puede tomar valor positivo ó negativo, según el instante o intervalo de tiempo que se considere.
Una potencia positiva significa una transferencia de energía de la fuente a la red, mientras que una potencia
negativa corresponde a una transferencia de energía de la red hacia la fuente.
1 1
1 v(t)
v(t)
v(t) 0.8 0.8
0.8
0.6 0.6
i(t)
0.6
i(t)
Voltaje, Corriente, Potencia

Voltaje, Corriente, Potencia


0.4 0.4
p(t)
Voltaje, Corriente, Potencia

0.4 p(t) p(t)


0.2 0.2
0.2
0 0
0
-0.2 -0.2
-0.2
-0.4 -0.4
-0.4
i(t) -0.6 -0.6
-0.6
-0.8 -0.8
-0.8
-1 -1
-1 0 2 4 6 8 10 12 14 0 2 4 6 8 10 12 14
0 2 4 6 8 10 12 14 Tiempo Tiempo

TIPOS DE POTENCIA Tiempo


x=linspace(0,4*pi,200);
y=sin(x);
 Potencia Activa P = VI Cos  = RI2 =V2R/R = ReVI z=0.5*sin(x+(1/2)*pi);
w=y.*z;
 Potencia aparente S = VI = ZI2 = V2/Z = módulo de VI plot(x,y,x,z,x,w)
 Potencia reactiva Q = VI Sen  =XI2 = V2X/X= ImVI gtext('v(t)')
 Potencia compleja S = VI = VI Cos  -jV Sen  = P-jQ gtext('i(t)')
gtext('p(t)')
xlabel('Tiempo')
ylabel('Voltaje, Corriente, Potencia')
TRIANGULO DE POTENCIAS

Triángulo de potencia para carga Inductiva

V I Cos  P=VI Cos  (W)

  I Sen   Q=VI Sen 


I I S=VI (VA) (VAR)

Triángulo de potencia para carga Capacitiva

I I I Sen  S Q

  
V
I Cos  P

P
FACTOR DE POTENCIA.- f . p.  Cos 
Q

Ing. Anselmo Ramírez González 44


Ingeniería Mecánica Electrónica

Electrónica Digital
Diferentes sistemas numéricos:

 Binario base 2 (0, 1); 10102


 Octal base 8 (0, 1, 2, 3, 4, 5, 6, 7); 7418
 Decimal base 10 (0, 1, 2, 3, 4, 5, 6, 7, 8, 9); 1999
 Hexadecimal base 16 (0, 1, 2, 3, 4, 5, 6, 7, 8, 9, A, B, C, D, E, F); BEBEH

NOTACION POSICIONAL

N = ( an-1 an-2 ... a1 a0 . a-1 a-2 ... a-m)r

.  Punto que separa enteros de fracciones


r  Base
n  Número de dígitos enteros a la izquierda del punto
m  Número de dígitos fraccionarios
an-1  Dígito más significativo (MSD)
a-m  Dígito menos significativo (LSD)

Notación Polinomial.

n 1
N a r
i  m
i
i

ai  Dígito entero i cuando n  1  i  0


ai  Dígito fraccionario i cuando 1  i  m

COMPLEMENTOS:

 complemento r  r n  N
 complemento r  1  r n  r  m  N

DECIMAL BINARIO OCTAL


COMPLEMENTO r 10 2 8
COMPLEMENTO r-1 9 1 7

Ing. Anselmo Ramírez González 45


Ingeniería Mecánica Electrónica

ÁLGEBRA BOOLEANA

Es un conjunto de variables, las cuales pueden operarse con suma lógica, producto lógico o negación
(  ,, ' ); y cuyos elementos son “0” y “1”. En resumen: B;,, ';0,1

* multiplicación lógica (AND) ' negación (NOT)


+ suma lógica (OR) B conjunto de variables Booleanas.

Axiomas de Boole

No. Nombre Multiplicación Suma


A1 Idempotencia XX=X X+X=X
A2 Conmutativa XY=YX X+Y=Y+X
A3 Asociativa X  (Y  Z) = (X  Y)  Z X + (Y + Z) = (X + Y) + Z
A4 Absortiva X  (X + Y) = X X + (X  Y) = X
A5 Distributiva X  (Y + Z) = X  Y + X  Z X + (Y  Z) = (X + Y)  (X + Z)
A6 Elemento Nulo X1=X X+0=X
A7 Complemento X  X' = 0 X + X' = 1

Teoremas de Demorgan

a)  X 1  X 2  ......  X n '  X 1'  X 2'  ......  X n'

b)  X 1  X 2  ......  X n '  X 1'  X 2'  ......  X n'

Teorema de Shannon

 f  X 1 , X 2 ,..., X n ,,'  f X 1' , X 2' ,..., X n' ,, 


Teoremas de Expansión

a) f  X 1 , X 2 ,..., X n   X 1  f 1, X 2 ,..., X n   X 1'  f 0, X 2 ,..., X n 

b)  
f  X 1 , X 2 ,..., X n   X 1  f 0, X 2 ,..., X n  X 1'  f 1, X 2 ,..., X n 

Ing. Anselmo Ramírez González 46


Ingeniería Mecánica Electrónica

MAPAS DE KARNAUGH.- Método tabular para simplificar funciones Booleanas

Un mapa de Karnaugh proporciona un método sistemático de simplificación de expresiones


Booleanas y, si se simplifica adecuadamente, genera las expresiones suma de productos y producto de
sumas más simples posibles. Como hemos visto, la efectividad de la simplificación algebraica depende
de nuestra familiaridad con las leyes, reglas y teoremas del álgebra Boleaba y de nuestra habilidad a la
hora de aplicarlas. Por otro lado, el mapa de Karnaugh es básicamente una "receta" para la
simplificación.

Un mapa de Karnaugh es similar a una tabla de verdad, ya que muestra todos los posibles
valores de entrada y la salida resultante para cada valor. En vez de estar organizada en filas y columnas
como una tabla de verdad, el mapa de Karnaugh es una secuencia de celdas en la que cada celda
representa un valor binario de las variables de entrada. Las celdas se disponen de manera que la
simplificación de una determinada expresión, consiste en agrupar adecuadamente las celdas.

Mapa para una variable, f (A)

D D
D D' D' D D' D
0 1 0 1

Mapa para 2 variable, f (B, A)

A' A A' A A' A


B' B'A' B'A B' 00 01 B' 0 1
B BA' BA B 10 11 B 2 3

Mapa para 3 variables, f (C, B, A)

B'A' B'A BA BA' B'A' B'A BA BA' C/BA 00 01 11 10


C' C' 000 001 011 010 0 0 1 3 2
C C 100 101 111 110 1 4 5 7 6

Mapa para 4 variables, f (D, C, B, A)

B'A' B'A BA BA' B'A' B'A BA BA' DC/BA 00 01 11 10


D'C' D'C' 0000 0001 0011 0010 00 0 1 3 2
D'C D'C 0100 0101 0111 0110 01 4 5 7 6
DC DC 1100 1101 1111 1110 11 12 13 15 14
DC' DC' 1000 1001 1011 1010 10 8 9 11 10

Mapa para 5 variables, f (E, D, C, B, A)


E' E E' E
B'A' B'A BA BA' B'A' B'A BA BA' 00 01 11 10 00 01 11 10
D'C' D'C' 00 0 1 3 2 16 17 19 18
D'C D'C 01 4 5 7 6 20 21 23 22
DC DC 11 12 13 15 14 28 29 31 30
DC' DC' 10 8 9 11 10 24 25 27 26

Mapa para 6 variables, f (F, E, D, C, B, A)

E' E F'ED'C'BA'
DC/BA
010010
00 01 11 10 00 01 11 10
00
Ing. Anselmo Ramírez 0 1
González 3 2 16 17 19 18 47
01 4 5 7 6 20 21 23 22
11 12 13 15 14 28 29 31 30
10 8 9 11 10 24 25 27 26
Ingeniería Mecánica Electrónica

18
F'
Obtención de la Ecuación Simplificada

00 01 11 10 00 01 11 10  Agrupar mintérminos adyacentes


00 32 33 35 34 48 49 51 50  El número de mintérminos agrupados
F 01 36 37 39 38 52 53 55 54 debe provenir de 2n
11 44 45 47 46 60 61 63 62
 Todo mintérmino expuesto en el
10 40 41 43 42 56 57 59 58
mapa debe estar representado en la
ecuación simplificada
FE'D'CB'A  Recomendado hasta para 5 variables
100101
37

CIRCUITOS COMBINACIONALES. En ellos, las funciones de salida dependen únicamente


de las combinaciones de las variables de entrada

CIRCUITOS COMBINACIONALES.

X1 LOGICA Z1
: :
Xn COMBINACIONAL Zn

Diagrama a bloque de los circuitos combinacionales.

Ing. Anselmo Ramírez González 48


Ingeniería Mecánica Electrónica

DECODIFICADOR BCD A 7 SEGMENTOS (DISEÑO).

Introducción: Una vez que ya hemos visto como funcionan los decodificadores sería interesante saber
como se despliegan los números digitales en un reloj. Pues bien ya se ha comentado que los relojes
digitales trabajan con el sistema binario, bien, el código BCD es un sistema binario codificado en
decimal y para que se vea en dígitos decimales se requiere de un decodificador BCD a 7 segmentos.
Esto resulta de gran ayuda porque si no, tendríamos que leer la hora en binario y después tener que
transformarla a decimal mentalmente.
4
D C B A fg ff fe fd fc fb fa 4 0,2,3,5,6,7,8,9   X (10,11,12,13,14,15)
0 0 0 0 0 0 1 1 1 1 1 1
fa (D,C,B,A) =

n 1
m
n 1

1 0 0 0 1 0 0 0 0 1 1 0  D  B  CA  C ' A'
4
2 0 0 1 0 1 0 1 1 0 1 1
3 0 0 1 1 1 0 0 1 1 1 1
fb (D,C,B,A) =  0,1,2,3,4,7,8,9  C' B' A' BA
n 1
4 0 1 0 0 1 1 0 0 1 1 0 4

5 0 1 0 1 1 1 0 1 1 0 1
fc (D,C,B,A) =
 0,1,3,4,5,6,7,8,9  B' A  C
n 1
6 0 1 1 0 1 1 1 1 1 0 1 4

7 0 1 1 1 0 0 0 0 1 1 1 fd (D,C,B,A) =  0,2,3,5,6,8,9  D  C´B  C´A´ BA´CB´A´


n 1
8 1 0 0 0 1 1 1 1 1 1 1 4
9 1 0 0 1 1 1 0 1 1 1 1 fe (D,C,B,A) =
 0,2,6,8  BA'C' A'
n 1
a 4

DC/BA 00 01 11 10 f b
ff (D,C,B,A) =  0,4,5,6,8,9  D  B' A'CB'CA'
n 1
g
00 1 0 1 1 4
01
11
B0
x
1
x
1
x
1
x
e c
b
fg (D,C,B,A) =
 2,3,4,5,6,8,9  D  CB' BA'C ' B
n 1
10 1 1 x x d

fa ≡ D + B + CA + C´A´

DC/BA 00 01 11 10
00 1 1 1 1
01 1 0 1 0
11 x x x x
10 1 1 x x

fb ≡ C´ + B´A´ + BA

DC/BA 00 01 11 10
00 1 1 1 0
01 1 1 1 1
11 x x x x
10 1 1 x x

fc ≡ B´ + A + C

DC/BA 00 01 11 10 DC/BA 00 01 11 10 DC/BA 00 01 11 10 DC/BA 00 01 11 10


00 1 0 1 1 00 1 0 0 1 00 1 0 0 0 00 0 0 1 1
01 0 1 0 1 01 0 0 0 1 01 1 1 0 1 01 1 1 0 1
11 x x x x 11 x x x x 11 x x x x 11 x x x x
10 1 1 x x 10 1 0 x x 10 1 1 x x 10 1 1 x x

fd ≡ D + C´B + C´A´ + BA´ + CB´A fe ≡ C´A´ + BA´ ff ≡ D + B´A´ + CB´ + CA´ fg ≡ D + CB´+BA´+ C´B

Ing. Anselmo Ramírez González 49


Ingeniería Mecánica Electrónica

CIRCUITOS SECUENCIALES

FLIP - FLOP's

En este tema, se van a tratar los circuitos biestables, monoestables y los dispositivos lógicos
estables, denominados multivibradores. Los dispositivos biestables se dividen en flip-flops y latches.
Los biestables poseen dos estados estables, denominados SET (activación) y RESET (desactivación),
en los cuales se pueden mantener indefinidamente, por lo que estos dispositivos son muy adecuados
como elementos de almacenamiento. La diferencia básica entre latches y flip-flops es la manera en que
cambian de un estado a otro. Los flip-flops son los bloques básicos para la realización de contadores,
registros y otros circuitos de control secuencial. El multivibrador monoestable tiene un único estado
estable. Un monoestable produce un único impulso de anchura controlada cuando se activa o dispara.
El multivibrador estable no tiene ningún estado fijo y se emplea principalmente como oscilador, es
decir, como generador de señales automantenido. Los osciladores de impulsos se emplean como
fuentes de señales de temporización en los sistemas digitales.

 SR (SET- RESET)
 JK
 D
 T
x1 LÓGICA
z1
: :
xn COMBINACIONAL zn

Diagrama a bloque de los circuitos combinacionales.

x1 z1
: LÓGICA :
xn zm z = g ( x, y )
COMBINACIONAL

Y = h ( x, y )
y1 ... yr Yr ... Y1

MEMORIA
:

Diagrama a bloque de los circuitos secuenciales.

x1, ..., xn 
 entradas  x1   z1   y1  Y1 
x  z  y  Y 
Ing. Anselmo Ramírez González x   2 z   2 y   2 Y   2 50
: : : :
       
 xn  zn   yn  Yn 
Ingeniería Mecánica Electrónica

z1, ..., zm 
 salidas
y1, ..., yr 
 Estado presente
Y1, ..., Yr 
 Estado siguiente

En la práctica los diagramas y las tablas de estados se etiquetan con símbolos en lugar de vectores. Consideremos un
circuito secuencial con dos variables de estado presente y1 y y2. Entonces:

y 
y   1  por tanto el vector y puede tener cualquiera de los estados posibles:
 y2 

0 0 1 1


y A y B y C yD
0 1 0 1

Así, el circuito secuencial sólo tiene 4 estados posibles, y que llamamos A, B, C, y D. En general, si r representa el número
de dispositivos de memoria en un circuito con Ns estados, podemos escribir:

2 r 1  Ns  2 r

Ejemplo: Si tenemos un circuito secuencial con una variable de entrada x , dos variables de estado y1 y y2, y una variable
de salida z, podemos escribir:

Entradas: x=0 Estados [ y1 , y2 ] = [ 0 0] ≡ A


Representa la
x=1 [ y1 , y2 ]=[01]≡B transición
[ y1 , y2 ]=[10]≡C
Salidas: z=0 [ y1 , y2 ]=[11]≡D
z=1 Representa al 0/1
Estado
1/1
Estado Entrada x A C
Actual 0 1
A D/0 C/1 0/0
1/0
B B/1 A/0 1/0 0/0
C C/1 D/0
D A/0 B/1 B D
1/1
Tabla de Estados 0/1
x/z
Para una x = 0 1 1 0 1 0 1 1 0 0 Diagrama de Estados

Instante: 0 1 2 3 4 5 6 7 8 9 10
Estado Actual: A D B A D B B A C C C
Entrada: 0 1 1 0 1 0 1 1 0 0
Estado Siguiente: D B A D B B A C C C
Salida: 0 1 0 0 1 1 0 1 1 1

Ing. Anselmo Ramírez González 51


Ingeniería Mecánica Electrónica

FLIP FLOP - SR
SIMBOLO:

ENTRADAS SALIDAS

S - SET
R - RESET
Qn - ESTADO PRESENTE
Q' - ESTADO PRESENTE NEGADO
Qn+1 - ESTADO SIGUIENTE

3.2 TABLA DE FUNCION TABLA COMPARATIVA DE ESTADOS

S R Qn+1 S R Qn Qn+1 Qn Qn+1 S R Qn Qn+1 S R


0 0 Qn 0 0 0 0 0 0 0 0 0 0 0 X
0 0 1 1 0 1 0 1 1 0
0 1 0 0 1 0 0
1 0 1 0 1 1 0
0 1 1 0 1 0 0 1
1 1 no permitido 1 0 0 1 1 0 0 1 1 1 X 0
1 0 1 1 1 1 0 0
1 1 0 No 1 0
1 1 1 Permitidos

SIMBOLO:

CK SALIDAS

CK - PULSO DE RELOJ

TABLA DE FUNCION: COMPARATIVA DE ESTADOS:

J K Qn+1 J K Qn Qn+1 Qn Qn+1 J K Qn Qn+1 J K


0 0 Qn 0 0 0 0 0 0 0 0 0 0 0 X
0 0 1 1 0 1 0 1 1 X
0 1 0 0 1 0 0
1 0 1 0 1 1 0
0 1 1 0 1 0 X 1
1 1 Q´n 1 0 0 1 1 1 1 1 X 0
1 0 1 1 1 0 0 1
1 1 0 1 1 1
1 1 1 0 1 1 0 0
1 0

Ing. Anselmo Ramírez González 52


Ingeniería Mecánica Electrónica

MEMORIAS
MEMORIAS SEMICONDUCTORAS Cap 10 ; Floyd

Son dispositivos de almacenamiento de datos binarios de largo plazo o corto plazo. Las
principales memorias son: Semiconductoras, Magnéticas y Optica. Las memorias semiconductoras
están formadas por matrices de elementos de almacenamiento que pueden ser latches, condensadores o
cualquier otro elemento de almacenamiento de carga.

1 1 1
2 2 2
3 3 3
4 4 4
5 5 5
6 : :
7 : :
8 15 63
1 2 3 4 5 6 7 8 16 64
1 2 3 4 1
Matriz de almacenamiento de 8 x 8 Matriz 16 x 4 Matriz 64 x 1

1 1
2 2
3 3 ___ ___ ___ ___ ___ ___ ___ ___
4 4
5 ___ 5
6 6
7 7
8 8
1 2 3 4 5 6 7 8 1 2 3 4 5 6 7 8

Dirección del bit 5,4 Dirección del Byte 3

Decodificador
de Matriz de
Bus de Bus de
Direcciones Direcciones
Datos
Memoria

Lectura Escritura

Diagrama a bloques de una memoria


Registro de Registro de
Direcciones Datos
Ing. Anselmo Ramírez González 53
Ingeniería Mecánica Electrónica

101 10001101

0 1 1 0 0 0 0 1 0
1 1 0 0 0 0 1 1 1
Decodificador 2 0 1 0 0 1 0 1 0
de
Direcciones 3 1 1 0 0 0 0 1 1
4 1 1 1 0 0 1 0 0
5 1 0 0 0 1 1 0 1
6 0 1 1 1 0 0 1 0
7 1 0 0 0 0 0 0 1 Bus de
Bus de
Direcciones Datos

Escritura

Fig. Operación de Escritura

Registro de Registro de
Direcciones
Datos
011 11000011

0 1 1 0 0 0 0 1 0
1 1 0 0 0 0 1 1 1
Decodificador 2 0 1 0 0 1 0 1 0
de
Direcciones 3 1 1 0 0 0 0 1 1
Bus de 4 1 1 1 0 0 1 0 0 B Bus de Datos
Direcciones 5 1 0 0 0 1 1 0 1
6 0 1 1 1 0 0 1 0
7 1 0 0 0 0 0 0 1

Lectura
Fig. Operación de Lectura

Ing. Anselmo Ramírez González 54


Ingeniería Mecánica Electrónica

Memoria de
Acceso Aleatorio
(RAM)
Random Access Memory

ás rápida que la DRAM. Más lenta que la SRAM.


enor capacidad que la Mayor capacidad que la
RAM. Se emplea a SRAM. Se emplea como
nudo como memoria memoria principal.
ché.
SRAM DRAM Celdas de
ldas de almacenamiento Static Dynamic almacenamiento mediante
diante flip-flop Random Access Memory Random Access Memory condensador. Deben
refrescarse

ASRAM SB SRAM FPM-DRAM EDO-DRAM


Asynchronous Synchronous Fast Page Mode Extended Data Output

BEDO-DRAM SDRAM
Burst Extended Data Synchronous
Output

ROM
Read Only Memory

ROM PROM EPROM UVPROM EEPROM


de Mascara Programmable Erasable Ultraviolet Electrically
Erasable

Ing. Anselmo Ramírez González 55


Ingeniería Mecánica Electrónica

Tipo de dispositivos de almacenamiento magnético:

Disco Cinta
Magnético

Disco Jaz Disco Disco Zip


Extraible Duro Disquete Extraible QIC
(Travan) DAT

8 mm DLT

Tipo de dispositivos de almacenamiento óptico (láser):

Disco
Magneto- CD-ROM CD-R CD-RW WORM DVD-ROM
Óptico

Ing. Anselmo Ramírez González 56


Ingeniería Mecánica Electrónica

PLD'S DISPOSITIVOS LÓGICOS PROGRAMABLES (PLD´s)


Los PLD´s son matrices de compuertas lógicas empaquetadas en un solo chip y que pueden ser
programadas para desempeñar funciones lógicas definidas según las necesidades del usuario. Se
utilizan en muchas aplicaciones para remplazar a los SSI y MSI, ya que ahorran espacio y reducen el
numero y el costo de los dispositivos en un diseño. Se clasifican en:
 PROM Programmable Read-Only Memory Memoria Programable de Solo Lectura
 PLA Programmable Logic Array Matriz Lógica Programable
 PAL Programmable Array Logic Matriz Lógica Programable
 GAL Generic Array Logic Matriz Lógica Genérica

Matrices Programables.- Todos los PLD´s están formados por matrices programables. Una matriz
programable es una red de conductores distribuidos en filas y columnas con un fusible en cada punto de
intersección. Las matrices pueden ser fijas o programables.
La Matriz OR.- Se programa fundiendo los fusibles para eliminar las variables seleccionadas de
las funciones de salida. Observe figuras siguientes:

Fig. pld1 a) Matriz OR No programada b) Matriz OR programada

Fig. pld2 a) Matriz AND No programada b) Matriz AND programada

Dispositivos Lógicos Programables:

Ing. Anselmo Ramírez González PLD: FPGA 57


- SPLD
- CPLD
Ingeniería Mecánica Electrónica

Fig. pld3 Diagrama simplificado de una PAL programada

Entradas 1 Salida 1
Matriz Matriz Matriz
Entrada 2 Matriz OR Salida 2 AND OR
AND progra- progra progra-
fija mable mable mable

Entrada n Salida m

Diagrama a bloques de una PROM Diagrama a bloques de un PLA

Matriz
Matriz Matriz Matriz OR fija
AND OR fija AND y lógica
Progra y lógica progra de
mable de mable salida
salida progra
mable

Diagrama a bloques de un PAL Diagrama a bloques de una GAL

PAL 10 L 8

Matriz Lógica Programable Ocho Salidas


Diez Entradas Salida activa en Nivel Bajo

GAL 16 V 8

Matriz Lógica Genérica Ocho Salidas


Dieciséis Entradas Configuración de Salida Variable

Ing. Anselmo Ramírez González 58


Ingeniería Mecánica Electrónica

LENGUAJE VHDL
PLD´s, WINCUPL, GALAXY

PLD'S DISPOSITIVOS LÓGICOS PROGRAMABLES (PLD´s)


Los PLD´s son matrices de compuertas lógicas empaquetadas en un solo chip y que pueden ser
programadas para desempeñar funciones lógicas definidas según las necesidades del usuario. Se
utilizan en muchas aplicaciones para remplazar a los SSI y MSI, ya que ahorran espacio y reducen el
numero y el costo de los dispositivos en un diseño. Se clasifican en:

 PROM Programmable Read-Only Memory Memoria Programable de Soo Lectura


 PLA Programmable Logic Array Matriz Lógica Programable
 PAL Programmable Array Logic Matriz Lógica Programable
 GAL Generic Array Logic Matriz Lógica Genérica

Matrices Programables.- Todos los PLD´s están formados por matrices programables. Una matriz
programable es una red de conductores distribuidos en filas y columnas con un fusible en cada punto de
intersección. Las matrices pueden ser fijas o programables.
La Matriz OR.- Se programa fundiendo los fusibles para eliminar las variables seleccionadas de
las funciones de salida. Observe figuras siguientes:

Fig. pld1 a) Matriz OR No programada b) Matriz OR programada

Fig. pld2 a) Matriz AND No programada b) Matriz AND programada


Ing. Anselmo Ramírez González 59
Ingeniería Mecánica Electrónica

Dispositivos Lógicos Programables:

PLD: FPGA
- SPLD
- CPLD

SPLD.- Simple Programmable Logic Device


CPLD.- Complex PLD
FPGA.- Fiel Programmable Gate Array

Fig. pld3 Diagrama simplificado de una PAL programada

Entradas 1 Salida 1
Matriz Matriz Matriz
Entrada 2 Matriz OR Salida 2 AND OR
AND progra- progra progra-
fija mable mable mable

Entrada n Salida m

Diagrama a bloques de una PROM Diagrama a bloques de un PLA

Matriz
Matriz Matriz Matriz OR fija
AND OR fija AND y lógica
Progra y lógica progra de
mable de mable salida
salida progra
mable

Diagrama a bloques de un PAL Diagrama a bloques de una GAL

PAL 10 L 8

Matriz Lógica Programable Ocho Salidas


Diez Entradas Salida activa en Nivel Bajo

GAL 16 V 8

Matriz Lógica Genérica Ocho Salidas


Dieciséis Entradas Configuración de Salida Variable

Ing. Anselmo Ramírez González 60


Ingeniería Mecánica Electrónica

PROGRAMACIÓN DE LOS PLD´s

Computador ó
Calculadora Software Programador

Inicio

Depurar
Diseño del
circuito lógico

Editar
Introducir el diseño en la CP creando
un fichero (fuente) de entrada

Si
¿Errores
sintácticos
u otros?

No
Jedec
El compilador procesa el fichero de Electronics
Device
entrada y minimiza la lógica
Engineering
Council

Simulación del diseño


Operación Lógica Símbolo ABEL

NOT !
No AND &
¿Funciona
el diseño? OR #
XOR $

Si

El compilador crea un fichero JEDEC


(mapa fusible) y lo carga en el
programador

El programador “funde” el mapa de El compilador genera el fichero de


fusibles en la matriz del PLD documentación

Ing. Anselmo Ramírez González 61


Ingeniería Mecánica Electrónica

Usando una GAL, diseñe un “Decodificador Binario a BCD”, cuya entrada sea de 5 bit´s

ENTRADAS SALIDAS
No E D C B A ff fe fd fc fb fa 5
0 0 0 0 0 0 0 0 0 0 0 0 f a   (1,3,....,29,31)
1 0 0 0 0 1 0 0 0 0 0 1 n 1

2 0 0 0 1 0 0 0 0 0 1 0
3 0 0 0 1 1 0 0 0 0 1 1 5
4 0 0 1 0 0 0 0 0 1 0 0 f b   ( 2,3,6,7,12,13,16,17,22,23,26,27)
5 0 0 1 0 1 0 0 0 1 0 1 n 1
6 0 0 1 1 0 0 0 0 1 1 0
7 0 0 1 1 1 0 0 0 1 1 1
5
f c   ( 4,5,6,7,14,15,16,17,24,25,26,27)
8 0 1 0 0 0 0 0 1 0 0 0
9 0 1 0 0 1 0 0 1 0 0 1
n 1
10 0 1 0 1 0 0 1 0 0 0 0
11 0 1 0 1 1 0 1 0 0 0 1
12 0 1 1 0 0 0 1 0 0 1 0 5
13 0 1 1 0 1 0 1 0 0 1 1 f d   (8,9,18,19,28,29)
14 0 1 1 1 0 0 1 0 1 0 0 n 1

15 0 1 1 1 1 0 1 0 1 0 1
16 1 0 0 0 0 0 1 0 1 1 0 5
17 1 0 0 0 1 0 1 0 1 1 1 f e   (10,11,12,13,14,15,16,17,18,19,30,31, )
18 1 0 0 1 0 0 1 1 0 0 0 n 1
19 1 0 0 1 1 0 1 1 0 0 1
20 1 0 1 0 0 1 0 0 0 0 0
5
f f   ( 20,21,22,23,24,25,26,27,28,29,30,31)
21 1 0 1 0 1 1 0 0 0 0 1
22 1 0 1 1 0 1 0 0 0 1 0
n 1
23 1 0 1 1 1 1 0 0 0 1 1
24 1 1 0 0 0 1 0 0 1 0 0
25 1 1 0 0 1 1 0 0 1 0 1 ECUACIONES SIMPLIFICADAS:
26 1 1 0 1 0 1 0 0 1 1 0
27 1 1 0 1 1 1 0 0 1 1 1 fa(E,D,C,B,A)=A
28 1 1 1 0 0 1 0 1 0 0 0
29 1 1 1 0 1 1 0 1 0 0 1 fb(E,D,C,B,A)=E´D´B+E´DCB´+ED´C´B´+D´CB+EDC´B
30 1 1 1 1 0 1 1 0 0 0 0
31 1 1 1 1 1 1 1 0 0 0 1
fc(E,D,C,B,A)=E´D´C+E´CB+EC´B´+EDC´

fd(E,D,C,B,A)=E´DC´B´+ED´C´B+EDCB´

fe(E,D,C,B,A)=E´DB+E´DC+ED´C´+DCB
ECUACIONES PARA COMPILADOR ABEL:
ff(E,D,C,B,A)=EC+ED
fa=A; O. Lógica ABEL
fb=!E&!D&B#!E&D&C&!B#E&!D&!C&!B#!D&C&B#E&D&!C&B;
fc=!E&!D&C#!E&C&B#E&!C&!B#E&D&!C; NOT !
fd=!E&D&!C&!B#E&!D&!C&B#E&D&C&!B; AND &
fe=!E&D&B#!E&D&C#E&!D&!C#D&C&B;
OR #
ff=E&C#E&D;
XOR $

Ing. Anselmo Ramírez González 62


Ingeniería Mecánica Electrónica

VHDL
Very high speed Hardware Description Language
Lenguaje de descripción en hardware o Lenguaje orientado a la descripción.
Modelado de sistemas digitales

Very high speed Hardware Description Logia

5 Unidades básicas de diseño:

entity.- Declaración Identidad


Primarias
architecture.- Arquitectura
configuration.- Configuración
package declaration.- Declaración del paquete Secundarias

package body.- Cuerpo del paquete

entity.- Son todos los elementos electrónicos (Sumadores, Contadores, Compuertas, Flip-Flop, Memorias, Multiplexores, etc.),
que forman de manera individual o en conjunto un sistema digital.
Puertos de entrada-salida.- Todos los puertos declarados deben tener un Nombre, un Modo y
un Tipo de dato.

Modo.- Permite definir la dirección en la cual el dato es transferido a través de un puerto.


in.- Este sólo es unidireccional, y hacia dentro de la entidad.
out.- Indica las señales de salida de la entidad.
inout.- Declara a un puerto en forma bidireccional, además permite la
retroalimentación de señales dentro o fuera de la entidad.
buffer.- Se comporta como una terminal de salida, pero permite hacer
retroalimentaciones internas

Tipos de datos.- Son los valores que el diseñador establece para los puertos de entrada y salida
dentro de una entidad.
Bit.- Tiene valores de 0 y 1 lógicos.
Bolean.- Valores de verdadero o falso en una expresión
Bit_vector.- Representa un conjunto de bits p/c variable de entrado o salida
Integer.- Representa un numero entero

Ing. Anselmo Ramírez González 63


Ingeniería Mecánica Electrónica

Declaración de entidades.- Es la descripción de las entradas y salidas de un circuito de diseño


identificado como entity, es decir, señala las terminales de entrada y salida con que cuenta la
entidad del diseño.

Cin
Cin B
Entidad Cout + A
B Sumador
A S Cout S

1 --Declaración de la entidad del circuito sumador completo


2 entity Sumador is
3 port (Cin, B, A: in bit;
4 Cout, S: out bit);
5 end Sumador;

ENTIDAD MEDIANTE VECTORES


CIN

A3
Cin
A2 COUT
A1 S3 Cin Entidad Cout B3 B2 B1 B0
A0 S2 B[3:0] Sumador +A3 A2 A1 A0
B3 S1 S[3:0]
B2 S0
A[3:0] Cout S3 S2 S1 S0
B1
B0

1 --Declaración mediante vectores de un sumador binario de 4 bits


2 entity Sumador4bit is
3 port (B,A: in bit_vector (3 downto 0);
4 Cin: in bit;
5 S: out bit_vector (3 downto 0);
6 Cout out bit);
5 end Sumador4bit;

Ing. Anselmo Ramírez González 64


Ingeniería Mecánica Electrónica

ENTIDADES MEDIANTE LIBRERIAS Y PAQUETES

Libreria.- Lugar al que se tiene acceso p/utilizar las unidades de diseño predeterminadas por el
fabricante de la herramienta, y su función es agilizar el diseño (ieee, work)
ieee
std_logic_1164

work
numeric_std
std_arith
gatespkg
otras

Paquete.- Unidad de diseño formada por declaraciones, programas, componentes y subprogramas que incluyen los
diversos tipos de datos (bit, booleno, std_logic), empleados en la programación de VHDL y que suelen ser parte de las
herramientas de software.

numeric_std .- Define funciones para realizar operaciones entre diferentes tipos de datos
(sobrecargado); además, los tipos pueden representarse con signo o sin éste.

numeric_bit .- Define tipos de datos binarios con signo o sin éste.

std_arith .- Define funciones y operadores aritméticos ( =, ›, ‹ , entre otros)

DECLARACIÓN DE ENTIDADES MEDIANTE LIBRERIAS Y PAQUETES


Ejemplo de librería y paquete

B1 Y3
B0 Circuito Y2
A1 Multiplicador Y1
A0 Y0

0 --Circuito multiplicador de 2 bits


1 library ieee;
2 use ieee.std_logic_1164.all;
3 entity multiplicador is
4 port (B1,B0,A1,A0: in std_logic;
5 Y3,Y2,Y1,Y0; out std_logic);
6 end multiplicador

Ing. Anselmo Ramírez González 65


Ingeniería Mecánica Electrónica

Arquitectura.- Describe el funcionamiento de una entidad, de tal forma que permita el desarrollo de
procedimientos que se llevaran a cabo con el fin de que la entidad cumpla las condiciones de funcionamiento deseadas.

Funcional Flujo de datos Estructural

Descripción Funcional.- Expone la forma en que trabaja el sistema; es decir, las descripciones consideran la
relación que hay entre las entradas y las salidas del circuito, sin importar cómo esté organizado en su interior. Ejemplo:

Si A = B entonces C = 1
Si A ≠ B entonces C = 0

B 3 Comparador de
3 Igualdad C
A

1 --Ejemplo de la descripción Funcional en comparador de 3 bits


2 library ieee;
3 use ieee.std _logic_1164.all;
4 entity comparador is
5 port (A,B: in bit_vector(2 downto 0);
6 C: out bit);
7 end comparador;
8 architecture funcional of comparador is
9 begin
10 compara: process (A,B)
11 begin
12 if A=B then
13 C ‹= ´1´;
14 else ‹= ´0´;
15 end if;
16 end process compara;
17 end funcional;

Ing. Anselmo Ramírez González 66


Ingeniería Mecánica Electrónica

Ejemplo de una compuerta OR

1
3
AB Y
A
2

0 0 0
Y 0 1 1
B 1 0 1
1 1 1

1 --Ejemplo de la descripción Funcional en compuerta OR de 2 entradas


2 library ieee;
3 use ieee.std _logic_1164.all;
4 entity compuertaOR is
5 port (A,B: in std_logic;
6 Y: out std_logic);
7 end compuertaOR;
8 architecture funcional of copuertaOR is
9 begin
10 process (A,B) begin
11 if (A = ´0´ and B = ´0´) then
12 Y ‹= ´0´;
13 else
14 Y ‹= ´1´;
15 end if;
16 end process;
17 end funcional;

Descripción por Flujo de Datos.- Indica la forma en que los datos se pueden transferir de una señal a otra sin
necesidad de declaraciones secuenciales (if-then-else). Permite definir el flujo que tomarán los datos entre módulos
encargados de realizar operaciones. En este tipo de descripción se usan instrucciones when-else (cuando-si no) o por medio
de ecuaciones Booleanas.

B 4 Comparador de
4 Igualdad C
A

1 --Ejemplo de la declaración de la entidad en un comparador de 4 bits flujo de datos


2 library ieee;
3 use ieee.std_logic_1164.all;
4 entity compa is
5 port (A,B: in bit_vector (3 downto 0);
6 C: out bit);
7 end compa;
8 architecture f_datos of compa is
9 begin
10 C ‹= ´1´ when (A = B) else ´0´; (asigna a C el valor de 1 cuando A=B si no vale 0).
11 end f_datos;

Ing. Anselmo Ramírez González 67


Ingeniería Mecánica Electrónica

Mediante Ecuaciones Booleanas.-

B1
B 2 Comparador de A1
2 Igualdad C
A C
B0
A0

1 library ieee;
2 use ieee.std_logic_1164.all;
3 entity compa is port (B,A; in bit_vector (0 to 1);
4 C: out bit);
5 end compa;
6 use work.compuerta.all;
7 architecture structural of compa is
8 signal x: bit_vector (0 to 1);
9 begin
10 U0: xnor port map (A(0), B(0), x(0));
11 U1: xnor port map (A(1), B(1), x(1));
12 U2: and2 port map (x(0), x(1), C);
13 end estructural

Ing. Anselmo Ramírez González 68


Ingeniería Mecánica Electrónica

ANEXOS

Ing. Anselmo Ramírez González 69


Ingeniería Mecánica Electrónica

Ing. Anselmo Ramírez González 70


Ingeniería Mecánica Electrónica

Ing. Anselmo Ramírez González 71


Ingeniería Mecánica Electrónica

Ing. Anselmo Ramírez González 72


Ingeniería Mecánica Electrónica

Ing. Anselmo Ramírez González 73


Ingeniería Mecánica Electrónica

Ing. Anselmo Ramírez González 74


Ingeniería Mecánica Electrónica

Ing. Anselmo Ramírez González 75


Ingeniería Mecánica Electrónica

Ing. Anselmo Ramírez González 76


Ingeniería Mecánica Electrónica

Ing. Anselmo Ramírez González 77


Ingeniería Mecánica Electrónica

Ing. Anselmo Ramírez González 78


Ingeniería Mecánica Electrónica

http://www.directindustry.es/

http://www.ieee.org/portal/site

Ing. Anselmo Ramírez González 79


Ingeniería Mecánica Electrónica

DIRECTORIO ITC

Dirección
INSTRUMENTACIÓN Y CONTROL Dr. Juan Manuel Ricaño Castillo
APUNTES Subdirección Académica
M.C. Martha Carreño Juárez
Subdirección de Servicios Administrativos
Primera Edición: Agosto de 2011 Ing. Jesús Patiño Estrella
Diseño de portada: UVE Comunicación Subdirección de Planeación y Vinculación
M.C. J. Guillermo Fierro Mendoza
Impresión de interiores: Taller Editorial del ITC Jefe del Departamento de Ingeniería Electrónica
Dr. Rodolfo Orosco Guerrero
Editor: Jorge Servín Victorino Jefe del Departamento de Desarrollo
© Anselmo Ramírez González Académico
Impreso en México M.C. Ana Bertha Hernández Hernández
aramirez@itc.mx
anselmo.ramirez@itcelaya.edu.mx
Comité Editorial
M.C. Jorge Servín Victorino
M.C. Teresa Villalón Guzmán
Dr. Ramiro Rico Martínez
M.C. Juan Manuel Chabolla Romero

Ing. Anselmo Ramírez González 80

S-ar putea să vă placă și