Sunteți pe pagina 1din 17

Université Ibn Tofail

Faculté des Sciences


Département de Physique
Kénitra.

Master Spécialisé en Electroniques Embarquées et Systèmes de


Télécommunications

Mini projet sous le thème :

Réalisation d’un multiplieur 4 bits à l’aide du logiciel XILINX ISE

Réalisé par : Encadré par


 Akarrachi Widad Mr.HAJOUJA
 Karam Mariem

2017/2018
Sommaire

I. Qu’est ce que VHDL……………………………………………………………4

II. Historique………………………………………………………………………..4

III. logiciel de simulation XILINX ISE……………………………………………5

IV. Le multiplieur à 4 bits…………………………………………………………...10

Page 2
Introduction

Ce Mini-projet a pour but de réaliser un multiplieur ‘ 4 bits.

La simulation ce fait a l’aide du logiciel ISE XILINX , qui dépend du


langage de description VHDL afin de réaliser ce projet.

Ce travail nous a permet de découvrir d’autres fonctionnalités de langage


de description VHDL en tenant compte du logiciel ISE XILINX et aussi de
consolider nos connaissances acquises en travaux pratiques et d’en acquérir de
nouvelles.

Page 3
I. Qu’est ce que VHDL :

 VHSIC : (Very High Speed Integrated Circuit) Hardware Description Langage.


 Langage pour décrire la structure et le comportement des systèmes électroniques, En
particulier des circuits digitaux (ASIC, FPGA, . . .).
 Indépendant de la méthodologie de conception.
 Indépendant des outils de conception.
VHDL n’est pas un langage de programmation, il est un langage de description
 Langage pour décrire la structure et le comportement de systèmes électroniques, en
particulier des circuits digitaux (ASIC, FPGA, . . .).
 Indépendant de la technologie cible.
 Indépendant de la méthodologie de conception.
 Indépendant des outils de conception.

II. Historique :

 1980: le département de défense américain lance un appel d’offre pour un


langage qui permettrait de décrire tous les systèmes électroniques utilisés..
 1983 : trois compagnies (Intermetics, IBM, Texas Instruments) commencent
développement.
 1985: première version officielle de VHDL (version 7.2).
 1986: VHDL est donné à IEEE pour en faire un standard.
 1987: Standard IEEE 1076-1987.
 1993: Standard IEEE 1076-1993.
 1999: Standard IEEE 1076.6-1999.

Page 4
III. logiciel de simulation XILINX ISE :

C’est un logiciel qui nous permet de décrire le circuit logique que l’on souhaite
réaliser, d’en faire une ou plusieurs simulations.

Création d’un nouveau projet :

Quand on a décidé d’implanter un circuit, la première chose à faire est de créer un projet.
Celui-ci sera rangé dans un répertoire qui contiendra tous les schémas, tous les fichiers
VHDL, toutes les simulations…relatives au circuit à implanter.

2
1

1) Fichier source VHDL en édition


2) Fenêtre où sont tous les fichiers sources qui consiste le projet.
3) Fenêtre où sont présentées toutes les opérations possibles (process) pour un fichier
donné
4) Fenêtre de compte rendu des opérations : signale les erreurs, la progression des tâches

Auparavant, il est recommandé de créer le répertoire qui va accueillir le projet.


Il est fortement recommandé d’utiliser des noms de répertoire ayant un maximum de 8
Lettres, sans espace ni ponctuation.

Page 5
Définir le
Définir le répertoire de
travail qui contiendra
tous les fichiers

choisir un nom
pour le projet

Définir :
- La famille de
composant
- Le composant
- Le boîtier du
composant
- L’ indice de vitesse
du composant
- Le type de fichier
source, ici XST VHDL
(description textuelle)

Création d’un fichier VHDL

 Pour créer un nouveau fichier VHDL on doit suivre ces étapes :

Projet New source.. .puis choisir VHDL Module dans la liste proposée
Donner un nom au fichier

Page 6
 Après avoir cliqué sur suivant, la boîte de dialogue s’affiche :

Il s’agit d’un assistant qui va permettre de rédiger toute l’entête de la description VHDL,
y compris les ports d’entrée/sortie.
Le nom de l’entrée ou de la sortie est rentré dans le champ Port Name. Le choix du type
(entrée, sortie, entrée sortie) se fait dans le champ Direction.
Si l’entrée (ou la sortie) est un simple fil (std_logic), il n’y a rien de plus à faire.
Par contres il s’agit d’un bus, on précisera le poids fort dans le champ MSB, tandis que le LSB
sera maintenu à 0. On obtiendra alors un type std_logic_vector.

Page 7
 Voici la trame VHDL que l’on obtient alors :

Liste des bibliothèques utiles


(Accès à certaines fonctions)

Liste des entrées /sorties du


bloc VHDL

Architecture de la description
VHDL à compléter

Simulation fonctionnelle d’un schéma ou d’une description VHDL

 Une simulation se fait grâce à des stimuli :

On construit les stimuli en créant un fichier du type «Test Bench Waveform ».


Pour ce faire: Project New source, puis choisir «Test Bench Waveform » dans la liste
proposée.
Donner un nom pour le fichier de test dans le champ « file name ». .
Ensuite, le logiciel invite à choisir quelle description doit être soumis au test , puis on choisie
la source concernée VHDL .

Page 8
 Après avoir cliqué sur terminer, la fenêtre qui suit s’affiche :

Choix du type de
design.
Sauf cas particulier, on
choisira Single Clock
ou
Combinatorial Design.

Choix de l’horloge dans


le cas ou le design est
de type Single Clock.

Déterminatio
n des
grandeurs
temporelles

Finalement on obtient l’ensemble des entrées et sorties du design. Il ne reste plus qu’à
construire les stimuli (chronogrammes)

Simulation fonctionnelle

La simulation fonctionnelle s’obtient très facilement. Sélectionner le fichier de test qui vient
d’être créé. La liste des process associés s’affiche dans la fenêtre Process for current source.
Choisir la simulation fonctionnelle : Simulate Behavioural VHDL Model.
La fenêtre wave-default présente les signaux

Page 9
 En prenant cet exemple :

IV. Le multiplieur 4 bit


Présentation :

Le multiplieur 4 bits est réalisé avec 16 portes AND et 8 additionneurs complets


de 1 bit et 2 demi-additionneurs, les portes AND permettent de faire les
multiplications logiques entre les entrées, après ces opérations de multiplication
les additionneurs vont réaliser l’addition logique verticalement, puis on obtient
les résultats de sortie.
Ce multiplieur 4 bits a 8 entrées et 8 sorties et il est capable de faire n’importe
qu’elle multiplication arithmétique de 4 bits.

Voila l’opération : A0…A3 et B0…B3 sont des entrées, et S0…S7 sont des
sorties.

Page 10
 Pour plus de datais on prend cet exemple :

Logigramme

Page 11
 Alors a traver le logigrame précedent on a pu realiser le code en vhdl et le simuler a
l’aide du logiciel xilinx :

Les codes :

Avant d'entamer notre travail nous avons commencés par l’ouverture du logiciel XILINX ISE et créé
un nouveau projet en choisissant un nom, après on a sélectionné une source « VHDL module » pour
nommer les entrées et les sorties aussi pour le choix des directions (IN, OUT).

La fenêtre « éditeur de texte VHDL » s'ouvre, cette dernière est déjà écrite sur les librairies par
défaut et aussi l’entité qui comporte les listes des entres et sorties qui sont bien définit.

Ensuite on arrive à l’étape Où on va saisir notre code VHDL d’abord on indique les signaux des
sortis et des entres « C : les retenus des additionneurs » « S : les sorties des additionneurs » et leur
description « bit-vector »

Page 12
Dans notre cas on a besoin des additionneurs ‘FA, HA’ ce qui nous a conduit à faire des programmes
pour l’additionneur complet et le demi-additionneur , qui vont jouer le rôle des sous programme et
nous allons l’appeler par « component »

Demi-additionneur :

Schema equivalant :

Page 13
Additionneur complet :

Schema equivalant :

Dans cette étape : d’une part on va définir les différentes opérations qu’on a déduis d’après le
logigramme, en l’affectant au signal correspondant.

Page 14
D’autre part on regroupe les entrées, les sorties, les retenus d’entrer et les retenu des sorties des
additionneurs complet et des demi-additionneurs, en mentionnant leur numéros.

La dernière étape est l’affectation de chaque signale à sa sortie corespondante.

Compilation :

une fois notre composant est écrit et après la vérification des erreurs Lors de la compilation, on lance
la simulation, alors on obtient le schéma suivant définit par XILINX:

Page 15
Et pour verifier notre multiplieur on a simulé notre programme et on a pris comme exemple
« 1000*1010=0101 0000 » :

Page 16
Conclusion

Ce mini projet a une grande impotance , il nous a permet d’amilioration nos connaisance au niveau
du language de description VHDL , aussi au niveau du logiciel ISE XILINX ainsi de découvrir les
autres utilisations afin de réaliser notre multiplieur .

Page 17

S-ar putea să vă placă și