Documente Academic
Documente Profesional
Documente Cultură
all;
use ieee.std_logic_unsigned.all;
entity semaforo is
port(clk: in std_logic;
rojo, ambar, verde: out std_logic);
end semaforo;
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity semaforo2 is
port(clk,stop: in std_logic;
rojo, ambar, verde: out std_logic);
end semaforo2;