Sunteți pe pagina 1din 12

Universidad Nacional Abierta y a Distancia

Vicerrectoría Académica y de Investigación


Syllabus del curso Electrónica Digital

1. IDENTIFICACIÓN DE CURSO

Escuela o unidad: Escuela de Ciencias


Sigla: ECBTI
Básicas, Tecnologías e Ingenierías
Campo de formación: Disciplinar
Nivel: Profesional - Tecnológico Específico.
Curso: Electrónica Digital Código: 243004
Tipología de curso: Metodológico N° de créditos: 4
Diseñador de curso: Sandra Milena Actualizador de curso: Sandra Milena
García Ávila García Ávila
Fecha de elaboración: 19 de Noviembre Fecha de actualización: 19 de
2018 Noviembre 2018
Descripción del curso:

El curso de Electrónica Digital hace parte del campo de formación Disciplinar


Especifico, se ubica dentro del núcleo problémico de Procesos Tecnológicos:
Soluciones, Procedimientos e Instrumentos, consta de 4 créditos académicos, dividido
en cuatro unidades que se desarrollará en 16 semanas. Se emplea la estrategia de
aprendizaje Basado en Tareas1 (Jerez, 2012). Al tratarse de un curso metodológico
tiene componente práctico, el cual se realizará de manera simulada con el software
Vivado de Xilinx. El componente práctico se encuentra inmerso en cada una de las
unidades y se desarrolla desde el inicio del curso.
La distribución de las cuatro Unidades se encuentra de la siguiente manera: La primera
unidad aborda los temas relacionados con la representación digital de los datos y el
Algebra de Boole. La segunda y tercera unidad revisan los conceptos relacionados con
los circuitos combinacionales y secuenciales respectivamente. La cuarto unidad
desarrolla aplicaciones del diseño de circuitos digitales combinaciones y secuenciales.
Es necesario que los estudiantes que abordan este curso, posean conocimientos en el
área de matemáticas básicas, algoritmos, programación, análisis de circuitos y

1
Jerez Naranjo, Yannelys V., & Garófalo Hernández, Alain A.. (2012). Aprendizaje basado en tareas aplicado a la enseñanza de
las Telecomunicaciones. Ingeniería Electrónica, Automática y Comunicaciones, 33(3), 1-7. Recuperado en 21 de noviembre de
2018, de http://scielo.sld.cu/scielo.php?script=sci_arttext&pid=S1815-59282012000300001&lng=es&tlng=es.
electrónica análoga, lo que permitirá afrontar las temáticas del curso con una mejor
comprensión.
En este curso el estudiante encontrará referencias bibliográficas, tutoriales, video
tutoriales y demás enlaces de apoyo que faciliten el aprendizaje significativo de los
contenidos del curso. Además, encontrará varios medios de comunicación síncrona
(chat, Skype) y asíncrona (foros, email) para que pueda recibir toda la orientación
que se requiera en el proceso de aprendizaje.
El aprendizaje y aprovechamiento de este curso supondrá una sólida base de
conocimientos para el diseño de circuitos y sistemas electrónicos de complejidad
creciente sobre la base de dispositivos programables. Estos conocimientos son básicos
y bien valorados para profesionales en el ámbito de la electrónica y las
telecomunicaciones.

2. INTENCIONALIDADES FORMATIVAS

Propósitos de formación del curso:

Al finalizar el curso de Electrónica Digital el estudiante diseñe circuitos digitales


combinacionales y secuenciales, mediante la descripción de hardware, para la solución
de problemas propios de la ingeniería.

Competencias del curso:

 El estudiante emplea sistemas de numeración y métodos de simplificación


mediante conversiones matemáticas y mapas de Karnough respectivamente,
para la solución de circuitos lógicos y descripción de hardware.

 El estudiante analiza circuitos digitales combinacionales mediante la descripción


de hardware y herramientas computacionales para su modelamiento.

 El estudiante analiza sistemas digitales secuenciales, a través de la descripción


de hardware y herramientas computacionales para la construcción de circuitos
digitales con memoria.

 El estudiante crea aplicaciones de circuitos digitales compuestos por unidades


combinacionales y secuenciales, usando apropiadamente las unidades básicas
de procesamiento, direccionamiento y almacenamiento, para el desarrollo de
circuitos digitales de más alta complejidad.

3. CONTENIDOS DEL CURSO

Unidades/temas Recursos educativos requeridos


1. Presaberes: Realizar la pre tarea de presaberes, donde consulta
Actividad de Presaberes. conocimientos previos de análisis de circuitos.

Familiarizarse con el software Vivado de Xilinx y


hacer la prueba de funcionamiento.
Consultar el Entorno Practico y revisar cada uno de
los videos guía.
2. Unidad 1: Fundamentos Las siguientes son las referencias bibliográficas
del Diseño Digital. requeridas para comprender significativamente los
• Sistemas de contenidos de la presente unidad.
numeración
• Alegra de Boole Muñoz, J. (2012). Introducción a los Sistemas
• Simplificación de Digitales: Un enfoque usando Lenguajes de
Funciones Lógicas Descripción de Hardware. (Capítulos 1, pp. 19-66).
Madrid. Recuperado de:
http://www.etnassoft.com/biblioteca/introduccion-
a-los-sistemas-digitales

Palmer, James E., and David E. Perlman (1995).


Introducción a los sistemas digitales, McGraw-Hill
Interamericana. (Capítulos 2 y 3, pp. 1-69).
ProQuest Ebook Central, Recuperado de:
https://bibliotecavirtual.unad.edu.co:2538/lib/unad
sp/detail.action?docID=3192137.

OVA – Unidad 1: Fundamentos del Diseño


Digital
Este material le permitirá hacer una revisión de
Fundamentos del Diseño Digital.

Sandra, S. (2018, Noviembre 15), Fundamentos de


Sistemas Digitales. Recuperado de:
http://hdl.handle.net/10596/22561

OVI – Unidad 1:
En este video se hace el diseño de un circuito
combinacional usando el software Vivado de Xilinx.
Fajardo, C. (2016, Diciembre 13), Diseño de un
circuito combinacional usando el software ISE 14.7
[Archivo de video]. Recuperado
de: http://repository.unad.edu.co/handle/10596/9
655
3. Unidad 2: Circuitos La siguiente referencia es el libro guía del curso. En
Combinacionales esta unidad se revisa los capítulos 4, 5 y 6.
 Análisis y diseño de
Muñoz, J. (2012). Introducción a los Sistemas
circuitos
Digitales: Un enfoque usando Lenguajes de
combinacionales
Descripción de Hardware. (Capítulos 4, 5 y 6, pp.
 Descripción de
77-134). Madrid. Recuperado
hardware de circuitos
de: https://openlibra.com/es/book/introduccion-a-
combinacionales en
los-sistemas-digitales
VHDL
Flórez, F. H. A. (2010). Diseño lógico: fundamentos
de electrónica digital. (Capítulos 4, pp. 83-107).
Recuperado de:
http://bibliotecavirtual.unad.edu.co:2460/lib/unads
p/detail.action?docID=3199073
OVI – Unidad 2:
En este video explica cómo hacer Diseño un
multiplexor en VHDL.
Fajardo, C. (2016, Diciembre 17), Diseño de un
multiplexor en VHDK. [Archivo de video],
Recuperado: http://hdl.handle.net/10596/9851

4. Unidad 3: Circuitos En esta unidad se revisa los capítulos 7, 9 y 10, los


Secuenciales cuales el estudiante deberá leer comprensivamente.
• Análisis y diseño de Los videos explican en detalle el proceso de
circuitos secuenciales implementación de circuitos secuenciales en VHDL.
• Descripción de Muñoz, J. (2012). Introducción a los Sistemas
hardware de circuitos Digitales: Un enfoque usando Lenguajes de
secuenciales en VHDL. Descripción de Hardware. (Capítulos 7, 9 y 10, pp.
135-149,177-208). Madrid. Recuperado
de https://openlibra.com/es/book/introduccion-a-
los-sistemas-digitales
Flórez, F. H. A. (2010). Diseño lógico: fundamentos
de electrónica digital. (Capítulos 5,6 pp. 109-145).
Recuperado de
http://bibliotecavirtual.unad.edu.co:2460/lib/unads
p/detail.action?docID=3199073

OVI – Unidad 3:
En este video se hace se describe el proceso del
diseño de los contadores digitales.
Fajardo, C. (2016, Diciembre 17), Contadores
Digitales [Archivo de video]. Recuperado
de http://hdl.handle.net/10596/9852

5. Unidad 4: Aplicaciones En esta unidad se revisa los capítulos 7, 9 y 10, los


Circuitos Digitales cuales el estudiante deberá leer comprensivamente.
• Circuitos Los videos explican en detalle el proceso de
implementación de circuitos secuenciales en VHDL.
combinacionales y
secuenciales Muñoz, J. (2012). Introducción a los Sistemas
• Descripción de Digitales: Un enfoque usando Lenguajes de
hardware en VHDL. Descripción de Hardware. (Capítulos 7, 9 y 10, pp.
135-149,177-208). Madrid. Recuperado
de https://openlibra.com/es/book/introduccion-a-
los-sistemas-digitales
OVI – Unidad 4:
En este video se hace el diseño de una memoria en
VHDL. El video busca orientar al estudiante en el
diseño de memorias para ser utilizadas dentro de
un diseño de hardware.
Fajardo, C. (2016, Diciembre 17), Divisores de
Frecuencia. [Archivo de video]. Recuperado
de http://hdl.handle.net/10596/9855

Recursos educativos adicionales para el curso:

Unidad 1: Chu, P. P. (2006). RTL Hardware Design Using VHDL: Coding for
Efficiency, Portability, and Scalability. Hoboken, N.J.: Wiley-IEEE Press. Recuperado
de: http://bibliotecavirtual.unad.edu.co:2051/login.aspx?direct=true&db=e000xww
&AN=158127&lang=es&site=ehost-live

Unidad 2: Chu, P. P. (2006). RTL Hardware Design Using VHDL: Coding for
Efficiency, Portability, and Scalability. Hoboken, N.J.: Wiley-IEEE Press. Recuperado
de: http://bibliotecavirtual.unad.edu.co:2051/login.aspx?direct=true&db=e000xww
&AN=158127&lang=es&site=ehost-live

Unidad 3: Chu, P. P. (2006). RTL Hardware Design Using VHDL: Coding for
Efficiency, Portability, and Scalability. Hoboken, N.J.: Wiley-IEEE Press. Recuperado
de: http://bibliotecavirtual.unad.edu.co:2051/login.aspx?direct=true&db=e000xww
&AN=158127&lang=es&site=ehost-live

Unidad 4: Chu, P. P. (2006). RTL Hardware Design Using VHDL: Coding for
Efficiency, Portability, and Scalability. Hoboken, N.J.: Wiley-IEEE Press. Recuperado
de: http://bibliotecavirtual.unad.edu.co:2051/login.aspx?direct=true&db=e000xww
&AN=158127&lang=es&site=ehost-live

4. ESTRATEGIA DE APRENDIZAJE

Descripción de la estrategia de aprendizaje:


El aprendizaje basado en tareas busca lograr un proceso de enseñanza-aprendizaje
más centrado en el estudiante, donde sea posible prestar atención a las
individualidades, lograr la motivación hacia los temas tratados por la asignatura y
desarrollar un conjunto de habilidades necesarias para su inserción en la sociedad
actual y su desempeño profesional (Jerez et al,. 2012).

El modelo promueve la organización del curso en función de una tarea final o un


conjunto de tareas que guiarán la presentación de los diferentes contenidos. La
realización de dichas tareas requiere que los estudiantes adquieran una serie de
conocimientos y habilidades que potencien y promuevan su desarrollo, tomando una
posición activa en la construcción de sus conocimientos. Se potencia el aprender
haciendo y el aprender a aprender.

Para la resolución de estas tareas los estudiantes deberán aprender los conceptos
relacionados con la electrónica Digital y las habilidades de diseño requeridas durante
el curso, como por ejemplo habilidad para hacer descripciones de circuitos digitales
mediante el lenguaje VHDL.

Para la elaboración de la tarea, se aborda tres fases:

1. Pre-tarea – Actividad de Presaberes: En esta tarea, los estudiantes


consultan fuentes de información para reforzar conocimientos previos de análisis
de circuitos y adicionalmente hace un reconocimiento del software para lograr
comprender el contexto en el cual se desenvolverá el curso.

2. Ciclo de la tarea:
Tarea 1- Sistemas de numeración y simplificación de funciones lógicas.
El estudiante desarrolla ejercicios de conversión de sistemas numéricos para la
digitalización de datos, y simplifica funciones lógicas con mapas de karnaugh.
El estudiante describe las funciones lógicas en VHDL.

Tarea 2: Circuitos combinacionales. El estudiante analiza circuitos


combinacionales como el multiplexor, demultiplexor, codificadores y
decodificadores.
El estudiante describe los diferentes circuitos combinacionales en VHDL.

Tarea 3: Circuitos secuenciales. El estudiante analiza circuitos secuenciales


como el latches, flip-flop y contadores.
El estudiante describe los diferentes circuitos combinacionales en VHDL.

Tarea 4. Análisis de aplicaciones con circuitos combinacionales y


secuenciales. El estudiante analiza una aplicación de un sistema digital que
integra circuitos combinacionales y secuenciales.
El estudiante describe la aplicación de un circuito digital con componentes
combinacionales y secuenciales en VHDL.

3. Post-tarea - Aplicación Sistemas Digitales: El estudiante aplica los


conceptos abordados en el curso mediante el diseño y desarrollo de la aplicación
de un sistema digital.

Durante todo el proceso los estudiantes contarán con el acompañamiento del tutor,
quien tendrá la responsabilidad de orientar, retroalimentar y motivar a los estudiantes
durante todo el proceso de resolución de los ejercicios.

Es importante aclarar, que el tutor tiene la responsabilidad de acompañar el proceso,


pero el aprendizaje de los conceptos es 100% responsabilidad del estudiante.

5. DISTRIBUCIÓN DE LAS ACTIVIDADES ACADÉMICAS DEL CURSO

Semana Contenidos a Actividad a desarrollar según la


desarrollar estrategia de aprendizaje
1y2 Conocimientos vistos en Pre-tarea: Actividad de presaberes
cursos previos (Análisis de
circuitos).  Realizar aportes conceptuales sobre
conocimientos previos de análisis de
circuitos.

 Realizar el reconocimiento de la
herramienta de descripción de hardware,
Vivado de Xilinx.
3-5 Unidad 1: Tarea 1: Sistemas de numeración y
Fundamentos del simplificación de funciones lógicas.
Diseño Digital.  Investigar conceptos teóricos en el
• Sistemas de entorno de conocimiento de la Unidad
numeración 1.
• Alegra de Boole  Resolver ejercicios de conversión de
• Simplificación de sistemas numéricos.
Funciones Lógicas  Aplicar métodos de simplificación para
hallar las funciones lógicas.
 Describir las funciones lógicas en VHDL
(componente práctico - 30 puntos).

6-8 Unidad 2: Tarea 2: Circuitos combinacionales.


Análisis de Circuitos  Investigar conceptos teóricos en el
Combinacionales entorno de conocimiento de la Unidad
 Análisis de circuitos 2.
combinacionales  Resolver ejercicios de circuitos digitales
 Descripción de combinacionales.
hardware de  Describir los circuitos digitales
circuitos combinacionales en VHDL (componente
combinacionales en práctico - 20 puntos).
VHDL
9-11 Unidad 3: Análisis Tarea 3: Circuitos secuenciales.
Circuitos Secuenciales
 Análisis de circuitos  Investigar conceptos teóricos en el
secuenciales entorno de conocimiento de la Unidad
 Descripción de 3.
hardware de  Resolver ejercicios de circuitos digitales
circuitos secuenciales.
secuenciales en  Describir los circuitos digitales
VHDL. secuenciales en VHDL (componente
práctico - 20 puntos).
12-14 Unidad 4: Aplicaciones Tarea 4: Análisis de aplicaciones con
Circuitos Digitales circuitos combinacionales y secuenciales.
 Crear circuitos  Investigar conceptos teóricos en el
combinacionales y entorno de conocimiento de la Unidad
secuenciales. 4.
 Descripción de  Resolver ejercicios con aplicaciones de
hardware en VHDL. circuitos digitales combinacionales y
secuenciales.
 Describir las aplicaciones de circuitos
digitales combinacionales y
secuenciales en VHDL (componente
práctico - 30 puntos).

15 y 16 Unidades 1, 2, 3 y 4 Post-tarea: Aplicación Sistemas


Digitales
Diseñar una aplicación de un sistema digital.
Describir la aplicación en VHDL (componente
práctico - 40 puntos).

6. ESTRATEGIAS DE ACOMPAÑAMIENTO DOCENTE


Descripción de las estrategias de acompañamiento docente a utilizar en
este curso.
En este curso los estudiantes tendrán varias posibilidades para acceder a un
acompañamiento por parte de los tutores del curso.

 Orientación virtual asíncrona:

 Atención en foros: Seguimiento al desempeño de los estudiantes,


solución de dudas e inquietudes y soporte técnico.

 Mensajería interna del curso y correo institucional: Solución de dudas


e inquietudes sobre el curso y proceso académico de los estudiantes.

 Orientación virtual síncrona:

 Atención síncrona vía Skype: Atención de estudiantes a través de Skype


con el fin de aclarar dudas e inquietudes sobre las actividades y temáticas
del curso. Para conocer los horarios consultar el entorno de aprendizaje
colaborativo.

 Encuentros sincrónicos vía webconferencia: Espacio destinado


desarrollar encuentros virtuales con el fin de aclarar dudas sobre el
desarrollo del curso, estos espacios permiten la interacción de estudiantes
y tutores. Para conocer los horarios consultar el entorno de aprendizaje
colaborativo.

 Círculos de Interacción y Participación Académica y Social – CIPAS:


Corresponden a grupos de estudio que se conforman por estudiantes y
pueden ser orientados por un docente, con el fin de comprender temas
académicos de los cursos, disciplina, programa, investigación o el modelo
pedagógico de la universidad a través de recursos tecnológicos
manteniendo interés hacia el aprendizaje y movilizando la vida
universitaria.

7. PLAN DE EVALUACIÓN DEL CURSO


Númer Momento
Productos a entregar según Puntaje
o de s de la Ponderación
la estrategia de máximo/5
seman evaluació /500 puntos
aprendizaje 00 puntos
a n
Pretarea. Actividad de
presaberes.
Informe escrito con:
1-2 Inicial Revisión de Presaberes. 25
25
Evidencias de la instalación del
software de Diseño.
(individual)
Tarea 1. Fundamentos para
el Diseño Digital.
Intermedia
3-5 Documento en formato PDF 100
Unidad 1
que contenga el desarrollo de
la tarea 1, que cumpla los
lineamientos del Anexo 0.
Tarea 2. Circuitos
Combinacionales
Intermedia
6-8 Documento en formato PDF 80
Unidad 2
que contenga el desarrollo de
la tarea 2, que cumpla los
lineamientos del Anexo 0.
Tarea 3. Circuitos 350
Secuenciales
Intermedia
9-11 Documento en formato PDF 80
Unidad 3
que contenga el desarrollo de
la tarea 3, que cumpla los
lineamientos del Anexo 0.
Tarea 4. Análisis de
aplicaciones con circuitos
combinacionales y
Intermedia secuenciales.
12-14 90
Unidad 4 Documento en formato PDF
que contenga el desarrollo de
la tarea 4, que cumpla los
lineamientos del Anexo 0.
Post-Tarea. Aplicación
Sistemas Digitales 125
15-16 Final Informe escrito en el que se 125
evidencie el proceso de diseño
de un sistema digital en VHDL.
Puntaje Total 500 500 puntos
puntos

S-ar putea să vă placă și